JP2021125610A - 成膜方法及び成膜装置 - Google Patents

成膜方法及び成膜装置 Download PDF

Info

Publication number
JP2021125610A
JP2021125610A JP2020019159A JP2020019159A JP2021125610A JP 2021125610 A JP2021125610 A JP 2021125610A JP 2020019159 A JP2020019159 A JP 2020019159A JP 2020019159 A JP2020019159 A JP 2020019159A JP 2021125610 A JP2021125610 A JP 2021125610A
Authority
JP
Japan
Prior art keywords
film forming
processing region
molecular layer
gas
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020019159A
Other languages
English (en)
Other versions
JP7345410B2 (ja
Inventor
寿 加藤
Hisashi Kato
寿 加藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2020019159A priority Critical patent/JP7345410B2/ja
Priority to US17/150,177 priority patent/US11417521B2/en
Priority to KR1020210011145A priority patent/KR20210100536A/ko
Publication of JP2021125610A publication Critical patent/JP2021125610A/ja
Application granted granted Critical
Publication of JP7345410B2 publication Critical patent/JP7345410B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02645Seed materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】良好な膜質のシリコン膜を高い生産性で形成できる技術を提供する。
【解決手段】本開示の一態様による成膜方法は、Si−H結合を切断することが可能な第1の温度に設定された真空容器内に、互いに離間した第1の処理領域と第2の処理領域とが周方向に沿って配置され、前記第1の処理領域と前記第2の処理領域を回転通過可能な回転テーブルの上に載置された基板の上にシリコン膜を成膜する成膜方法であって、前記基板が前記第1の処理領域を通過する際に、前記第1の温度よりも低い第2の温度に設定されたSiガスを供給し、前記基板の表面上にSiHの分子層を形成するステップと、前記基板が前記第2の処理領域を通過する際に、珪素及び塩素を含むガスを供給し、前記SiHの分子層のSi−H結合を切断すると共に表面上にSiClの分子層を形成するステップと、を含む成膜工程を有する。
【選択図】図8

Description

本開示は、成膜方法及び成膜装置に関する。
略円筒形の真空容器内で、基板の表面上にSiHの分子層を形成するステップと、該分子層のSi−H結合を切断して表面上にシリコン原子層のみを残すステップとを繰り返し、基板の上にシリコン膜を形成する技術が知られている(例えば、特許文献1参照)。
特開2014−82419号公報
本開示は、良好な膜質のシリコン膜を高い生産性で形成できる技術を提供する。
本開示の一態様による成膜方法は、Si−H結合を切断することが可能な第1の温度に設定された真空容器内に、互いに離間した第1の処理領域と第2の処理領域とが周方向に沿って配置され、前記第1の処理領域と前記第2の処理領域を回転通過可能な回転テーブルの上に載置された基板の上にシリコン膜を成膜する成膜方法であって、前記基板が前記第1の処理領域を通過する際に、前記第1の温度よりも低い第2の温度に設定されたSiガスを供給し、前記基板の表面上にSiHの分子層を形成するステップと、前記基板が前記第2の処理領域を通過する際に、珪素及び塩素を含むガスを供給し、前記SiHの分子層のSi−H結合を切断すると共に表面上にSiClの分子層を形成するステップと、を含む成膜工程を有する。
本開示によれば、良好な膜質のシリコン膜を高い生産性で形成できる。
実施形態の成膜装置の一例を示す断面図 図1の成膜装置の内部構成の一例を示す斜視図 図1の成膜装置の内部構成の一例を示す上面図 回転テーブルの周方向に沿った真空容器の断面図 回転テーブルの半径方向に沿った真空容器の断面図 図1の成膜装置の第3の処理領域を説明するための図 実施形態の成膜方法の一例を示すフローチャート 実施形態の成膜方法の一例を示す工程断面図 コンフォーマル成膜工程の反応メカニズムを説明するための図(1) コンフォーマル成膜工程の反応メカニズムを説明するための図(2)
以下、添付の図面を参照しながら、本開示の限定的でない例示の実施形態について説明する。添付の全図面中、同一又は対応する部材又は部品については、同一又は対応する参照符号を付し、重複する説明を省略する。
〔成膜装置〕
図1は、実施形態の成膜装置の一例を示す断面図である。図2は、実施形態の成膜装置の内部構成の一例を示す斜視図である。図3は、実施形態の成膜装置の内部構成の一例を示す上面図である。
図1から図3までを参照すると、成膜装置は、ほぼ円形の平面形状を有する扁平な真空容器1と、真空容器1内に設けられ、真空容器1の中心に回転中心を有する回転テーブル2と、を備えている。図1に示されるように、真空容器1は、有底の円筒形状を有する容器本体12と、容器本体12の上面に対して、例えばOリング等のシール部材13を介して気密に着脱可能に配置される天板11とを有している。
また、図1に示されるように、回転テーブル2は、中心部にて円筒形状のコア部21に固定され、コア部21は、鉛直方向に伸びる回転軸22の上端に固定されている。回転軸22は真空容器1の底部14を貫通し、下端が回転軸22を鉛直軸回りに回転させる駆動部23に取り付けられている。回転軸22及び駆動部23は、上面が開口した筒状のケース体20内に収納されている。ケース体20は、上面に設けられたフランジ部分が真空容器1の底部14の下面に気密に取り付けられており、ケース体20の内部の雰囲気と外部の雰囲気との気密状態が維持されている。
回転テーブル2と真空容器1の底部14との間の空間には、ヒータユニット7が設けられている。ヒータユニット7は、環状の形状を有し、回転テーブル2の下方から、真空容器1内を一定の温度に保つ。本実施形態においては、真空容器1内が、Si−H結合を切断できる所定の温度に保たれる。具体的には、Si−H結合は550℃前後で切断されるので、ヒータユニット7は、真空容器1内が550℃前後、例えば540〜580℃、好ましくは550〜570℃の範囲内にあるように真空容器1内を加熱する。
図2及び図3に示されるように、回転テーブル2の表面には、回転方向(周方向)に沿って複数(図示の例では5枚)の基板を載置するための円形状の凹部24が設けられている。本実施形態においては、基板として、半導体ウエハ(以下「ウエハW」という)が用いられた例を挙げて説明する。なお、図3には便宜上1個の凹部24のみにウエハWを示す。凹部24は、ウエハWの直径よりも僅かに例えば2mm大きい内径と、ウエハWの厚さにほぼ等しい深さとを有している。したがって、ウエハWが凹部24に収容されると、ウエハWの表面と回転テーブル2の表面(ウエハWが載置されない領域)とが同じ高さになる。凹部24の底面には、ウエハWの裏面を支えてウエハWを昇降させるための例えば3本の昇降ピンが貫通する貫通孔(いずれも図示せず)が形成されている。なお、回転テーブル2の表面には、ウエハWを載置するための凹部24に代えて、回転テーブル2の周方向に沿って複数のウエハWを載置するための載置台が設けられ、各載置台が回転テーブル2に対して回転可能に構成されていてもよい。
図2及び図3は、真空容器1内の構造を説明する図であり、説明の便宜上、天板11の図示を省略している。図2及び図3に示されるように、回転テーブル2の上方には、各々例えば石英からなる反応ガスノズル31、32、33及び分離ガスノズル41、42、43が真空容器1の周方向に互いに間隔をおいて配置されている。図示の例では、後述の搬送口15から時計回りに、分離ガスノズル43、反応ガスノズル33、分離ガスノズル41、反応ガスノズル31、分離ガスノズル42及び反応ガスノズル32がこの順番で配列されている。反応ガスノズル31、32、33は、基端部である導入ポート31a、32a、33a(図3)を容器本体12の外周壁に固定することで真空容器1の外周壁から真空容器1内に導入される。また、反応ガスノズル31、32、33は、容器本体12の半径方向に沿って回転テーブル2に対して水平に伸びて取り付けられている。分離ガスノズル41、42、43は、基端部である導入ポート41a、42a、43a(図3)を容器本体12の外周壁に固定することで真空容器1の外周壁から真空容器1内に導入される。また、分離ガスノズル41、42、43は、容器本体12の半径方向に沿って回転テーブル2に対して水平に伸びて取り付けられている。
本実施形態においては、反応ガスノズル31は、不図示の配管及び流量制御器等を介して、反応ガスとしてのジシラン(Si)ガスの供給源(図示せず)に接続されている。また、反応ガスノズル31は、不図示の配管及び流量制御器等を介して、アミノシラン系ガスとしてのジイソプロピルアミノシラン(DIPAS)ガスの供給源(図示せず)に接続されている。反応ガスノズル32は、不図示の配管及び流量制御器等を介して、反応ガスとしてのヘキサクロロジシラン(HCD;SiCl)ガスの供給源(図示せず)に接続されている。反応ガスノズル33は、不図示の配管及び流量制御器等を介して、エッチングガスとしての塩素(Cl)ガスの供給源(図示せず)に接続されている。塩素ガスの供給源は、例えばプラズマにより塩素ガスの活性種(以下「塩素(Cl)ラジカル」という。)を生成し、生成した塩素ラジカルを反応ガスノズル33に供給する。分離ガスノズル41、42、43は、いずれも不図示の配管及び流量制御バルブ等を介して、分離ガスとしてのアルゴン(Ar)ガスの供給源(図示せず)に接続されている。
反応ガスノズル31、32には、回転テーブル2に向かって開口する複数のガス吐出孔31h、32h(図4)が、反応ガスノズル31、32の長さ方向に沿って、例えば10mmの間隔で配列されている。反応ガスノズル31の下方領域は、Siガスが分解したSiHをウエハWに吸着させるための第1の処理領域P1となる。反応ガスノズル32の下方領域は、第1の処理領域P1においてウエハWに吸着されたSiHからHを脱離させると共にHCDガスが分解したSiClをウエハWに吸着させるための第2の処理領域P2となる。また、回転テーブル2の周方向に沿って第2の処理領域P2から離間した領域であり、反応ガスノズル33が設けられている領域は、異方性エッチングを行う第3の処理領域P3となる。
反応ガスノズル33の上方には、該反応ガスノズル33を上方から覆うと共に、回転テーブル2の回転方向(図3の矢印A)における上流側及び下流側に拡がる扇形の整流板35が設けられている。第3の処理領域P3の詳細については後述する。
第1の処理領域P1と第2の処理領域P2との間には、第1の分離領域D1が設けられる。第2の処理領域P2と第3の処理領域P3との間には、第2の分離領域D2が設けられる。第3の処理領域P3と第1の処理領域P1との間には、第3の分離領域D3が設けられる。
回転テーブル2が時計回りに回転することにより、凹部24に載置されたウエハWは、第1の処理領域P1、第1の分離領域D1、第2の処理領域P2、第2の分離領域D2、第3の処理領域P3及び第3の分離領域D3を連続的に順次通過することになる。このとき、真空容器1内がSi−H結合を切断できる温度(550℃前後)に保たれた状態でウエハWが第1の処理領域P1を通過した際、反応ガスノズル31からSiガスが供給され、熱分解したSiHが分子層としてウエハWの表面に吸着する。なお、ジシランガスは、450℃程度でSiHに分解するので、本実施形態のような550℃前後の温度設定では、容易に分解する。ウエハWの表面は、珪素(Si)で形成されており、ウエハ表面のSiと、ジシランガスが分解したSiHのSi同士が吸着する。その後、回転テーブル2の回転により、ウエハWは第1の分離領域D1に入り、表面がArガスでパージされる。これにより、SiH分子層には余分な分子が付着せず、分子層の状態が保たれる。つまり、余分なCVD反応等も発生しない。次いで、更なる回転テーブル2の回転により、ウエハWは第2の処理領域P2に入る。ウエハWが第2の処理領域P2を通過した際、反応ガスノズル32からHCDガスが供給され、Si−Hが切断されると共に熱分解したSiClが分子層としてウエハWの表面に吸着する。そして、回転テーブル2の更なる回転によりウエハWは第2の分離領域D2に入り、パージガスが供給されて表面の塵等が除去される。更に回転テーブル2が回転し、第1の処理領域P1にウエハWが入る際には、表面にはSiClの分子層が形成され、塵等が表面から除去された状態であるので、再び同様のプロセスを繰返すことにより、シリコン膜をウエハWの表面上に形成できる。
このような一連のプロセスを、回転テーブル2の回転により連続的に行うことにより、ウエハWの表面上には、所望の厚さのシリコン膜を形成することが可能となる。このような成膜プロセスを行うべく本実施形態の成膜装置は構成されているが、以下、個々の構成要素についてより詳細に説明する。
図4は、第1の処理領域P1から第2の処理領域P2まで回転テーブル2の同心円に沿った真空容器1の断面を示している。図示のとおり、天板11の裏面に凸状部4が取り付けられている。そのため、真空容器1内には、凸状部4の下面である平坦な低い天井面(以下「第1の天井面44」という。)と、第1の天井面44の周方向両側に位置する、第1の天井面44よりも高い天井面(以下「第2の天井面45」という。)と、が存在する。第1の天井面44は、頂部が円弧状に切断された扇型の平面形状を有している。また、図示のとおり、凸状部4には周方向中央において、半径方向に伸びるように形成された溝部49が形成され、分離ガスノズル42が溝部49内に収容されている。別の二つの凸状部4にも同様に溝部49が形成され、該溝部49に分離ガスノズル41、43が収容されている。また、第2の天井面45の下方の空間に反応ガスノズル31、32が夫々設けられている。これらの反応ガスノズル31、32は、第2の天井面45から離間してウエハWの近傍に設けられている。図4に示されるように、凸状部4の右側の第2の天井面45の下方の空間481に反応ガスノズル31が設けられ、左側の第2の天井面45の下方の空間482に反応ガスノズル32が設けられている。
また、凸状部4の溝部49に収容される分離ガスノズル42には、回転テーブル2に向かって開口する複数のガス吐出孔42hが、分離ガスノズル42の長さ方向に沿って、例えば2mmの間隔で配列されている。また、図示は省略するが、分離ガスノズル41、43にも、分離ガスノズル42と同様に、回転テーブル2に向かって開口する複数のガス吐出孔が、夫々分離ガスノズル41、43の長さ方向に沿って、例えば2mmの間隔で配列されている。
第1の天井面44は、狭隘な空間である分離空間Hを回転テーブル2に対して形成している。分離ガスノズル42のガス吐出孔42hからArガスが供給されると、Arガスは、分離空間Hを通して空間481及び空間482へ向かって流れる。このとき、分離空間Hの容積は空間481、482の容積よりも小さいため、Arガスにより分離空間Hの圧力を空間481、482の圧力に比べて高くできる。すなわち、空間481と空間482との間に圧力の高い分離空間Hが形成される。また、分離空間Hから空間481、482へ流れ出るArガスが、第1の処理領域P1からのSiガスと、第2の処理領域P2からのHCDガスとに対するカウンターフローとして働く。したがって、第1の処理領域P1からのSiガスと、第2の処理領域P2からのHCDガスとが分離空間Hにより分離される。よって、真空容器1内においてSiガスとHCDガスとが混合することが抑制される。
なお、回転テーブル2の上面に対する第1の天井面44の高さh1は、成膜の際の真空容器1内の圧力、回転テーブル2の回転速度、供給する分離ガスの流量等を考慮し、分離空間Hの圧力を空間481、482の圧力よりも高くするのに適した高さに設定される。
一方、図2及び図3に示されるように、天板11の下面には、回転テーブル2を固定するコア部21の外周を囲む突出部5が設けられている。突出部5は、本実施形態においては、凸状部4における回転中心の側の部位と連続しており、その下面が第1の天井面44と同じ高さに形成されている。
先に参照した図1は、図3のI−I'線に沿った断面図であり、第2の天井面45が設けられている領域を示している。
一方、図5は、第1の天井面44が設けられている領域を示す断面図である。図5に示されるように、扇型の凸状部4の外縁部には、回転テーブル2の外端面に対向するようにL字型に屈曲する屈曲部46が形成されている。屈曲部46は、凸状部4と同様に、第1の処理領域P1から第1の分離領域D1に反応ガスが侵入することを抑制して、CVD反応の発生を抑制する。扇型の凸状部4は天板11に設けられ、天板11が容器本体12から取り外せるようになっていることから、屈曲部46の外周壁と容器本体12との間には僅かに隙間がある。屈曲部46の内周壁と回転テーブル2の外端面との隙間、及び屈曲部46の外周壁と容器本体12との隙間は、例えば回転テーブル2の上面に対する第1の天井面44の高さと同様の寸法に設定されている。
容器本体12の内周壁は、第1の分離領域D1、第2の分離領域D2及び第3の分離領域D3においては図5に示されるように屈曲部46の外周壁と接近して垂直面に形成されている。一方、第1の分離領域D1、第2の分離領域D2及び第3の分離領域D3以外の部位においては、図1に示されるように例えば回転テーブル2の外端面と対向する部位から底部14に亘って外方に窪んでいる。以下、説明の便宜上、概ね矩形の断面形状を有する窪んだ部分を排気領域Eと表記する。具体的には、図3に示されるように、第1の処理領域P1に連通する排気領域を第1の排気領域E1と表記し、第2の処理領域P2及び第3の処理領域P3に連通する領域を第2の排気領域E2と表記する。これらの第1の排気領域E1及び第2の排気領域E2の底部には、図1から図3に示されるように、夫々第1の排気口61及び第2の排気口62が形成されている。第1の排気口61及び第2の排気口62は、図1に示されるように各々排気管63を介して真空ポンプ64等の排気装置に接続されている。なお、排気管63には、圧力制御器65が介設されている。
図6は、図1の成膜装置の第3の処理領域P3を説明するための図である。図6に示されるように、反応ガスノズル33には、該反応ガスノズル33の下流側に向かって開口する複数のガス吐出孔33hが、反応ガスノズル33の長さ方向に沿って、例えば10mmの間隔で配列されている。これにより、反応ガスノズル33のガス吐出孔33hから吐出される塩素ラジカルは、図6の矢印Bで示されるように、回転テーブル2の回転方向に沿って流れる。
反応ガスノズル33の下流側、より詳細には整流板35の下流側に排気ダクト34が設けられている。排気ダクト34は、回転テーブル2の半径方向に沿って回転テーブル2よりも外側の位置から中心に向かって延びるように設けられている。排気ダクト34には、該排気ダクト34の上流側、すなわち、反応ガスノズル33が設けられている側に向かって開口する複数の排気孔34hが、排気ダクト34の長さ方向に沿って、例えば10mmの間隔で配列されている。また、排気ダクト34の半径方向における外側には開口34aが形成されている。これにより、反応ガスノズル33から供給された塩素ラジカルが回転テーブル2の回転方向に沿って流れてくるのを直接的に排気でき、横向きの平行流を維持できる。言い換えると、塩素ラジカルは、ウエハWの表面に略平行に流れる。そのため、表面に凹部を有するウエハWにおいては、凹部の内壁上部には塩素ラジカルが到達しやすいため塩素ラジカルによるエッチング作用が生じやすい。一方、凹部の内壁下部や底面には塩素ラジカルがほとんど到達しないため塩素ラジカルによるエッチング作用がほとんど生じない。その結果、凹部の内壁上部のSiClの分子層が選択的に除去される。
再び図5を参照すると、図1でも説明したように、回転テーブル2と真空容器1の底部14との間の空間には、ヒータユニット7が設けられる。本実施形態に係る成膜装置においては、回転テーブル2を介して回転テーブル2上のウエハWが、ウエハWの表面上に形成されたSiHの分子層のSi−H結合を切断することが可能な温度(例えば550℃)に加熱される。回転テーブル2の周縁付近の下方には、カバー部材71が設けられている。カバー部材71は、リング形状を有し、回転テーブル2の上方空間から第1の排気領域E1及び第2の排気領域E2に至るまでの雰囲気とヒータユニット7が置かれている雰囲気とを区画して回転テーブル2の下方領域へのガスの侵入を抑える。カバー部材71は、回転テーブル2の外縁部及び外縁部よりも外周の側を下方から臨むように設けられた内側部材71aと、内側部材71aと真空容器1の内周壁との間に設けられた外側部材71bと、を備えている。外側部材71bは、第1の分離領域D1及び第2の分離領域D2において凸状部4の外縁部に形成された屈曲部46の下方にて、屈曲部46と近接して設けられている。内側部材71aは、回転テーブル2の外縁部下方(及び外縁部よりも僅かに外側の部分の下方)において、ヒータユニット7を全周に亘って取り囲んでいる。
ヒータユニット7が配置されている空間よりも回転中心に近い部位における底部14は、回転テーブル2の下面の中心部付近におけるコア部21に接近するように上方に突出して突出部12aをなしている。突出部12aとコア部21との間は狭い空間になっており、また底部14を貫通する回転軸22の貫通孔の内周壁と回転軸22との隙間が狭くなっていて、これら狭い空間はケース体20に連通している。ケース体20には、パージガスであるArガスを狭い空間内に供給してパージするためのパージガス供給管72が設けられている。また真空容器1の底部14には、ヒータユニット7の下方において周方向に所定の角度間隔で、ヒータユニット7の配置空間をパージするための複数のパージガス供給管73が設けられている(図5には一つのパージガス供給管73を示す)。また、ヒータユニット7と回転テーブル2との間には、ヒータユニット7が設けられた領域へのガスの侵入を抑えるために、外側部材71bの内周壁(内側部材71aの上面)から突出部12aの上端との間を周方向に亘って覆う蓋部材7aが設けられている。蓋部材7aは、例えば石英で作製できる。
また、真空容器1の天板11の中心部には、分離ガス供給管51が接続されていて、天板11とコア部21との間の空間52に、分離ガスであるArガスを供給するように構成されている。空間52に供給された分離ガスは、突出部5と回転テーブル2との狭い空間50を介して、回転テーブル2のウエハ載置領域の側の表面に沿って周縁に向けて吐出される。空間50は、分離ガスにより空間481及び空間482よりも高い圧力に維持され得る。したがって、空間50により、第1の処理領域P1に供給されるSiガス及び第2の処理領域P2に供給されるHCDガスが、中心領域Cを通って混ざり合うことが抑制される。すなわち、空間50(又は中心領域C)は、分離空間H(又は第1の分離領域D1、第2の分離領域D2、第3の分離領域D3)と同様に機能する。
さらに、真空容器1の側壁には、図2及び図3に示されるように、外部の搬送アーム10と回転テーブル2との間で、ウエハWの受け渡しを行うための搬送口15が形成されている。搬送口15は、ゲートバルブ(図示せず)により開閉される。また回転テーブル2におけるウエハ載置領域である凹部24には、搬送口15に対向する位置にて搬送アーム10との間でウエハWの受け渡しが行われる。よって、回転テーブル2の下方において受け渡し位置に対応する部位に、凹部24を貫通してウエハWを裏面から持ち上げるための受け渡し用の昇降ピン及びその昇降機構(いずれも図示せず)が設けられている。
また、本実施形態の成膜装置には、図1に示されるように、制御部100が設けられる。制御部100は、成膜装置の各部を制御する。制御部100は、例えばコンピュータ等であってよい。また、成膜装置の各部の動作を行うコンピュータのプログラムは、記憶媒体に記憶されている。記憶媒体は、例えばフレキシブルディスク、コンパクトディスク、ハードディスク、フラッシュメモリ、DVD等であってよい。
〔成膜方法〕
実施形態の成膜方法について、前述の成膜装置を用いて行う場合を例に挙げて説明する。図7は、実施形態の成膜方法の一例を示すフローチャートである。実施形態の成膜方法は、シード層形成工程S10、コンフォーマル成膜工程S20及びボトムアップ成膜工程S30を有する。
図8は、実施形態の成膜方法の一例を示す工程断面図である。実施形態では、ウエハWとしてシリコンウエハを使用し、該シリコンウエハの表面にはトレンチ、ビアホール等の凹部が形成されている。また、凹部にはコンフォーマルにシリコン酸化膜が形成されているものとする。また、反応ガスノズル31からDIPASガス又はSiガスが供給され、反応ガスノズル32からHCDガスが供給され、反応ガスノズル33からClガスが供給される例を挙げて説明する。また、Clガスは、リモートプラズマによりラジカル化され、塩素ラジカルとして供給されるものとする。
まず、制御部100は、ゲートバルブ(図示せず)を開き、外部から搬送アーム10(図3)により搬送口15(図2及び図3)を介してウエハWを回転テーブル2の凹部24内に受け渡す。この受け渡しは、凹部24が搬送口15に臨む位置に停止したときに凹部24の底面の貫通孔を介して真空容器1の底部側から昇降ピン(図示せず)が昇降することにより行われる。制御部100は、このような受け渡しを、回転テーブル2を間欠的に回転させて行い、回転テーブル2の5つの凹部24内に夫々ウエハWを載置する。
続いて、制御部100は、ゲートバルブを閉じ、真空ポンプ64により到達可能真空度にまで真空容器1内を排気する。その後、制御部100は、分離ガスノズル41、42、43から分離ガスであるArガスを所定の流量で吐出し、分離ガス供給管51及びパージガス供給管72からもArガスを所定の流量で吐出する。これに伴い、制御部100は、圧力制御器65(図1)により真空容器1内を予め設定した処理圧力に制御する。次いで、制御部100は、回転テーブル2を時計回りに例えば5〜20rpmの回転速度で回転させながらヒータユニット7によりウエハWを例えば550℃に加熱する。
続いて、制御部100は、シード層形成工程S10を実行する。シード層形成工程S10では、制御部100は、第1の処理領域P1の反応ガスノズル31からDIPASガスを供給する。シード層形成工程S10では、回転テーブル2の回転によりウエハWが第1の処理領域P1を通過する際にDIPASガスがウエハWに供給される。これにより、図8(a)に示されるように、凹部801に形成されたシリコン酸化膜802の表面にDIPASガスが吸着してシード層803が形成される。シード層803を形成することで、インキュベーション時間を短くして成膜時間を短縮できる。なお、シード層形成工程S10では、シリコン酸化膜802の表面にシード層803が形成されるまで回転テーブル2を所定の回数だけ回転させ、シード層803が形成された段階で終了し、DIPASガスの供給を停止する。回転テーブル2は、ウエハWを載置した状態で回転を継続する。なお、シード層形成工程S10は必須ではなく、必要に応じて行うようにしてよい。
続いて、制御部100は、コンフォーマル成膜工程S20を実行する。コンフォーマル成膜工程S20では、制御部100は、第1の処理領域P1の反応ガスノズル31からSiガスを供給し、第2の処理領域P2の反応ガスノズル32からHCDガスを供給する。コンフォーマル成膜工程S20では、回転テーブル2の回転によりウエハWが第1の処理領域P1を通過する際に、第1の温度よりも低い第2の温度に設定されたSiガスがウエハWに供給され、シード層803の表面上にSiHの分子層が形成される。また、ウエハWが第2の処理領域P2を通過する際に、HCDガスがウエハWに供給され、SiHの分子層のSi−H結合が切断され、表面上にSiClの分子層が形成される。このように、回転テーブル2の回転によりウエハWが第1の処理領域P1及び第2の処理領域P2を繰り返し通過することにより、図8(b)に示されるように、シリコン酸化膜802の上にシリコン膜804がコンフォーマルに成膜される。
図9及び図10を参照し、コンフォーマル成膜工程S20の反応メカニズムについて説明する。
図9は、コンフォーマル成膜工程S20の反応メカニズムを説明するための図であり、第1の処理領域P1で行われるSiH分子層堆積ステップの一例を示した図である。図9(a)に示されるSiCl分子層が形成されたウエハWにSiガスが供給されると、図9(b)に示されるように、ウエハWの表面においてSi−Cl結合が切断され、Siが熱分解したSiH分子層のSi原子が吸着して結合し始める。そして、図9(c)に示されるように、ウエハWの表面にSiH分子層が形成される。つまり、いわゆるALD法又はMLD法によりSiH分子層がウエハWの表面上に形成される。なお、このような反応は、一般的にはALD法と呼ばれているが、SiHは化学的には原子ではなく分子であるので、本実施形態においては分子層と表現し、ALD法とMLD法とを厳密に区別せずにALD法又はMLD法と包括的に表現している。
この反応は、一般的には、450℃前後の雰囲気下で、ベアシリコンが存在し、シリコン同士が直接結合できる条件でのみ発生する反応である。実施形態の成膜装置では、真空容器1内の温度は550℃前後に設定されているから、通常のプロセスではこのALD反応は起こりえない。しかしながら、実施形態の成膜方法では、反応ガスノズル31から供給するSiガスを常温で供給する。常温は、20〜30℃の範囲内の温度にあり、一般的には25℃前後である。よって、常温のSiガスをウエハWに向けて至近距離で供給することにより、ウエハWの表面近傍の温度を瞬間的に低下させることができ、450℃の温度条件を瞬間的に作り出している。なお、図4に示されるように、第1の処理領域P1においては、第2の天井面45は第1の分離領域D1及び第2の分離領域D2の第1の天井面44よりも高いが、反応ガスノズル31は分離ガスノズル42とほぼ同じ高さであり、ウエハWの表面に近い距離である。よって、反応ガスノズル31から供給されるSiガスは、周囲の雰囲気と同じ温度となる前にウエハWの表面に到達し、本来的には450℃前後の雰囲気下でのみ発生する分子層堆積反応(MLD)を発生させている。
また、真空容器1内の温度である550℃は、Siガスを供給した場合、本来的にはCVD反応が発生してしまう温度である。よって、たとえSiHがウエハWの表面に吸着したとしても、その上にCVD反応によりSiH膜が堆積してしまうおそれがある。しかしながら、実施形態の成膜方法においては、回転テーブル2が回転することにより、余分なCVD反応を発生させることなく第1の分離領域D1へとSiH分子層が表面上に吸着したウエハWが移動する。第1の分離領域D1では、狭い空間内でArのパージガスが供給され、Siガスの流入を防ぐ構成となっているから、SiH分子層の表面上に、更にCVD反応によりSiH膜が堆積することを防ぐことができる。
つまり、実施形態の成膜方法においては、第1の処理領域P1で、ウエハWの表面付近で瞬間的に分子層堆積反応が発生する条件を作り出してSiHの分子層を形成し、形成後は余分なCVD反応が発生しないうちに第1の分離領域D1へと回転移動する。このような常温のSiガスの供給と、回転移動を適切に組み合わせた処理を行うことで、Si−H結合の切断が可能な高温の雰囲気下においても、それよりも低温の雰囲気下でのみ発生するSiHの分子層堆積反応を発生させ、SiH分子層を形成できる。
また、第1の処理領域P1は、前述のような分子層堆積反応によりSiH分子層を形成することから、分子層堆積領域、SiH吸着領域、ALD領域等と呼んでもよい。
なお、実施形態においては、常温のSiガスを供給する例を挙げて説明するが、Si−H結合の切断が可能な550℃よりも低い温度であれば、他の温度でSiガスを供給してもよい。例えば、0〜50℃の範囲で、条件に応じた適切なガス供給温度を設定できる。
また、第1の分離領域D1においては、図4及び図5で説明した構造により、第1の処理領域P1からのSiガスの流入を防ぐことができ、ウエハWは、Ar等のパージガスが表面に供給された状態で第1の分離領域D1を通過する。
図10は、コンフォーマル成膜工程S20の反応メカニズムを説明するための図であり、第2の処理領域P2で行われるSiCl分子層堆積ステップの一例を示した図である。図10(a)に示されるSiHの分子層が形成されたウエハWにSiClガスが供給されると、図10(b)に示されるようにウエハWの表面においてSi−H結合が切断され、SiClが熱分解したSiCl分子層のSi原子が吸着して結合し始める。そして、図10(c)に示されるように、ウエハWの表面にSiCl分子層が形成される。つまり、いわゆるALD法又はMLD法によりSiCl分子層がウエハWの表面上に形成される。
第2の分離領域D2においては、図4及び図5で説明した第1の分離領域D1の構造と同じ構造により、第2の処理領域P2からのHCDガスの流入を防ぐことができ、ウエハWは、Ar等のパージガスが表面に供給された状態で第2の分離領域D2を通過する。
第2の分離領域D2を通過したウエハWは、第3の処理領域P3に回転移動する。このとき、第3の処理領域P3においては塩素ラジカルが供給されていないため、エッチング反応は生じない。
第3の処理領域P3を通過したウエハWは、第3の分離領域D3に回転移動する。第3の分離領域D3においては、Ar等のパージガスがウエハWの表面に供給され、シリコン原子層の表面に余分な塵等が堆積するのが防止される。
第3の分離領域D3を通過したウエハWは、回転テーブル2の更なる回転により、表面にSiCl分子層が形成された状態で、再び第1の処理領域P1に入り、前述の分子層堆積法によるSiH分子層の形成が行われ、以下同様のプロセスが繰返される。そして、回転テーブル2を複数回連続して回転させることにより、前述のシリコン成膜プロセスが繰返され、所望の厚さのシリコン膜をコンフォーマルに形成できる。
このように、コンフォーマル成膜工程S20によれば、Si−H結合の切断が可能な真空容器1内の温度設定、これよりも低い温度のジシランガスの供給、回転テーブル2の回転によるCVD反応の発生防止を適切に組み合わせる。これにより、真空容器1内の温度を一定に維持し、高い生産性でALD法を利用した均一性の高いシリコン膜を形成できる。
また、コンフォーマル成膜工程S20によれば、SiガスとHCDガスを異なる領域(第1の処理領域P1、第2の処理領域P2)から同時に流し、回転テーブル2が1回転する間に、SiH分子層の形成とSiCl分子層の形成を夫々実行する。すなわち、回転テーブル2が1回転する間に、Siを含む分子層の形成を2回実行する。これにより、第2の処理領域P2にHCDガスを流さない場合に比べて半分の時間でALD法を利用したシリコン膜を形成できる。
なお、前述の説明において、真空容器1内の温度が550℃前後である例を挙げて説明したが、本開示はこれに限定されない。真空容器1内の温度はSi−H結合の切断が発生する温度にヒータユニット7が設定されていればよいので、例えば540〜580℃の範囲でSi−H結合の切断が発生する所定の温度に設定することが可能である。
続いて、制御部100は、ボトムアップ成膜工程S30を実行する。ボトムアップ成膜工程S30では、制御部100は、第1の処理領域P1の反応ガスノズル31からSiガス、第2の処理領域P2の反応ガスノズル32からHCDガス、第3の処理領域P3の反応ガスノズル33から塩素ラジカルを夫々供給する。
ボトムアップ成膜工程S30では、回転テーブル2の回転によりウエハWが第1の処理領域P1を通過する際に、第1の温度よりも低い第2の温度に設定されたSiガスがウエハWに供給され、基板の表面上にSiHの分子層が形成される。
また、ウエハWが第2の処理領域P2を通過する際に、HCDガスがウエハWに供給され、SiHの分子層のSi−H結合が切断され、図8(c)に示されるように、表面上にSiCl原子層805が形成される。
また、ウエハWが第3の処理領域P3を通過する際に、反応ガスノズル33から塩素ラジカルがウエハWに供給される。このとき、塩素ラジカルはウエハWの上面及び凹部801の上部には容易に到達して多くのSiCl原子層805をエッチングして除去する。一方、凹部801の奥は深いので、凹部801の底面までは塩素ラジカルは到達せず、凹部801の底面のSiCl原子層805はほとんどエッチングされない。これにより、図8(d)に示されるように、凹部801の底面及び内壁下部のSiCl原子層805は残存し、凹部801の内壁上部のSiCl原子層805が選択的に除去される。
そして、回転テーブル2の回転により、ウエハWが第1の処理領域P1、第2の処理領域P2及び第3の処理領域P3を繰り返し通過すると、図8(e)に示されるように、凹部801内にV字の断面形状を有し、ボトムアップ成長したシリコン膜806が堆積する。これにより、凹部801の上部の開口は塞がれず、ボイドやシームが形成され難い状態を維持しながら凹部801を埋め込むことができる。また、SiHの分子層の形成と、SiHの分子層のSi−H結合の切断及びSiClの分子層の形成とを繰返すことでシリコン膜806が形成されるので、緻密で膜密度の高いシリコン膜806を形成できる。
また、ボトムアップ成膜工程S30では、反応ガスノズル33から供給される塩素ラジカルは、回転テーブル2の表面におけるウエハWが載置されない領域にも容易に到達するため、回転テーブル2の表面に堆積した膜がエッチングにより除去される。これにより、回転テーブル2のクリーニングサイクルを長くできるので、生産性が向上する。
なお、ボトムアップ成膜工程S30では、第3の処理領域P3の反応ガスノズル33から塩素ラジカルを間欠的に供給してもよい。例えば、回転テーブル2が所定の回数だけ回転するごとに第3の処理領域P3から塩素ラジカルを供給することで、シリコン膜がエッチングされる量を抑制して成膜速度を高めることができる。
今回開示された実施形態はすべての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
なお、上記の実施形態では、第2の処理領域P2に供給される反応ガスとしてHCDガスを例に挙げて説明したが、本開示はこれに限定されない。例えば、HCDガスに代えて、テトラクロロシラン(SiCl)ガス等の珪素及び塩素を含むガスを用いてもよい。
また、上記の実施形態では、第3の処理領域P3に供給されるエッチングガスとして塩素ガスを例に挙げて説明したが、本開示はこれに限定されない。例えば、塩素ガスに代えて、フッ素(F)ガス、トリフルオロメタン(CHF)ガスを用いてもよい。FガスやCHFガスは、リモートプラズマによりラジカル化され、フッ素ラジカルとして供給される。
1 真空容器
2 回転テーブル
D1 第1の分離領域
P1 第1の処理領域
P2 第2の処理領域
P3 第3の処理領域
W ウエハ

Claims (12)

  1. Si−H結合を切断することが可能な第1の温度に設定された真空容器内に、互いに離間した第1の処理領域と第2の処理領域とが周方向に沿って配置され、前記第1の処理領域と前記第2の処理領域を回転通過可能な回転テーブルの上に載置された基板の上にシリコン膜を成膜する成膜方法であって、
    前記基板が前記第1の処理領域を通過する際に、前記第1の温度よりも低い第2の温度に設定されたSiガスを供給し、前記基板の表面上にSiHの分子層を形成するステップと、
    前記基板が前記第2の処理領域を通過する際に、珪素及び塩素を含むガスを供給し、前記SiHの分子層のSi−H結合を切断すると共に表面上にSiClの分子層を形成するステップと、
    を含む成膜工程を有する、成膜方法。
  2. 前記成膜工程では、前記SiHの分子層を形成するステップと前記SiClの分子層を形成するステップとを含む複数回のサイクルを実行する、
    請求項1に記載の成膜方法。
  3. 前記珪素及び塩素を含むガスは、HCDガスである、
    請求項1又は2に記載の成膜方法。
  4. 前記成膜工程の前に、前記基板の表面にシード層を形成する工程を更に有する、
    請求項1乃至3のいずれか一項に記載の成膜方法。
  5. 前記シード層を形成する工程は、アミノシラン系ガスを前記基板の表面に供給する処理を含む、
    請求項4に記載の成膜方法。
  6. 前記第1の処理領域と前記第2の処理領域との間に、前記第1の処理領域と前記第2の処理領域とを分離する第1の分離領域が設けられ、
    前記成膜工程は、前記SiHの分子層を形成するステップの後、前記基板に前記第1の分離領域を通過させて前記基板の表面にパージガスを供給し、SiHのCVD反応の発生を抑制するステップを更に含む、
    請求項1乃至5のいずれか一項に記載の成膜方法。
  7. 前記基板は、前記回転テーブルに対して回転可能である、
    請求項1乃至6のいずれか一項に記載の成膜方法。
  8. 前記回転テーブルの周方向に沿って前記第2の処理領域から離間して配置される第3の処理領域を有し、
    前記基板の表面には凹部が形成されており、
    前記成膜工程の後に実行される埋め込み工程を更に有し、
    前記埋め込み工程は、
    前記SiHの分子層を形成するステップと、
    前記SiClの分子層を形成するステップと、
    前記基板が前記第3の処理領域を通過する際に、前記SiClの分子層を異方性エッチングして前記凹部の内壁上部の前記SiClの分子層を選択的に除去するステップと、
    を含む、
    請求項1乃至7のいずれか一項に記載の成膜方法。
  9. 前記埋め込み工程では、前記SiHの分子層を形成するステップと前記SiClの分子層を形成するステップとを含む複数回のサイクルを実行し、前記複数回のサイクルの少なくとも一部が前記SiClの分子層を選択的に除去するステップを含む、
    請求項8に記載の成膜方法。
  10. 前記異方性エッチングは、塩素ラジカル又はフッ素ラジカルを供給することにより実行される、
    請求項9に記載の成膜方法。
  11. 前記塩素ラジカル又は前記フッ素ラジカルは、前記基板の表面に略平行に供給される、
    請求項10に記載の成膜方法。
  12. Si−H結合を切断することが可能な第1の温度に設定された真空容器と、
    前記真空容器内に設けられ、基板が載置されると共に回転可能な回転テーブルと、
    前記真空容器内に、周方向に沿って設けられ、前記第1の温度よりも低い第2の温度でSiガスを供給し、前記回転テーブルの回転により前記基板が通過した際に、前記基板の凹部にSiHの分子層を形成する第1の処理領域と、
    前記第1の処理領域と周方向に離間して設けられ、前記回転テーブルの回転により前記基板が通過した際に、珪素及び塩素を含むガスを供給し、前記SiHの分子層のSi−H結合を切断すると共に表面上にSiClの分子層を形成する第2の処理領域と、
    を備える、成膜装置。
JP2020019159A 2020-02-06 2020-02-06 成膜方法及び成膜装置 Active JP7345410B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2020019159A JP7345410B2 (ja) 2020-02-06 2020-02-06 成膜方法及び成膜装置
US17/150,177 US11417521B2 (en) 2020-02-06 2021-01-15 Film forming method and film forming apparatus
KR1020210011145A KR20210100536A (ko) 2020-02-06 2021-01-27 성막 방법 및 성막 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2020019159A JP7345410B2 (ja) 2020-02-06 2020-02-06 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
JP2021125610A true JP2021125610A (ja) 2021-08-30
JP7345410B2 JP7345410B2 (ja) 2023-09-15

Family

ID=77176937

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020019159A Active JP7345410B2 (ja) 2020-02-06 2020-02-06 成膜方法及び成膜装置

Country Status (3)

Country Link
US (1) US11417521B2 (ja)
JP (1) JP7345410B2 (ja)
KR (1) KR20210100536A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022118926A1 (ja) 2020-12-02 2022-06-09 東ソー株式会社 活性水素含有有機化合物捕捉剤、組成物、及びそれらの用途
JP7446650B1 (ja) 2023-06-05 2024-03-11 株式会社シー・ヴィ・リサーチ 原子層堆積装置及び原子層堆積方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
JP7345410B2 (ja) * 2020-02-06 2023-09-15 東京エレクトロン株式会社 成膜方法及び成膜装置
US11955370B2 (en) * 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001068419A (ja) * 1999-08-26 2001-03-16 Agency Of Ind Science & Technol 固体の選択成長方法
JP2014082419A (ja) * 2012-10-18 2014-05-08 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2017135367A (ja) * 2016-01-26 2017-08-03 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2017188598A (ja) * 2016-04-07 2017-10-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、およびプログラム
JP2019033229A (ja) * 2017-08-09 2019-02-28 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP2019096666A (ja) * 2017-11-20 2019-06-20 東京エレクトロン株式会社 エッチング方法及びこれを用いた窪みパターンの埋め込み方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7345410B2 (ja) * 2020-02-06 2023-09-15 東京エレクトロン株式会社 成膜方法及び成膜装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001068419A (ja) * 1999-08-26 2001-03-16 Agency Of Ind Science & Technol 固体の選択成長方法
JP2014082419A (ja) * 2012-10-18 2014-05-08 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2017135367A (ja) * 2016-01-26 2017-08-03 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2017188598A (ja) * 2016-04-07 2017-10-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、およびプログラム
JP2019033229A (ja) * 2017-08-09 2019-02-28 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP2019096666A (ja) * 2017-11-20 2019-06-20 東京エレクトロン株式会社 エッチング方法及びこれを用いた窪みパターンの埋め込み方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022118926A1 (ja) 2020-12-02 2022-06-09 東ソー株式会社 活性水素含有有機化合物捕捉剤、組成物、及びそれらの用途
JP7446650B1 (ja) 2023-06-05 2024-03-11 株式会社シー・ヴィ・リサーチ 原子層堆積装置及び原子層堆積方法

Also Published As

Publication number Publication date
US11417521B2 (en) 2022-08-16
US20210249264A1 (en) 2021-08-12
JP7345410B2 (ja) 2023-09-15
KR20210100536A (ko) 2021-08-17

Similar Documents

Publication Publication Date Title
JP2021125610A (ja) 成膜方法及び成膜装置
US9865499B2 (en) Method and apparatus for gap fill using deposition and etch processes
JP5599350B2 (ja) 成膜装置及び成膜方法
TWI688669B (zh) 凹部之填埋方法
US10304676B2 (en) Method and apparatus for forming nitride film
US11710633B2 (en) Deposition method and deposition apparatus
KR101879022B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP2017112258A (ja) 成膜方法及び成膜装置
JP6388553B2 (ja) 基板処理装置及び基板処理方法
KR101989657B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP7003011B2 (ja) シリコン窒化膜の成膜方法及び成膜装置
JP6869024B2 (ja) パーティクル除去方法及び基板処理方法
JP5750190B2 (ja) 成膜装置及び成膜方法
TWI733809B (zh) 成膜裝置
JP7246217B2 (ja) 成膜装置の洗浄方法
KR20190058299A (ko) 에칭 방법 및 이것을 사용한 오목부 패턴의 매립 방법
JP2010129983A (ja) 成膜装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220826

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230509

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230622

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230905

R150 Certificate of patent or registration of utility model

Ref document number: 7345410

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150