JP2020525818A - リソグラフィ装置の焦点性能を測定するための方法並びにパターニングデバイス及び装置、デバイス製造方法 - Google Patents

リソグラフィ装置の焦点性能を測定するための方法並びにパターニングデバイス及び装置、デバイス製造方法 Download PDF

Info

Publication number
JP2020525818A
JP2020525818A JP2019565561A JP2019565561A JP2020525818A JP 2020525818 A JP2020525818 A JP 2020525818A JP 2019565561 A JP2019565561 A JP 2019565561A JP 2019565561 A JP2019565561 A JP 2019565561A JP 2020525818 A JP2020525818 A JP 2020525818A
Authority
JP
Japan
Prior art keywords
features
feature
focus
dimension
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019565561A
Other languages
English (en)
Other versions
JP6920474B2 (ja
Inventor
スタールズ,フランク
オーステン,アントン,ベルンハルト ヴァン
オーステン,アントン,ベルンハルト ヴァン
ユディスティラ,ヤスリ
ライテン,カルロ,コルネリス,マリア
フェルストラーテ,バート
ゲミンク,ヤン−ウィレム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2020525818A publication Critical patent/JP2020525818A/ja
Application granted granted Critical
Publication of JP6920474B2 publication Critical patent/JP6920474B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

サブ解像度フィーチャに依存しない焦点計測パターン及び方法が開示される。焦点は、印刷されたパターン(T)又は相補的な対の印刷されたパターン(TN/TM)の非対称性を測定することによって測定することができる。非対称性はスキャトロメトリによって測定することができる。パターンはEUV放射又はDUV放射を使用して印刷されてもよい。第一タイプの焦点計測パターンは第二フィーチャ(424)と交互に配置された第一フィーチャ(422)を含む。各第一フィーチャの最小寸法(w1)は印刷解像度に近い。周期性の方向における各第二フィーチャの最大寸法(w2)は第一フィーチャの最小寸法の少なくとも2倍である。各第一フィーチャは、間隔(w1’)及び各第一フィーチャの最も近傍の第二フィーチャが第一フィーチャの最小寸法の1/2〜2倍となるように2つの隣接する第二フィーチャ間に位置決めされる。第二タイプの焦点計測パターンは対で配置されたフィーチャ(1122,1124)を含む。【選択図】図5

Description

関連出願の相互参照
[0001] 本出願は、2017年6月26日に出願された欧州特許出願公開第17177774.1号の優先権を主張するものであり、その全体が参照によって本明細書に組み込まれる。
[0002] 本発明は、例えば、リソグラフィ技術によるデバイス製造の際に計測を実行するのに有用な、検査装置及び方法に関する。本発明は更に、リソグラフィプロセスにおいて焦点パラメータをモニタリングするためのかかる方法に関する。
[0003] リソグラフィ装置は、基板に、通常は基板のターゲット部分に所望のパターンを付与する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使用することができる。その事例では、ICの個々の層に形成すべき回路パターンを生成するために、マスク又はレチクルとも呼ばれるパターニングデバイスを使用してもよい。このパターンは、基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、1つのダイの一部又はいくつかのダイを含む)に転写することができる。パターンの転写は、典型的には、基板上に設けられた放射感応性材料(レジスト)層上への結像によって行われる。概して、単一の基板は、連続的にパターンが付与される隣接したターゲット部分のネットワークを含む。
[0004] リソグラフィプロセスでは、例えばプロセス制御及び検証のために、作製された構造の測定を高頻度で行うことが望ましい。クリティカルディメンジョン(CD)を測定するのにしばしば使用される、走査電子顕微鏡と、デバイスにおける2つの層のアライメントの精度である、オーバーレイを測定するための専用ツールとを含む、かかる測定を行うための種々のツールが知られている。近年、リソグラフィ分野で使用される、種々の形態のスキャトロメータが開発されている。これらのデバイスは、ターゲット上に放射ビームを誘導し、散乱放射の1つ若しくは複数の特性、例えば波長の関数としての単一反射角での強度、反射角の関数としての1つ若しくは複数の波長における強度、又は反射角の関数としての偏光を測定して、回折「スペクトル」を得て、回折「スペクトル」から、ターゲットの対象とする特性を決定することができる。
[0005] 既知のスキャトロメータの例としては、米国特許出願公開第2006033921号及び米国特許出願公開第2010201963号で説明されているタイプの角度分解スキャトロメータが挙げられる。そのようなスキャトロメータによって使用されるターゲットは、比較的大きな格子、例えば40μm×40μmであり、測定ビームは格子よりも小さなスポットを生成する(つまり、格子は満たされない)。回折次数の暗視野結像を使用する、回折に基づくオーバーレイ計測は、より小さなターゲットのオーバーレイ及び他のパラメータの測定を可能にする。これらのターゲットは、照明スポットより小さいものとすることができ、且つ基板上の製品構造によって取り囲まれてもよい。像平面内の暗視野検出によって、周囲の製品構造の強度をオーバーレイターゲットの強度から効率的に分離することができる。
[0006] 暗視野結像計測の例は、国際特許出願である米国特許出願公開第20100328655号及び米国特許出願公開第2011069292号に見出すことができ、それらの文書の全体が参照によって本明細書に組み込まれる。本技術の更なる発展は、米国特許出願公開第20110027704号、米国特許出願公開第20110043791号、米国特許出願公開第2011102753号、米国特許出願公開第20120044470号、米国特許出願公開第20120123581号、米国特許出願公開第20130258310号、米国特許出願公開第20130271740号、及び国際公開第2013178422号で説明されている。これらのターゲットは、照明スポットよりも小さいものとすることができ、且つウェーハ上の製品構造によって取り囲まれてもよい。複合格子ターゲットを使用して、複数の格子を1つの像で測定することができる。これら全ての出願の内容はまた、参照によって本明細書に組み込まれる。
[0007] モニタリングを必要とするリソグラフィプロセスの1つの重要なパラメータは、焦点である。ますます多くの電子コンポーネントをICに集積することが望まれている。これを実現するには、コンポーネントのサイズを小さくし、したがって投影システムの解像度を高めることが必要であり、その結果、ますます小さな細部又は線幅を基板のターゲット部分に投影することができる。リソグラフィにおけるクリティカルディメンジョン(CD)が縮小するにつれて、基板全体と基板間の両方での、焦点の一貫性がますます重要になる。CDは、変化がフィーチャの物理的特性の望ましくない変化を引き起こす1つ又は複数のフィーチャの寸法(トランジスタのゲート幅など)である。
[0008] 従来、最適な設定値は、「先送りウェーハ」、すなわち、生産プロセスに先立って露光、現像、及び測定される基板によって決定された。先送りウェーハでは、テスト構造がいわゆる焦点エネルギーマトリックス(FEM)で露光され、最良の焦点とエネルギー(露光ドーズ)設定値がそれらのテスト構造の検査から決定された。ごく最近では、焦点性能の連続的なモニタリングを可能にするために、焦点計測ターゲットが生産設計に含まれる。これらの計測ターゲットは、大量生産における高速性能測定を可能にするために、焦点の迅速な測定を可能にするべきである。理想的には、計測ターゲットは、過度のスペースの損失なしに製品フィーチャ中に配置できるほど十分に小さくするべきである。
[0009] 現在のテスト構造設計及び焦点測定方法には、多数の欠点がある。既知の焦点計測ターゲットは、サブ解像度フィーチャ及び/又は大きなピッチの格子構造を必要とする。そのような構造は、リソグラフィ装置のユーザの設計ルールに反し得る。格子構造における非対称性は、可視光放射波長で作動する、スキャトロメータなどの高速検査装置を使用して効果的に測定することができる。既知の焦点測定技術は、ターゲット構造を画定するパターニングデバイス上のパターンの特別な設計によってレジスト層に印刷された構造に焦点感応性の非対称性を導入できるという事実を利用している。20nm未満、例えば13.5nmの波長の放射を使用して印刷が実行されるEUVリソグラフィでは、サブ解像度フィーチャの作成がより一層困難になる。EUVリソグラフィでは、レジストの厚さ、ひいてはターゲット構造の厚さがより小さい。このことは、回折効率を弱め、それゆえ、焦点計測に利用できる、信号強度を弱める。
[0010] これらの理由から、リソグラフィプロセスにおける、特にEUVリソグラフィにおける焦点性能の測定のための、概して投影に基づくリソグラフィのためでもある新たな技術を開発する必要がある。
[0011] 本発明は、焦点性能を測定する代替方法を提供することを目的とする。いくつかの態様では、本発明は、EUVリソグラフィなどの、新しい環境に適応可能な方法を提供することを目的とする。いくつかの態様では、本発明は、サブ解像度フィーチャの要件がパターニングデバイスで規定されるのを回避することを目的とする。
[0012] 本発明の第一態様では、発明者らは、サブ解像度フィーチャを使用せずに焦点依存非対称信号を提供する、代替的なターゲット設計を考案できることを認識した。
[0013] 本発明は、第一態様において、リソグラフィ装置の焦点性能を測定する方法であって、
(a)リソグラフィ装置を使用して少なくとも1つの焦点計測パターンを基板に印刷することであって、印刷された焦点計測パターンが少なくともフィーチャの第一周期的アレイを含むことと、
(b)検査放射を使用して、印刷された焦点計測パターンにおける第一周期的アレイに対する回折スペクトルの反対部分間の非対称性を測定することと、
(c)ステップ(b)で測定された非対称性に少なくとも部分的に基づいて焦点性能の測定値を導出することと、を含み、
上記第一周期的アレイは、第一フィーチャが第二フィーチャと交互に配置された繰り返し配置を含み、各第一フィーチャの最小寸法が、印刷ステップ(a)の限界解像度に近いがそれ以上であり、周期性の方向における各第二フィーチャの最大寸法が、第一フィーチャの最小寸法の少なくとも2倍であり、
各第一フィーチャは、第一フィーチャとその近傍の第二フィーチャとの間の周期性の方向における間隔が第一フィーチャの最小寸法の2分の1〜2倍となるように2つの隣接する第二フィーチャ間に位置決めされる、
方法を提供する。
[0014] 本発明は、第二態様において、リソグラフィ装置の焦点性能を測定する方法であって、
(a)リソグラフィ装置を使用して少なくとも1つの焦点計測パターンを基板に印刷することであって、印刷された焦点計測パターンが、少なくとも1方向に周期的であるフィーチャのアレイを含むことと、
(b)印刷された焦点計測パターンの特性を測定することと、
(c)上記特性の測定から焦点性能の測定値を導出することと、を含み、
焦点計測パターンが少なくともフィーチャの第一周期的アレイを含み、各フィーチャの寸法が、印刷ステップ(a)の限界解像度に近いがそれ以上であり、
上記フィーチャが対で配置され、且つ周期性の方向における焦点計測パターン内の隣接する対のフィーチャ間の間隔が、各第一フィーチャの寸法と1対内の第一フィーチャ間の間隔の両方よりもはるかに大きい、
方法を提供する。
[0015] 「限界解像度に近いがそれ以上の」という語句は、限界解像度の最大2倍、又は更には2.5倍の寸法を含むものと解釈されるべきである。
[0016] 測定される特性は非対称性であってもよい。非対称性はフィーチャ対に異なる方法で導入することができる。これによって、設計ルールに違反する又はサブ解像度フィーチャを含める必要なしに、焦点に対する非対称性の感度が高い焦点計測パターンを作成するために使用することが可能となる。
[0017] いくつかの実施形態では、EUV放射と反射型のパターニングデバイスとの相互作用の3次元的性質は、そのようなフィーチャがリソグラフィ装置の印刷解像度内にあるとしても、1対の微細フィーチャの相対寸法に焦点感度をもたらす。そのような実施形態では、焦点計測パターンは、パターニングデバイスによって画定され、且つステップ(a)での印刷では、パターニングデバイスに斜角をなして入射するパターニング放射でパターニングデバイスの像が投影される。このようにして、パターン自体は対称であっても、焦点依存非対称性を導入することができる。
[0018] 非対称性は、異なる方法で測定することができる。非対称性は、例えば、光学スキャトロメトリによって測定されてもよく、又は電子顕微鏡によって測定されてもよい。
[0019] 本発明はなお更に、リソグラフィ装置で使用されるパターニングデバイスであって、1つ又は複数のデバイスパターン及び1つ又は複数の計測パターンのフィーチャを画定するための反射部分及び非反射部分を備え、計測パターンが、上述したように、本発明の第一態様による方法で使用されるようになされた、少なくとも1つの焦点計測パターンを含む、パターニングデバイスを提供する。
[0020] 本発明はなお更に、リソグラフィプロセスの焦点性能を測定するための計測装置であって、上述した本発明の第一態様又は第二態様による方法のステップ(b)及び(c)を実行するように動作可能である、計測装置を提供する。
[0021] 本発明はなお更に、リソグラフィ装置を備えるリソグラフィシステムであって、リソグラフィ装置が、反射型パターニングデバイスを照明するように配置された照明光学システムと、パターニングデバイスの像を基板上に投影するように配置された投影光学システムと、上述した本発明の任意の態様による計測装置と、を備え、リソグラフィ装置が、パターンを更なる基板に付与するときに、計測装置によって導出された焦点性能の測定値を使用するように配置される、リソグラフィシステムを提供する。
[0022] 本発明はなお更に、上述した本発明の種々の態様による方法及び装置を実現する際に使用されるコンピュータプログラム製品を提供する。
[0023] 本発明はなお更に、上述した本発明の第一態様又は第二態様による方法を使用してデバイスを製造する方法を提供する。
[0024] 本発明の更なる特徴及び利点、並びに本発明の種々の実施形態の構造及び動作は、添付の実施形態を参照しながら以下に詳細に説明する。本発明は本明細書で説明する具体的な実施形態に限定されないことに留意されたい。そのような実施形態は、単なる例示の目的で本明細書に提示される。追加の実施形態は、本明細書に含まれる教示に基づき当業者に明らかになるであろう。
[0025] ここで、対応する参照符号が対応する部分を示す添付の概略図を参照しながら、本発明の実施形態を、単に例示として、説明する。
反射型パターニングデバイスを有するリソグラフィ装置を描いた図である。 本発明による方法を実行するためにリソグラフィ装置及び計測装置が使用可能であるリソグラフィセル又はクラスタを描いた図である。 角度分解スキャトロメトリ及び暗視野結像検査方法を実行するようになされた検査装置を概略的に図示する図である。 本発明の一実施形態における反射型パターニングデバイスを使用して基板上への焦点計測ターゲットの形成を図示する図である。 本発明の第一態様の実施形態で使用される例示の4つの焦点計測パターン(a)〜(d)を示す概略詳細図である。 図5(b)に示すタイプの焦点計測パターンにおける設計パラメータのいくらかの変化(a)〜(c)を図示する図である。 図5(b)に示すタイプの焦点計測パターンの一部をより詳細に図示する図である。 パターンの相補的な変形例を示す図である。 図7(a)及び(b)に示すタイプの焦点計測パターンの相補的な変形例を含む複合焦点計測ターゲットの形成を示す図である。 図3の装置を使用して得られた、図8のターゲットの計測焦点パターンの暗視野像を示す図である。 本発明の実施形態による焦点をモニタリングする方法のフローチャートである。 本発明の第二態様の実施形態で使用される例示の2つの焦点計測パターン(a)及び(b)を示す概略詳細図である。 図1のリソグラフィ装置において斜め照明を使用して焦点計測パターンを印刷する際の隔離された2本バーフィーチャの空間像の形成を図示する図である。 本発明の第二態様の一実施形態を図示する、焦点計測ターゲット及び焦点のある特定のパラメータ間の関係のシミュレーションを示す図である。 本発明の第二態様の一実施形態を図示する、焦点計測ターゲット及び焦点のある特定のパラメータ間の関係のシミュレーションを示す図である。 図12の方法で使用される焦点計測ターゲットの形態を示す図である。 図12の方法で使用される焦点計測ターゲットの形態を示す図である。 本発明の第二態様の代替的な実施形態で使用される、図11(b)に示すタイプの1対のバイアスされた焦点計測パターンを使用する複合焦点計測ターゲットの形成を示す図である。 本発明の第二態様の代替的な実施形態で使用される、図11(b)に示すタイプの1対のバイアスされた焦点計測パターンを使用する複合焦点計測ターゲットの形成を示す図である。 本発明の第二態様の代替的な実施形態で使用される、図11(b)に示すタイプの1対のバイアスされた焦点計測パターンを使用する複合焦点計測ターゲットの形成を示す図である。 図3の装置を使用して得られた、図14のターゲットの計測焦点パターンの暗視野像を示す図である。 本発明の実施形態による焦点をモニタリングする方法のフローチャートである。
[0026] 本発明の実施形態を詳細に説明する前に、本発明の実施形態が実現され得る例示の環境を提示することが有益である。
[0027] 図1は、本発明の一実施形態による放射源モジュールSOを含むリソグラフィ装置100を概略的に描いている。装置は、
放射ビームB(例えば、EUV放射)を調節するように構成された照明システム(イルミネータ)ILと、
パターニングデバイス(例えば、マスク又はレクチル)MAを支持するように構築され、且つパターニングデバイスを正確に位置決めするように構成された第一ポジショナPMに接続された支持構造(例えば、マスクテーブル)MTと、
基板(例えば、レジストコートウェーハ)Wを保持するように構築され、且つ基板を正確に位置決めするように構成された第二ポジショナPWに接続された基板テーブル(例えば、ウェーハテーブル)WTと、
放射ビームBに付与されるパターンを基板Wのターゲット部分C(例えば、1つ又は複数のダイを備える)にパターニングデバイスMAによって投影するように構成された投影システム(例えば、反射型投影システム)PSと、
を備える。
[0028] 照明システムは、放射の誘導、整形、又は制御のための、屈折型、反射型、磁気型、電磁型、静電型又は他のタイプの光学コンポーネント、又はこれらの任意の組み合わせなどの、種々のタイプの光学コンポーネントを含み得る。
[0029] 支持構造MTは、パターニングデバイスの向き、リソグラフィ装置の設計、及び例えばパターニングデバイスが真空環境内に保持されるか否かなどの他の条件に応じた様式で、パターニングデバイスMAを保持する。支持構造は、パターニングデバイスを保持するために、機械式、真空式、静電式又は他のクランプ技術を使用することができる。支持構造は、例えば、必要に応じて固定され得る又は移動可能であり得る、フレーム又はテーブルであってもよい。支持構造は、パターニングデバイスが、例えば投影システムに対して、所望の位置にあることを確実し得る。
[0030] 「パターニングデバイス」という用語は、基板のターゲット部分にパターンを作成する目的で放射ビームの断面にパターンを付与するために使用できる任意のデバイスを指すものとして広く解釈されるべきである。放射ビームに付与されるパターンは、ターゲット部分に作成される集積回路のなどのデバイス内の特定の機能層に対応してもよい。
[0031] 概して、リソグラフィで使用されるパターニングデバイスは、透過型又は反射型であってもよい。パターニングデバイスの例としては、マスク、プログラマブルミラーアレイ、及びプログラマブルLCDパネルが挙げられる。マスクは、リソグラフィにおいて周知であるとともに、バイナリ、レベルソン型位相シフト、及び減衰型シフトなどのマスクタイプ、並びに種々のハイブリッドマスクタイプを含む。プログラマブルミラーアレイの例では、小型ミラーのマトリックス配列が用いられ、小型ミラーの各々は、入射する放射ビームを異なる方向に反射するように個別に傾斜させることができる。傾斜したミラーは、ミラーマトリックスによって反射される放射ビームにパターンを付与する。
[0032] 照明システムなどの、投影システムは、使用される露光放射に、又は真空の使用などの他の要因に合わせて、屈折型、反射型、磁気型、電磁型、静電型、若しくは他のタイプの光学コンポーネント、又はそれらの任意の組み合わせなどの、種々のタイプの光学コンポーネントを含み得る。EUV放射では、他のガスが過剰に放射を吸収し得るので、真空を使用することが望ましい場合がある。それゆえ、真空環境は、真空壁及び真空ポンプの助けによりビーム経路全体に提供されてもよい。
[0033] ここで描かれているように、装置は、反射型(例えば、反射マスクを用いる)である。本開示の焦点計測技術は、特に反射型パターニングデバイス(レチクル)と共に使用するために開発されており、反射型パターニングデバイスでは、照明は、パターニングデバイス表面の平面に垂直な方向ではなく、僅かに斜めの角度をなす。原則として、何らかの理由から照明が非対称に導入される場合、透過型パターニングデバイスに関して同じ技術を適用することができる。従来、レチクルの照明は、対称になるように設計されていたが、反射型レチクルではそのような設計は一般的に不可能である。
[0034] 本開示のある特定の実施形態は、反射型パターニングデバイスを使用する投影システムにおいて非対称性を利用する。他の実施形態も任意の種類の投影システムに適用可能である。
[0035] リソグラフィ装置は、2つ(デュアルステージ)以上の基板テーブル(及び/又は2つ以上のマスクテーブル)を有するタイプであってもよい。そのような「マルチステージ」機械では、追加のテーブルを並行して使用してもよく、又は、露光のために1つ若しくは複数の他のテーブルを使用する間に、1つ若しくは複数のテーブルに対して予備ステップを実行してもよい。
[0036] 図1を参照すると、イルミネータILは、放射源モジュールSOから極紫外線放射ビームを受け取る。EUV光を生成する方法は、必ずしも限定されるものではないが、EUV範囲内に1つ又は複数の輝線を有する少なくとも1つの元素、例えばキセノン、リチウム又はスズを有する材料をプラズマ状態に変換することを含む。そのような方法の1つでは、レーザ生成プラズマ(「LPP」)と呼ばれることが多いが、所要の線発光元素を有する材料の液滴、流れ又はクラスタなどの燃料にレーザビームを照射することによって、所要のプラズマを生成することができる。放射源モジュールSOは、燃料を励起するレーザビームを提供するために、図1には図示しない、レーザを含むEUV放射システムの一部であってもよい。結果として生じたプラズマは、出力放射、例えばEUV放射を放出し、この放射は、放射源モジュールに配置される放射コレクタを使用して収集される。例えば、燃料励起のためのレーザビームを提供するのにCO2レーザが使用される場合、レーザと放射源モジュールとが別体であってもよい。
[0037] そのような場合には、レーザはリソグラフィ装置の一部を形成するとは見なされず、放射ビームは、例えば、好適な誘導ミラー及び/又はビームエキスパンダを備えるビームデリバリシステムの助けにより、レーザから放射源モジュールに渡される。他の場合、例えば、放射源がしばしばDPP源と呼ばれる放電生成プラズマEUV発生器である場合に、放射源は、放射源モジュールの一体部であってもよい。
[0038] イルミネータILは、放射ビームの角度強度分布を調整するためのアジャスタを備え得る。通例では、イルミネータの瞳面内の強度分布の少なくとも外側及び/又は内側半径範囲(一般にそれぞれσ外側及びσ内側と呼ばれる)を調整することができる。加えて、イルミネータILは、ファセットフィールドミラーデバイス及び瞳ミラーデバイスなどの、他の種々のコンポーネントを備え得る。イルミネータは、放射ビームの断面における所望の均一性及び強度分布を有するように、放射ビームを調節するために使用されてもよい。
[0039] 放射ビームBは、支持構造(例えば、マスクテーブル)MT上に保持される、パターニングデバイス(例えば、マスク)MAに入射して、パターニングデバイスによってパターンが付与される。パターニングデバイス(例えばマスク)MAから反射された後、放射ビームBは、投影システムPSを通過し、投影システムPSは、ビームを基板Wのターゲット部分Cに合焦させる。第二ポジショナPW及び位置センサPS2(例えば、干渉デバイス、リニアエンコーダ又は静電容量センサ)の助けにより、基板テーブルWTは、例えば、異なるターゲット部分Cを放射ビームBの経路に位置決めするように正確に移動させることができる。同様に、第一ポジショナPM及び別の位置センサPS1は、パターニングデバイス(例えば、マスク)MAを放射ビームBの経路に対して正確に位置決めするために使用することができる。パターニングデバイス(例えば、マスク)MA及び基板Wは、マスクアライメントマークM1、M2及び基板アライメントマークP1、P2を使用して位置合わせされてもよい。
[0040] 描かれている装置は、以下のモードのうちの少なくとも1つのモードで使用することができる。
1.ステップモードでは、支持構造(例えば、マスクテーブル)MT及び基板テーブルWTは基本的に静止状態に維持され、その一方で、放射ビームに付与されたパターン全体がターゲット部分Cに1回で投影される(すなわち、単一静的露光)。次いで、基板テーブルWTは、異なるターゲット部分Cを露光させることができるようにX方向及び/又はY方向にずらされる。
2.スキャンモードでは、支持構造(例えば、マスクテーブル)MT及び基板テーブルWTは同期してスキャンされ、その一方で、放射ビームに付与されたパターンがターゲット部分Cに投影される(すなわち、単一動的露光)。支持構造(例えば、マスクテーブル)MTに対する基板テーブルWTの速度及び方向は、投影システムPSの拡大(縮小)特性及び像反転特性によって決定されてもよい。
3.別のモードでは、支持構造(例えば、マスクテーブル)MTは、プログラマブルパターニングデバイスを保持して基本的に静止状態に維持され、且つ放射ビームに付与されたパターンがターゲット部分Cに投影される間に基板テーブルWTが移動又はスキャンされる。このモードでは、通例はパルス放射源が用いられ、且つプログラマブルパターニングデバイスが基板テーブルWTの毎回の移動後に又はスキャン中の連続する放射パルス間に必要に応じて更新される。この動作モードは、上で言及したタイプのプログラマブルミラーアレイなどの、プログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
[0041] 上で説明した使用モードの組み合わせ及び/又は変形形態、又は全く異なる使用モードを用いることもできる。
[0042] 図1にはリソグラフィ装置が非常に概略的な形態で表されているが、それが本開示に必要な全てであることが理解されるであろう。
[0043] 図2に示すように、リソグラフィ装置LAは、リソセル又はクラスタとも呼ばれることのある、リソグラフィセルLCの一部を形成し、このリソグラフィセルLCは、基板上で露光前及び露光後プロセスを実行するための装置も含む。従来、これらの装置は、レジスト層を堆積させるスピンコータSC、露光されたレジストを現像するデベロッパDE、冷却プレートCH、及びベークプレートBKを含む。基板ハンドラ又はロボットROは、入力/出力ポートI/O1、I/O2から基板を拾い上げて、異なるプロセス装置間で基板を移動させ、そして、リソグラフィ装置のローディングベイLBに基板を搬送する。しばしばトラックと総称される、これらのデバイスは、トラック制御ユニットTCUの制御下にあり、このトラック制御ユニットTCUは、それ自体、監視制御システムSCSによって制御され、監視制御システムSCSはまた、リソグラフィ制御ユニットLACUを介してリソグラフィ装置も制御する。したがって、スループット及びプロセス効率を最大化するように異なる装置を動作させることができる。
[0044] リソグラフィ装置によって露光される基板が正確に一貫して露光されるように、後続の層間のオーバーレイエラー、線厚さ、クリティカルディメンジョン(CD)などの特性を測定するために、露光された基板を検査することが望ましい。よって、リソセルLCが位置する製造設備もまた、リソセルにおいて処理された基板Wのいくつか又は全てを受け入れる計測システムMETを含む。計測結果は、監視制御システムSCSに直接又は間接的に提供される。エラーが検出された場合、特に同じバッチの他の基板も引き続き露光するのに十分な程度に早急に且つ迅速に検査を実行できる場合は、後続の基板の露光に対して調整が行われてもよい。また、既に露光された基板は、歩留まりを向上させるために取り除かれて再加工されるか、又は廃棄されてもよく、それよって、欠陥があると思われる基板に更なる処理を実行することを回避する。基板のいくつかのターゲット部分にのみ欠陥がある場合には、良好であるターゲット部分に対してのみ更なる露光を実行することができる。
[0045] 計測システムMET内で、検査装置は、基板の特性、特に異なる基板又は同じ基板の異なる層の特性が層毎にどのように変化するかを決定するために使用される。検査装置は、リソグラフィ装置LA若しくはリソセルLCに一体化されてもよく、又は独立型デバイスであってもよい。最も迅速な測定を可能にするために、検査装置が、露光されたレジスト層の特性を露光直後に測定することが望ましい。しかしながら、レジストの潜像は、非常に低いコントラストを有し、つまり、放射に露光されたレジスト部分と放射に露光されなかったレジスト部分との間には非常に小さな屈折率の差があるだけであり、全ての検査装置が、潜像の有用な測定を行うのに十分な感度を有するわけではない。それゆえ、通例では、露光された基板に対して実行される第一ステップであり且つレジストの露光部分と非露光部分との間のコントラストを強める露光後ベークステップ(PEB)後に測定が行われてもよい。この段階で、レジスト内の像は半潜像的と呼ばれることがある。現像されたレジスト像の測定を行うことも可能であり(この時点では、レジストの露光部分又は非露光部分のどちらかが除去されている)、又はエッチングなどのパターン転写ステップ後に測定を行うことも可能である。後者の可能性は、不良基板を再加工できる可能性を制限するが、有用な情報を依然として提供し得る。
[0046] 図3(a)は、いわゆる暗視野結像計測を実施する検査装置の重要な要素を概略的に示している。その装置は、独立型デバイスであってもよく、又は例えば測定ステーションにおけるリソグラフィ装置LAに若しくはリソグラフィセルLCに組み込まれてもよい。装置全体にわたっていくつかの分岐を有する、光軸は、点線Oで表されている。図3(b)には、ターゲット格子構造T及び回折光線がより詳細に図示されている。
[0047] 冒頭に引用した先行出願で説明されているように、図3(a)の暗視野結像装置は、分光スキャトロメータの代わりに又は分光スキャトロメータに加えて使用され得る多目的角度分解スキャトロメータの一部であってもよい。このタイプの検査装置では、放射源11によって放出される放射は、照明システム12によって調節される。例えば、照明システム12は、コリメートレンズシステム、カラーフィルタ、ポラライザ、及びアパーチャデバイス13を含み得る。調節された放射は、照明経路IPをたどり、照明経路IP内で部分反射面15によって反射され、顕微鏡対物レンズ16を介して基板W上のスポットSに合焦される。計測ターゲットTは、基板W上に形成されてもよい。レンズ16は、好ましくは少なくとも0.9、より好ましくは少なくとも0.95の高い開口数(NA)を有する。所望であれば、液浸流体を使用して1を超える開口数を得ることができる。
[0048] この例における対物レンズ16は、ターゲットによって散乱された放射を収集する役割も果たす。この戻り放射用として収集経路CPが概略的に示されている。多目的スキャトロメータは、収集経路に2つ以上の測定分岐を有し得る。図示の例は、瞳結像光学システム18及び瞳像センサ19を備える瞳結像分岐である。以下により詳細に説明する、結像分岐も示されている。追加的に、実用装置には、例えば、強度正規化のため、取り込みターゲットの粗い結像のため、合焦などのための基準放射を収集するために、更なる光学システム及び分岐が含まれる。これらの詳細は、上述の先行公報に見ることができる。
[0049] 計測ターゲットTが基板Wに設けられる場合、計測ターゲットTは、現像後に、バーが固体レジスト線で形成されるように印刷される、1D格子であってもよい。ターゲットは2D格子であってもよく、この格子は、現像後に、固体レジストピラー又はレジスト内のビアで形成されるように印刷される。バー、ピラー、又はビアは、代替的に、基板にエッチングで形成されてもよい。これらの格子の各々は、検査装置を使用して特性が調べられ得るターゲット構造の例である。
[0050] 照明システム12の種々のコンポーネントは、同じ装置内で異なる計測「レシピ」を実施するために調整可能とすることができる。照明放射の特性として波長(色)及び偏光を選択することに加えて、照明システム12は、異なる照明プロファイルを実現するように調整することができる。アパーチャデバイス13の平面は、対物レンズ16の瞳面及び瞳像検出器19の平面と共役である。それゆえ、アパーチャデバイス13によって規定された照明プロファイルは、スポットS内の基板Wに入射する光の角度分布を規定する。異なる照明プロファイルを実現するために、照明経路内にアパーチャデバイス13を設けることができる。アパーチャデバイスは、可動式スライダ又はホイールに取り付けられた異なる開口を備え得る。アパーチャデバイスは、プログラマブル空間光変調器を代替的に備え得る。更なる代替案として、照明瞳面内の異なる位置に光ファイバを配置し、光ファイバのそれぞれの位置で光を伝送するか又は光を伝送しないように選択的に使用してもよい。これらの変形例は、全て上記で引用した文献に記載され、例示されている。
[0051] 例示の第一照明モードでは、開口13Nが使用され、光線30aは、入射角が図3(b)の「I」に示すように提供される。ターゲットTによって反射されたゼロ次光線の経路は、「0」(光軸「O」と混同しないように)と符号が付されている。第二照明モードでは、開口13Sは、光線30bを提供できるように使用され、その場合、入射角及び反射角は、第一モードと比較して交換される。図3(a)では、例示の第一及び第二照明モードの0次光線は、それぞれ0(13N)及び0(13S)と符号が付されている。これらの照明モードの両方は、軸外照明モードとして認識される。軸上照明モードを含む多くの異なる照明モードを異なる目的のために実施することができる。
[0052] 図3(b)により詳細に示すように、ターゲット構造の例としてのターゲット格子Tは、基板Wと共に対物レンズ16の光軸Oに垂直に配置される。軸外照明プロファイルの場合、軸Oから外れた角度から格子Tに衝突する照明光線Iは、0次光線(実線0)及び2つの1次光線(一点鎖線+1及び二点鎖線−1)を生じさせる。過剰に満たされた小さなターゲット格子では、これらの光線は、計測ターゲット格子T及び他のフィーチャを含む基板の領域を覆う多くの平行光線の1つにすぎないことに留意されたい。照明光線30aのビームは(有用な光量を得るために必要な)有限幅を有するので、入射光線Iは、実際にはある角度範囲を占め、回折光線0及び+1/−1はある程度広がる。小さなターゲットの点像分布関数に従って、各次数+1及び−1は、図示のような単一の理想的な光線ではなく、ある角度範囲にわたって更に広がる。
[0053] 暗視野結像のための収集経路の分岐において、結像光学システム20は、センサ23(例えば、CCD又はCMOSセンサ)上に基板W上のターゲットの像T’を形成する。開口絞り21は、対物レンズ16の瞳面と共役である収集経路CPの結像分岐内の平面に設けられる。開口絞り20は、瞳絞りと呼ばれることもある。開口絞り21は、照明開口が異なる形状をとることができるのと同様に、異なる形状をとることができる。開口絞り21は、レンズ16の有効開口との組み合わせで、散乱放射のどの部分がセンサ23上に像を生成するのに使用されるかを決定する。典型的には、開口絞り21は、センサ23上に形成されるターゲットの像が1次ビームのみから形成されるように、0次の回折ビームを遮断するように機能する。両方の1次ビームが結合して像を形成する例では、これは、暗視野顕微鏡検査法と同等の、いわゆる暗視野像である。開口絞り21の例として、軸上放射のみの通過を可能にする開口21aを使用することができる。開口21aと組み合わせて軸外照明を使用して、1次のうちの1つのみが一度に結像される。
[0054] センサ23によって取り込まれた像は、画像プロセッサ及びコントローラPUに出力され、その機能は実行されている特定のタイプの測定に依存する。本目的のために、ターゲット構造の非対称性の測定が実行される。非対称性測定は、ターゲット構造の知識と組み合わせて、ターゲット構造を形成するために使用されるリソグラフィプロセスの性能パラメータの測定値を得ることができる。このようにして測定できる性能パラメータには、例えば、オーバーレイ、焦点及びドーズが含まれる。同じ基本的な非対称性測定方法を通じて異なる性能パラメータのこれらの測定を行うことができるように、特別なターゲット設計が提供される。
[0055] 再び図3(b)及び光線30aを伴う例示の第一照明モードを参照すると、ターゲット格子からの+1次回折光が対物レンズ16に入射して、センサ23において記録される像に寄与する。第二照明モードが使用されたときに、光線30bは、光線30bとは反対の角度で入射するので、−1次回折光が対物レンズに入射して像に寄与する。開口絞り21aは、軸外照明を使用するときに0次の放射を遮断する。先行公報で説明されているように、照明モードは、X及びY方向における軸外照明で規定することができる。
[0056] これらの異なる照明モードの下でのターゲット格子の像を比較することによって、非対称性測定値を得ることができる。代替的に、同じ照明モードを維持するが、ターゲットを回転させることによって、非対称性測定値を得ることができる。軸外照明が示されているが、代わりにターゲットの軸上照明を使用してもよく、修正された軸外開口21を使用して、実質的に1つのみの1次回折光をセンサに送ることができる。更なる例では、1対の軸外プリズム21bが、軸上照明モードと組み合わせて使用される。これらのプリズムは、+1次及び−1次をセンサ23上の異なる位置にそらす効果をもたらし、2つの連続的な像取り込みステップを必要とせずにそれらを検出して比較することができる。この技術は、上述の米国特許出願公開第2011102753号に開示されており、その内容は参照によって本明細書に組み込まれる。1次ビームの代わりに、又は1次ビームに加えて、2次、3次、及びより高次のビーム(図3には図示せず)を測定に使用することができる。更なる変形形態として、対物レンズ16の真下でターゲット自体を180度回転させ、反対の回折次数を使用して像を取り込む間に、軸外照明モードを一定に保つことができる。
[0057] 以下の開示では、反射型のパターニングデバイス上で斜め照明を使用するリソグラフィプロセスの焦点性能を測定するための技術を例示する。これらの技術は、反射光学系が近真空環境で必要とされる、特にEUVリソグラフィに適用されてもよい。ある特定の焦点計測パターンを含む計測ターゲットは、製品フィーチャが印刷されると同時に基板に印刷される。これらの印刷されたパターンにおける非対称性は、例えば図3の装置における回折に基づく技術を使用して測定される。小さなターゲットの使用を可能にするために、これらの非対称性測定は装置の暗視野結像分岐を使用して実行されると考えられる。しかしながら、瞳結像分岐を使用して、非対称性の回折に基づく測定を行うこともできる。当然ながら、図3に示す装置は、非対称性を測定するために使用され得る検査装置及び方法のほんの一例である。
[0058] DUV波長範囲で作動するリソグラフィ装置の文脈では、回折に基づく焦点(DBF)測定のためのターゲットが設計され、首尾よく使用されている。既知のタイプのDBFターゲットは、レチクル上の格子パターンにサブセグメント化されたフィーチャを含めることによって生成される。これらのフィーチャは、より多くの固体フィーチャと共に、リソグラフィ装置の結像解像度よりも小さな寸法を有する。その結果、それらフィーチャは、基板上のレジスト層に個々のフィーチャとして印刷されないが、焦点誤差に対する感度の高い方式で、固体フィーチャの印刷に影響を及ぼす。具体的には、これらのフィーチャの存在は、DBF計測ターゲット内の格子における各ラインに対して非対称なレジストプロファイルを作成し、非対称性の程度は焦点に依存する。その結果、図3の検査装置などの計測ツールは、基板上に形成されたターゲットから非対称度を測定し、これをスキャナの焦点に変換することができる。
[0059] 残念ながら、既知のDBF計測ターゲット設計は、あらゆる状況での使用に好適であるわけではない。EUVリソグラフィでは、レジストフィルムの厚さは、DUV液浸リソグラフィで使用されるものよりも著しく小さく、回折効率を低くし、且つスキャトロメータでの回折放射からの正確な非対称性情報の抽出を困難にする。加えて、結像システムの解像度は、本質的にEUVリソグラフィにおいてより高いので、DUV液浸リソグラフィの印刷解像度よりも低い寸法を有するフィーチャは、EUVリソグラフィによって印刷可能な「固体」フィーチャになる。EUVレチクル上に類似のサブ解像度フィーチャを提供することは、かなり実現が難しく、及び/又は半導体製造業者の「設計ルール」に違反し得る。このようなルールは、概して、印刷されたフィーチャがそのプロセス要求に適合することを確実にするためにフィーチャ設計を制限する手段として確立される。何れにしても、設計ルール外での作業によって、DBFターゲットに対するプロセスの性能をシミュレートすることが困難になり、結果として、最適なターゲット設計と焦点測定の較正がトライ・アンド・エラーの問題となる。設計ルールに適合する要求は、EUVリソグラフィだけでなく、DUVリソグラフィでのDBFターゲットにも当てはまる。
[0060] 図4は、本開示によるリソグラフィ装置の焦点性能を測定する方法の原理を図示している。開示の方法では、リソグラフィ装置は、少なくとも1つの焦点計測パターンTを基板Wに印刷するために使用される。印刷された焦点計測パターンTは、少なくとも1方向に周期的であるフィーチャのアレイを含む。この例の目的のために、焦点計測パターンTは、リソグラフィ装置のスキャン方向に対応する、Y方向に周期的である。説明したタイプのリソグラフィ装置では、照明の方向は、Y−Z平面内において、斜角をなす。この斜めの照明に起因して、焦点計測パターンTは、結像プロセスで非対称性を利用するために、このY方向において周期的になる。例えば、上で説明したタイプの検査装置を使用して、印刷された焦点計測パターンにおける非対称性を測定することによって、焦点性能の測定値を導出することができる。
[0061] パターニングデバイスMAは、1つ又は複数のデバイスパターン及び1つ又は複数の計測パターンのフィーチャを画定するための反射部分及び非反射部分を備える。本開示で対象とする1つのタイプの計測パターンとして、基板W上に形成される焦点計測パターンTは、反射型パターニングデバイスMA上に形成された対応するパターンT’’によって画定される。レチクルの一部の拡大詳細図が402において示されている。このパターンを基板W上のレジスト層に転写する印刷動作は、斜角θで入射するEUV放射404をレチクルに照射することによって図1のリソグラフィ装置において実行され、この斜角θは、例えば、5°〜10°の範囲内であってもよい。計測ターゲットパターン(及び基板への印刷が望ましい全ての製品フィーチャ)の情報を伝える反射放射406は、投影システムPSに入射する。レチクルのベースは、リソグラフィ装置で使用される放射の波長を反射するようになされた、典型的には多層構造である、反射構造408である。EUV放射は、典型的には、20ナノメートルよりも短い。例えば、約13.5の波長が、スズプラズマ放射源に基づく、本実施態様で使用される。
[0062] 反射構造408の上には、放射吸収構造410が設けられ、この放射吸収構造410は、EUV吸収材料の層と、任意選択的に保護キャップ層とを備える。構造410は、基板上のレジスト材料への印刷が望まれるパターンに従って、反射部分412、414及び非反射部分416を残すように選択的に除去される。使用されるレジスト材料のタイプに応じて、現像されたパターンは、反射部分(ネガ型レジスト)又は非反射部分(ポジ型レジスト)に対応するレジストフィーチャを有し得る。本説明では、別段の明示がない限り、ポジ型レジストプロセスについて考察する。当業者であれば、本開示の教示を何れのタイプのプロセスにも容易に適用することができる。
[0063] 焦点計測パターンTは、周期性の方向に長さLを有する格子パターンを含む。この例における周期性の方向は、述べたように、Y方向である。構造の周期Pには印が付けられ、繰り返し単位420の1つを含むパターンの拡大部分が示されている。この例における各繰り返し単位は、1つ又は複数の第一フィーチャ422及び1つ又は複数の第二フィーチャ424の群を含む。この例における第一フィーチャ422の各グループは、レチクル部分402上の狭い反射部分412によって画定される細いバー構造を備える。当業者であれば、典型的なリソグラフィ装置の投影システムPSが、パターニングデバイスMAから基板Wにパターンを印刷するときに、所定の縮小率を適用することを理解するであろう。よって、以下の例で与えられるフィーチャの寸法は、基板に印刷されるフィーチャのサイズを指さすものと理解され、また、レチクル402などのパターニングデバイス上の対応するフィーチャのサイズは、物理的に数倍大きくてもよい。この倍率は、以下の説明では当然のことと見なされるべきであり、再度言及することはしない。同様に、文脈上別段の要求がない限り、計測パターンTのフィーチャの寸法は、パターンがパターニングデバイスからレジストに完全に転写された場合であるように述べられる。認識されるように、焦点計測方法のベースは、ゼロでない焦点誤差が存在する場合に、フィーチャが完全に印刷されないことである。
[0064] 印刷ステップで使用される放射(例えばEUV放射)の波長、図3の検査装置において非対称性を測定するために典型的に使用される放射の波長よりもはるかに短い。EUV放射は、0.1nm〜100nmの範囲内の放射として規定されてもよく、その一方で、印刷ステップで使用される放射の波長は、例えば、20ナノメートル未満であってもよい。いくつかの実施形態における検査装置は、200〜2000nmの範囲内の1つ又は複数の波長における可視光放射又は赤外線放射を使用してもよい。そのような場合に、印刷ステップで使用される放射の波長は、非対称性の測定に使用される放射の波長よりも10倍以上短くてもよい。他の例では、測定放射の波長は、200nmよりも短くてもよく、例えば150〜400nm又は更には100nm〜200nmの範囲内であってもよい。
[0065] どちらの放射波長がパターンの印刷及びパターンの測定に使用されたとしても、焦点計測パターンは、これらの条件に適合するようになされたある範囲の特性を備えたフィーチャを含む。第一フィーチャ422は、製品パターンの一部として印刷される最小フィーチャと同様の寸法を有するように設計される。これがそうでない場合、焦点計測パターンTを使用して測定される焦点性能は、対象とする実際の製品フィーチャにおける焦点性能を正確に表さない可能性がある。
[0066] その一方で、検査装置で使用されるより長い波長を考慮すると(より短い波長を使用する検査装置が適用され得るという事実を考慮に入れても)、これらの個々の第一フィーチャは、小さすぎて、検査装置によって直接的に解像することができない。検査装置の波長に相当する全体周期Pを有する格子パターンに第一フィーチャのグループを配置することによって、パターンの全体としての回折スペクトルが検査装置において解像可能となり、より小さなフィーチャの特性を推測することができる。格子パターンの周期Pは、例えば、350nm又は450nm又は600nmであってもよい。格子パターンの全長Lは、例えば、5μmであってもよい。このようなサイズによって、パターンがデバイス領域内に含まれるが、図3の検査装置の暗視野結像分岐を使用して依然として解像されることが可能となる。(測定が瞳結像分岐を使用して行われる場合、典型的には、例えば、照明スポットSを完全に格子内に配置できるような30μm又は40μmのLを有する、より大きなターゲットが必要とされる)。フィーチャと格子との相対的サイズ、及び各格子におけるフィーチャの数は、ここでは図の何れにも原寸に比例して示されるようには意図されていない。
本開示の第一態様による例
[0067] 図5は、使用され得る種々の焦点計測パターンを図示しており、当然ながら、冒頭で述べた本発明の第一態様を参照しながら本明細書に開示した原理に基づいて、他の例も想定することができる。例の全てにおいて、周期Pの繰り返し単位を含む、パターンの小さなセクションのみが示されている。
[0068] 図5(a)は、図4で例として使用されるパターンと同じ焦点計測パターンの小部分を分離して示している。このパターンの繰り返し単位は、周期性の方向に互いに離間して配置された、1つの第一フィーチャ422及び1つの第二フィーチャ424を備える。この例における周期性の方向は、パターニングデバイス及び基板のX方向であってもよい。各第一フィーチャ422は、印刷ステップの限界解像度に近いがそれ以上である最小寸法w1を各々が有する、バー又は他のフィーチャを含む。この値w1は、例えば、周期性の方向において50ナノメートル未満であってもよい。値w1は、リソグラフィプロセスを使用して、同じか又は別の基板に印刷される製品パターンにおける最小フィーチャのクリティカルディメンジョンCDと同様か、又はそれよりも若干小さくてもよい。一例では、これらのフィーチャの線幅は、22nmであってもよい。他の例では、これらのフィーチャの線幅は、15nm〜50nm、又は20nm〜45nmの範囲内であってもよい。その一方で、周期性の方向における各第二フィーチャ424の最大寸法w2は、上記限界解像度よりもはるかに大きい。例えば、第二フィーチャの最大寸法w2は、第一フィーチャの最小寸法w1の2倍以上であってもよく、3又は4倍よりも大きくてもよい。また、この例では、最大寸法w2が周期性の方向における第二フィーチャの最小寸法であることに留意されたい。これが当てはまらない他の実施形態も例示する。
[0069] 各第一フィーチャ422とその最も近傍の隣接する第二フィーチャ424との間の空間は、寸法w1’を有し、また、印刷ステップの限界解像度に近いがそれ以上である。この空間は、第一フィーチャ422の寸法w1と等しいか又は僅かに異なってもよい。(この文脈における「僅かに異なる」は、2分の1〜2倍の比率を含むものと解釈される。)各第一フィーチャ422とその次に最も近傍の隣接する第二フィーチャ424との間の、第二空間は、寸法w2’を有し、且つ第二フィーチャ424自体の寸法w2と同様である。その結果、薄い第一フィーチャとより厚い第二フィーチャとを含むパターンTがポジ型形状とネガ型形状の両方で効果的に存在することが分かるであろう。これらの寸法w1、w1’をはるかに大きな周期Pと合わせると、寸法w2及びw2’が第一フィーチャ422の最小寸法w1よりもはるかに大きくなり、その結果、印刷ステップの限界解像度よりもはるかに大きくなることが認識されるであろう。寸法w2及びw2’は各々、例えば、寸法w1の4倍超、5倍、6倍、8倍又は10倍超であってもよい。
[0070] 焦点計測パターンのいくつかのパラメータは、最適な焦点計測パターンの設計プロセスの一部として調整することができる。最適な焦点計測は、特にリソグラフィ装置の動作パラメータが各層に対してカスタマイズされ得る場合に、製品の各層及び各プロセスによって異なってもよい。寸法w1及びw1’は、図示のように、互いに等しくなるように又は僅かに等しくならないように選択されてもよい。例えば、第一空間寸法w1’は、第一フィーチャ寸法w1の2分の1〜2倍であってもよい。同様に、第二空間最大寸法w2’は、第二フィーチャ最大寸法w2の2分の1〜2倍であってもよい。設計パラメータは、任意の好適な形式で表現されてもよい。先程与えられた比率などの比率は、フィーチャの相対寸法を表現するのに好都合であり得、その一方で、絶対寸法は、直接表現され得るか、又は規定の限界解像度に対する、及び/又は周期Pに対する比率によって表現され得る。当然ながら、この例における寸法w1、w1’、w2、w2’の合計は、周期Pと等しくなければならない。
[0071] 図示の例では、450又は600nmの周期P及び第一フィーチャの22nm程度の線幅w1に対して、第二フィーチャ及び第二空間w2及びw2’の最大寸法は各々、100nm超、又は200nm超若しくは250nm超であってもよい。一般的に言えば、結像技術の当業者であれば、フィーチャ間の空間がフィーチャ自体の5又は6倍の寸法である場合、フィーチャが互いに効果的に隔離されると考えるであろう。したがって、この例では、各第一フィーチャは、その隣接する第二フィーチャの1つに近接するが、他の隣接する第二フィーチャから隔離される。
[0072] 本発明者らは、図3の検査装置などのスキャトロメータの回折に基づく非対称性測定機能を使用して、適切な設計によって、図5(a)に示す形態のターゲット格子から焦点性能測定値を得ることができることを見出した。この非対称性は、検査装置の瞳結像分岐(センサ19)を使用して、又は暗視野結像分岐(センサ23)を使用して非常に簡単に測定することができる。再構成又は焦点ドーズモデリングなどの、他の測定技術と比較して、非常に簡単な信号処理が必要となる。ある特定の実施形態では、以下により詳細に解説するように、焦点計測ターゲットパターンは、このタイプの相補的な1対の格子を備え得る。これらの相補的な格子について測定された非対称性を組み合わせることによって、焦点誤差の符号と大きさの両方の測定が可能となる。
[0073] このタイプのパターンは、反射型パターニングデバイスMAを使用するEUVリソグラフィプロセス、又は従来の透過プロセスのための焦点性能を測定するために使用されてもよい。EUVリソグラフィプロセスなどの、非対称照明を用いたリソグラフィプロセスの場合、追加の焦点依存非対称効果が期待され得る。これらの効果の1つは、薄いフィーチャ422の位置が、非対称照明の下で、分厚いフィーチャ424の位置に対してシフトすることである。これは、適切な設計によって焦点信号を強めることができる、非対称の追加のコンポーネントに寄与する。
[0074] 図5(b)は、周期的アレイにおける各第二フィーチャが、印刷ステップの限界解像度に近いがそれ以上の最小寸法を上記周期性の方向を横断する方向に有するサブフィーチャ426を更に含むという点で、図5(a)の焦点計測パターンとは異なる焦点計測パターンの別の例を提示している。この例におけるサブフィーチャは、第一フィーチャの本体428から非対称に突出する線である。これらの突出する線又はフィンガの長さは、w3と符号が付されている。各第二フィーチャ424の本体428は、周期性の方向に第二フィーチャの最小寸法w4を画定する。よって、この表記では、第二フィーチャ424の最大寸法w2は、w3+w4と等しい。横方向におけるサブフィーチャの最小寸法は、w5と符号が付されている。
[0075] 図5(a)のように、図5(b)の例は、ポジ(白地に黒)型とネガ(黒地に白)型の両方で同時に確認することができる。図面には、ネガ型の寸法w1’、w2’、w3’、w4’、w5’が記されている。寸法w1、w1’、w3、w4は合計すると1つの周期Pになることが分かるであろう。寸法w5及びw5’は、合計すると横方向における1つの周期Ptになる。選択された設計パラメータに応じて、ポジ型及びネガ型の寸法は、同一(但し、鏡像において)か又は異なってもよい。図5(a)の例と比較すると、図5(b)の例は、焦点に対する感度を最適化し且つ他の効果に対する感度を低減するために変化させることができる多数のパラメータを有する。サブフィーチャ426の最小寸法は、第一フィーチャの最小寸法と同じ又は異なってもよい。
[0076] 図6は、先程説明した方式で設計パラメータのいくつかを変化させた結果を図示している。図6(a)では、寸法は、図5(b)の寸法と同じである。図6(b)では、第二フィーチャの本体428によって画定された最小寸法w4は、図5(b)と比較して増加しており、その一方で、寸法w3は減少している。第二フィーチャの最大寸法w2及び第一フィーチャからの第二フィーチャの間隔は、同じであるように維持されている。ネガ(黒地に白)型のパターンにおける第二フィーチャの最小寸法w4’などの、他のパラメータは、変更されていない。図6(c)では、寸法は、図6(b)の変更の方法とは逆の方法で変更される。第二フィーチャの本体428によって画定された最小寸法w4は、(a)の最小寸法w4と同じであるように維持されている。サブフィーチャ426の長さ(寸法w3)が低減されており、その結果、周期性の方向における第二フィーチャ424の最大寸法w2が低減され、且つ一方側における第一フィーチャからの第二フィーチャの間隔w4’が、図5(b)と比較して増加している。ネガ(黒地に白)型のパターンにおける第二フィーチャの最小寸法が、この場合は、増加している。
[0077] 図6の例で変化させないパラメータの何れかを変化させることができる。例えば、横周期Ptを変化させることができ、且つ「フィンガ」寸法の比率w5:w5’もそれぞれ変化させることができる。予想され得るように、横方向における第一フィーチャのアレイの周期Ptは、全体として焦点計測パターンの周期性を指す、周期性の方向における周期Pよりもはるかに小さくなる。
[0078] 対象とする別の設計パラメータは、隣接する第二フィーチャ間の第一フィーチャの位置決めの均一性である。例えば、白地に黒のパターンでは、状況w1’=w4’は、隣接する第二フィーチャ間のちょうど中間にある各第一フィーチャの位置決めを表す。黒地に白のパターンでは、状況w1=w4は、隣接する第二フィーチャ間のちょうど中間にある各第一フィーチャの位置決めを表す。いくつかの実施形態は、それゆえ、各第一フィーチャの両側の空間が概ね同様となる、例えば、一方の空間が他方の空間の2分1〜2倍、又は3分の2〜1.5倍となるように、設計ルールによって規定されてもよい。
[0079] 各変形例が別の変形例よりも良好に機能するか又はうまく機能しない状況はプロセスに依存し、それゆえ、シミュレーション及び/又は実験を用いて設計が最適化される。図6のこれらの変形例(b)又は(c)の一方は、(現像されたレジストが、白地に黒のパターンで放射に露光された場所に残ると見なす)ネガ型現像プロセスに好適であり得るが、その一方で、他方は、ポジ型現像プロセス(現像されたレジストが、白地に黒のパターンに対応して、露光されていない場所に残る)により好適である。
[0080] 図5に戻ると、変形例(c)は、周期的アレイにおける各第一フィーチャ422が、周期性の方向を横断する方向に最小寸法w1を有するという点で、図5(a)及び(b)の変形例とは異なる。各第一フィーチャの最小寸法w1は、依然として印刷ステップの限界解像度に近いがそれ以上である。(図5(a)〜(c)の寸法が原寸に比例したものではないことが理解されるであろう。)この例における各第一フィーチャは、周期性の方向における長さw3が最小寸法よりもかなり長いバーを含むが、これは可能な構成の1つにすぎない。横方向における第一フィーチャ間の空間w1’’もまた、印刷ステップの解像度に相当する。空間w1’’は、第一フィーチャ自体の最小寸法w1と同じか又は異なってもよく、且つ各第一フィーチャとその最も近傍の第二フィーチャとの間の空間w1’に対して同じか又は異なってもよい。
[0081] リソグラフィ投影システムに応じて、また、選択された照明モードに応じて、例えば、ある方向における印刷ステップの限界解像度は、別の方向における限界解像度と異なってもよい。この相違は、存在する場合、異なるパラメータの設定、及び特許請求の範囲の文言の解釈の際に考慮することができる。例えば、具体的にはある方向の解像度を他の方向よりも優先的に高めるために、双極照明モードが選択されることもある。
[0082] 第二フィーチャ424の寸法及び第一フィーチャと第二フィーチャとの間の間隔もまた、図5(a)及び(b)の例と同じ原理に従って定義し設定できる設計のパラメータである。パラメータは、便宜上、絶対値と相対値とを組み合わせて設計することができる。第一フィーチャの最小寸法w1は、例えば、50ナノメートル未満であってもよい。最小寸法w1は、リソグラフィプロセスによってこの基板又は別の基板上に形成される製品パターン文字における最小フィーチャのクリティカルディメンジョンCDと同様か、又はそれよりも若干小さくてもよい。一例では、これらのフィーチャの線幅は、22nmであってもよい。他の例では、これらのフィーチャの線幅は、15nm〜50nm、又は20nm〜45nmの範囲内であってもよい。その一方で、周期性の方向における各第二フィーチャ424の最大寸法w2は、上記限界解像度よりもはるかに大きい。例えば、第二フィーチャの最大寸法w2は、第一フィーチャの最小寸法w1の2倍以上であってもよく、3又は4倍よりも大きくてもよい。
[0083] 寸法w1、w1’及びw1’’は、図示のように、互いに等しくなるように又は僅かに等しくならないように選択されてもよい。例えば、空間寸法w1’及びw1’’は各々、第一フィーチャ寸法w1の2分の1〜2倍であってもよい。設計パラメータは、任意の好適な形式で表現されてもよい。先程与えられた比率などの比率は、フィーチャの相対寸法を表現するのに好都合であり得、その一方で、絶対寸法は、直接表現され得るか、又は、規定の限界解像度に対する、及び/又は周期Pに対する、及び/又は横周期Ptに対する比率によって表現され得る。当然ながら、この例における寸法w1’、w2、w3及びw4の合計は、周期Pと等しくなければならない。同様に、寸法w1’及び寸法w1’’の合計は、横周期Ptと等しくなければならない。
[0084] この例における焦点計測パターンのネガ型(黒地に白)形状は、焦点計測パターンのポジ型(白地に黒)の対応部分と同じ形状を有さないことが分かるであろう。しかしながら、当然ながら、所望であれば、図示のパターンのネガ型の対応部分を作ることができる。
[0085] 図5(d)は別の変形例を示している。図5(d)は、図5(c)の例と特徴が類似しているが、第一フィーチャは、例えばT字形、十字形、及び/又はL字形などの2次元特性を有する。第一フィーチャの設計は、例えば、リソグラフィプロセスによって生成すべき実際の製品フィーチャに基づくことができる。
[0086] 上記焦点計測ターゲットパターンを含むターゲットは(プロセス用に適切に設計された場合に)焦点測定値を生み出し得るが、焦点に加えて、非常に様々な収差を導入できるので、ターゲットの焦点測定値が不確実性の影響を受けることも予想される。よって、複数の差動測定が2つ以上の焦点計測パターンに対して行われる測定方法の実施形態も開示されている。これらは、設計に鏡面非対称性を有する、相補的な対で、及び/又は鏡面対称性以外の設計差を有する対で提供されてもよい。
[0087] 図7は、焦点の改善された測定値を得るために併用できる2つの相補的な焦点計測パターンを図示している。純粋に例として、図5(b)のパターンは、図7(a)で分かるように、この相補的な1対に対するベースとして選択されている。図7(b)に見られる対の他のパターンは鏡像である。
[0088] 図8は、複合焦点計測ターゲットTを形成する、基板W上に並べた2つ以上の相補的なパターンの印刷を示している。この特定の例では、2つの相補的な対TNa/TMa及びTNb/TMbで配置された、4つの焦点計測パターンが存在する。相補的な各対では、(右側に印刷される)第一パターンはTN(「標準」に対するNを使用)と符号が付され、その一方で、第二パターンは左側に印刷され、TM(「ミラー」に対するMを使用)と符号が付される。符号は任意であるが、その効果は、印刷された焦点計測パターンが少なくともフィーチャの第一周期的アレイ及び第二周期的アレイを含み、フィーチャの各周期的アレイが個々の焦点計測パターンを形成することであることが理解されるであろう。そして、プログラムされた非対称性が各周期的アレイにあり、相補的な1対を形成するように、第二周期的アレイの非対称性が第一周期的アレイの非対称性とは正反対である。改善された焦点測定値を得ることは、第一及び第二周期的アレイの各々の非対称性を測定することと、周期的アレイ(TN、TM)について測定された非対称性を組み合わせることによって焦点性能の測度を決定することとを含む。
[0089] 設計において正反対の非対称性を有するターゲットを使用して測定による結果を組み合わせることによって、焦点測定は、投影システム又は計測システムで生じる非対称性に対する感度を低くすることができ、さもなければ、非対称性が焦点誤差と間違われる可能性がある。このようにして相補的な1対のパターンを使用して判別できる特定のタイプの不完全性は、コマ収差及び投影非対称性である。例えば、コマ収差は、像の焦点がずれている場合、特定の方向に非対称性を導入すると予想され得る。対照的に、焦点誤差によって生じる非対称性は、「鏡映された」パターンでは「標準」パターンと比較して正反対となる。その両方からの非対称性測定値を組み合わせることによって、実際の焦点誤差のより正確な測定が可能となる。
[0090] 追加的に、この例では、接尾辞「a」及び「b」で識別される、相補的な2対のターゲットが提供される。これらの対の間で、焦点計測パターンの設計パラメータを変化させる。最初の違いとして、対TNa/TMaの周期Paが対TNb/TMbの周期Pbよりも長く、且つ「フィンガ」の長さが短縮されている。他の実施形態では、異なるパラメータを変化させることができ、且つ周期は、同じか又は異なるものとすることができる。異なるパターン設計を提供する代わりに、又は異なるパターン設計を提供することに加えて、異なる取り込み条件を使用して、より多様な信号を得ることもできる。例えば、放射の異なる波長及び/又は偏光を使用して、回折信号を得ることができる。
[0091] それゆえ、図8に図示するように、複合焦点計測ターゲットTは、相補的な1対又は複数対の焦点計測パターンTN及びTMが同じステップで印刷されることによって形成することができる。図示のように、これらの個々のパターンは、図3の検査装置の暗視野結像モードにおいて放射スポットSを使用して同時に結像されてもよい。換言すれば、これらの焦点計測パターンの両方における非対称性の測定は、装置によって収集された+1及び−1次回折放射を使用して第一像及び第二像を取得することによって行うことができる。そのような像の1つが図9に示されている。暗い矩形は、例えば、図3の装置内のセンサ23に記録される暗視野像を表す。円S’は、検出器上に結像された、放射スポットSの領域を表す。明るい矩形TNa’、TNb’、TMa’及びTMb’は、それぞれ対応する焦点計測パターンTNa、TNb、TMa及びTMbの像を表す。各ターゲットからの1つの回折次数の強度は、例えば、明るい矩形の各々の中の対象領域ROIを画定し、ピクセル値を平均することによって測定することができる。これを反対の回折次数で繰り返すことによって、非対称性の計算が可能となる。図3に示すプリズム21bを使用した代替的な測定方法では、両方のパターンの両方の像を同時に効率的に取り込むことができる。
[0092] 図7〜図9に図示する原理は、図5及び図6に図示するパターンの何れかに適用することができる。
[0093] 更に他の実施形態では、各焦点計測パターンの非対称性は、例えば、図3の検査装置の瞳結像分岐、又はより一般的な角度分解スキャトロメータを使用して、別々に測定されてもよい。1つのパターンの反対の回折次数は、瞳像の相補的領域に位置するが、パターンを1つだけ一度に測定することができる。
[0094] 図10は、例示的な実施形態によるリソグラフィプロセスの焦点性能を測定するための方法のステップのフローチャートである。方法は、上で説明し且つ図面に図示する例示の焦点計測パターンの何れかを使用して実行することができる。ステップは、以下の通りであり、その後、より詳細に説明する。
1000 計測ターゲットを備えた製品設計又は計測ウェーハ設計を画定して好適なパターニングデバイス(レチクル)のセットを準備することによって始まる。生産前に、既知の焦点/露光変化で露光を行い、これらを測定して1つ又は複数の較正曲線を得る(これは、設計ステップと露光ステップと測定ステップの繰り返しループを含み得る)。
1010 1つ又は複数の焦点計測パターンを製品パターンと一緒に基板に印刷する。
1020 好適な検査装置を使用して各焦点計測パターンの回折スペクトルの一部分の強度を測定する(例えば、+1次数は、回折スペクトルの好適な部分である)。
1030 検査装置を使用して各焦点計測パターンの回折スペクトルの反対部分の強度(例えば、−1次)を測定する。
1040 反対の回折次数の強度を比較することによって、1つ又は複数の焦点計測パターンの非対称性の測定値を計算する。
1050 ステップ1000で記憶された較正曲線及び/又はSEMなどの他の測定値と共に、非対称性測定値を使用して、焦点計測パターンを印刷する際の焦点誤差を計算する。
1060 後続の基板での露光のための焦点設定において導出された焦点測定値を使用する。
1070 終了するか又は繰り返す。
[0095] 既に解説したように、ステップ1020及びステップ1030は、焦点計測パターンの反対の回折次数を1回の取得で得ることができるように単一のステップとして実行されてもよい。加えて、2つ以上のパターン、例えば、図8に示す相補的な1対又は複数対のパターンが測定される場合、これらの2つ以上のパターンの反対の回折次数は、対応する数の非対称性測定値を得るために、1回の像取得によって測定されてもよい。
[0096] 測定ステップは、専用の検査装置としての、スキャトロメータによって行われるように示されているが、検査装置は、独立型装置であってもよく、又はリソセルに一体化されてもよい。その上、例えば、リソグラフィ装置に設けられたアライメントセンサと共に好適なターゲットを使用して、専用の計測装置なしに非対称性測定を行うことができる。計算ステップ1040及び1050は全て、検査装置のプロセッサで実行できるか、又はリソグラフィ装置のモニタリング及び制御に関連する異なるプロセッサで実行されてもよい。各ステップは、プログラムされたプロセッサによって実行されてもよく、且つ開示する技術の利点は、焦点測定方法を実行するためにハードウェアの変更なしに検査装置を修正できることである。
本開示の第二態様による例
[0097] 図11は、使用され得る焦点計測パターンの2つの更なる例を図示しており、当然ながら、本明細書に開示する発明の第二態様の原理に基づいて、他の例も想定することができる。これらの例では、焦点計測パターンは、少なくとも1方向に周期的である対のフィーチャ1122、1124のアレイを含む。周期Pの繰り返し単位を含む、パターンの小さなセクションのみが示されている。図5(a)の例は、周期毎に1対のフィーチャ1122、1124のみを含む。ある特定の寸法に符号を付すことができるように、1対のフィーチャの一部の拡大視が差し込み詳細図で示されている。寸法は、周期性の方向に測定される。周期性の方向における各フィーチャの最小寸法L1、L2は、完全に合焦されたときに、印刷ステップの限界解像度に近いがそれ以上である。図示の例では、各対内のフィーチャの寸法L1、L2及び各対内のフィーチャ間の間隔dの寸法は全て、同程度の大きさである。1対内のフィーチャ1122、1124の寸法L1及びL2は、差し込み詳細図に示すように、いくつかの実施形態では、等しくてもよく、又は等しくなくてもよい。各対内の第一フィーチャ間の間隔dの寸法は、例えば、各対内のフィーチャ1122、1124の平均寸法の1〜1.5倍、又は1〜2倍であってもよい。各対内の第一フィーチャ間の間隔dの寸法は、例えば、各対内のフィーチャの最小寸法の1〜1.5倍、又は1〜2倍であってもよい。周期性の方向における対のフィーチャ間の間隔Dは、各フィーチャの最小寸法と1対内のフィーチャ間の間隔dの両方よりもはるかに大きい。
[0098] 再び図4を参照すると、図4に示すパターンの代わりに、このパターンを実現するためにレチクルの反射部分及び非反射部分をどのように設計できるかが容易に認識されるであろう。いくつかの実施形態では、フィーチャ1122、1124であるバーは、概して反射性背景内で、レチクル上の狭い非反射部分によって画定され、それに対して、他の実施形態では、第一フィーチャ1122、1124は、概して非反射性背景内で反射バーによって画定されてもよい。どちらの場合でも、ポジ型レジスト又はネガ型レジストの選択は、これらの第一フィーチャが、現像された焦点計測パターンの残りのレジストとして表されるかどうか、又は第一フィーチャが、レジストがないこととして表されるかどうかを決定する。本開示の原理は、これらの全ての変形形態において同じである。
[0099] 図11(b)は、多くの対のフィーチャ1122、1124が焦点計測パターンの各周期P内に提供されることを除き、図11(a)のパターンと実質的に同じパターンを図示している。つまり、この例における焦点計測パターンは、対のフィーチャのグループの周期的アレイを含む。図面は、原寸に比例したものではない。この例での各グループにおける対の数は3であるが、対の数は、周期P内に収まる任意の数とすることができ、且つ以下の制約を受ける。対の数を増加させることの効果は、図11(a)に示す単一対のパターンと比較して、パターンから得られる回折信号を簡単に増幅させることである。さもなければ、両方のパターンの機能は同じである。周期性の方向における焦点計測パターン内の対のフィーチャの隣接するグループ間の間隔Dlは、各フィーチャ1122、1124の寸法L1、L2よりもはるかに大きく、且つ1対内のフィーチャ間の間隔dよりもはるかに大きい。追加的に、対のグループ間の間隔D2は、グループ内の対間の間隔D1よりもはるかに大きい。設計ルールのより多くの例を以下に提示する。
[00100] 以下に説明するように、図示したタイプのパターンは、様々な方法によって焦点依存非対称性を有するように印刷することができる。これらの方法のいくつかは、反射型(例えば、EUV)投影システムにのみ適用可能であり、その一方で、他の方法は、より多くの従来の投影システムに適用され得る。例えば、1対内のフィーチャ1122及び1124の寸法L1及びL2は、等しくてもよく、又は等しくなくてもよい。寸法の等しくない例が図11(a)の差し込み詳細図に示されている。同じ選択肢が図11(b)のパターンに適用される。1対内の寸法が等しくない場合、これによって、例えば図3の検査装置を用いて測定できる非対称性が自動的に導入される。寸法の好適な選択によって、単調な方式で非対称性を焦点に依存させることができ、非対称性測定値が焦点誤差を測定する手段となることを可能にする。
[00101] とはいえ、1対内の寸法が等しい場合には、焦点依存非対称性を印刷ステップの特性によって導入することができる。図4に図示する非対称照明及びレクチルにおける3次元効果を伴うEUVリソグラフィ装置の場合、非対称性は、結像ステップの固有の特性によって導入することができる。加えて、他のタイプの投影システムでは、レクチル特性、照明特性、及びレジスト処理などの、投影システム及び/又はプロセスステップのパラメータの意図的操作によって非対称性を導入することができる。それゆえ、一例では、非対称レクチルフィーチャが提供されてもよい。別の例では、非対称照明モードが使用されてもよい。このことは、原則として、本明細書で述べるターゲットの全てに当てはまる。
[00102] 図12は、各対のフィーチャ内のフィーチャの寸法が公称上等しい(つまり、レチクル上で等しい)が、非対称性が性質によって導入される、このタイプの方法を図示している。非垂直照明404の陰影効果と組み合わせて、レチクル402の3次元的性質を考慮すると、リソグラフィ装置100の投影システムPSは、焦点に依存する方式で焦点計測パターンの第一フィーチャを印刷する。図12(a)は、投影システムによってレジストの近傍に形成された「空間像」の形態を概略的に図示している。縦軸は、放射感応性レジストコーティングが塗布された基板表面付近のZ方向における焦点誤差FEを表す。横軸は、格子パターンTの周期性の方向でもある、Y方向を表す。単一の2本バーフィーチャの空間像1202が示されているが、このパターンは印刷された格子の領域にわたって繰り返されることが理解される。空間像は、図面では薄い網掛けで表される、より高強度の領域を有する。基板Wの露光中の十分な時間にわたって強度がある特定の閾値を超える場合、レジストは、十分に反応して、印刷されるパターンのフィーチャを画定する。ポジ型現像(PTD)プロセスでは、この閾値を超えるレジストの部分が現像中に除去される。ネガ型現像(NTD)プロセスでは、この閾値を超えるレジストの部分が現像後に残る。
[00103] 結像の実施において周知であるように、印刷されたパターンは、ゼロ焦点誤差によって表される、焦点面内に最も良好に画定される。焦点面の上方及び下方では、空間像の強度はより小さい。しかしながら、EUVリソグラフィ装置の反射光学系を使用する結像の3次元的性質に起因して、空間像は、最良の焦点面の上下で弱くなるだけでなく、投影システムの異なる収差によって様々な形で歪められる。
[00104] 図12(a)に明確に図示する、最初の注意すべき点は、1対のフィーチャが空間像にかなり等しくない形状を有するので、各々が所与の焦点誤差に対して異なるように反応することである。左側のフィーチャの強度は、最初に負の焦点誤差FE−で増加し、その後、焦点誤差が極めて大きくなると減少し始める。逆に、右側のフィーチャの空間像の強度は、最初に正の焦点誤差FE+で増加する。レジスト材料に印刷されたフィーチャのサイズにおけるこの影響は、代表的な3つの焦点レベル(0、FE−、FE+)において黒く塗りつぶされたバーで表されている。負の小さな焦点誤差FE−については、左側のフィーチャの幅が右側のフィーチャの幅に比べて大きい。ゼロ焦点誤差では、幅が等しい(レクチル上において幅が等しく且つ他の全てのものが等しいと見なす)。正の小さな焦点誤差FE+では、右側のフィーチャの幅が左側のフィーチャの幅よりも大きい。
[00105] 図13は、図12を参照しながら現在説明している方法で使用される焦点計測パターンの例を示している。図13(a)は、フィーチャ1122及び1124の対のうちの2つの拡大断面詳細図と共に、図11(b)と同様のパターンを示している。寸法L1、L2、d、D1、D2は全て、図11(b)と同じ意味で印が付けられている。この例では、(ゼロ焦点誤差では)フィーチャ1122及び1124が等しい幅で印刷され、その結果、L1=L2になると考えられる。図13(b)に見られるように、対のフィーチャのグループを含む焦点計測パターンは、焦点計測ターゲットTとして基板W上に形成される。このターゲットの回折スペクトルの非対称性は、例えば図3の検査装置において放射のスポットSを使用して測定することができる。
[00106] 図12(b)は、リソグラフィ装置100を使用して印刷されたときに、図12(a)に図示する空間像の形態が、焦点計測パターンの非対称性における有用で単調な応答曲線1230をどのように生じさせるかを図示している。横軸には焦点誤差FEがプロットされている。縦軸には、焦点計測パターンの左側のフィーチャと右側のフィーチャとの間のクリティカルディメンジョン(CD)における差ΔCDLRがプロットされている。この場合もまた、この例では、焦点計測パターンは、等しい設計寸法(L1=L2)を有するフィーチャ1122及び1124の対を含むと考えられる。印刷されたパターンにおいて実現される実際の寸法が等しくならず、これによって、図3の検査装置又は他の手段を使用して測定できる非対称性がもたらされる。図12(a)で先程例示した理由から、焦点誤差FEが負である領域は、左側のフィーチャ(1122など)が右側のフィーチャ(1124)よりも幅広である非対称性によって特徴付けられる。逆に、焦点誤差FEが負である領域は、左側のフィーチャ(1122など)が右側のフィーチャよりも狭い非対称性によって特徴付けられる。曲線1230が単調であるので、そのようなターゲットの非対称性の測定値も単調であり、それゆえ、焦点誤差の大きさだけでなく、その符号も表す、焦点誤差の有用な測度を提供できることが分かるであろう。
[00107] 比較のために、図12(c)は、例示の目的でフィーチャ1122の中心とフィーチャ1124の中心との間で測定された、間隔dYの曲線1240を示している。空間像1202の形態は、この間隔が焦点誤差の変化も示すようなものである。図12(a)では確認するのが難しいが、フィーチャ1122及び1124を表すバーは、正と負の両方の焦点誤差の下で僅かに離れる方向に移動する。それゆえ、各対内のフィーチャの相対位置におけるこのシフトは、焦点誤差を直接導出できる単調な信号を提供しない。
[00108] 図12(a)に提示する図は、当然ながら、近似的なものにすぎず、実際の空間像では、なお更なる影響が生じる場合がある。見られる別の影響は、斜め照明がEUVリソグラフィ装置100の投影システムPSで使用されるので、各バーに対する空間像に傾きがあることである。傾きは、線1252及び1254によって大まかに表されている。よって、印刷されたバーの有効位置は、焦点誤差FEによって変化する量だけ変位する。焦点計測パターンが、狭いバーフィーチャの変位を測定できるように設計される場合、この変位を測定することによって焦点の測定値を得ることができる。変位の測定を可能にする1つの方法は、図5の例示のパターンにおける第二フィーチャ424などのより幅広のフィーチャを提供することである。これらのより幅広のフィーチャは、同じシフトを示さない。このことが、図5(a)及び(b)の例に関して、追加の効果が上述されている理由である。
[00109] ここで図14を参照すると、いくつかの実施形態では、2つ以上の同様の焦点計測パターンが同じステップにおいて印刷される。これらのパターンは、「バイアスされる」、すなわち、ゼロ焦点誤差で印刷されたときでさえも、既知の非対称性値が組み込まれた状態で設計されていることを意味する。図14(a)及び(b)は、相補的な1対の焦点計測パターンTN(「標準」)及びTM(「ミラー」)を示している。これらのパターンは、各対におけるフィーチャ1122及び1124の寸法を等しくならないようにすることによって導入された非対称性を有する。換言すれば、寸法L1及びL2は等しくない。「標準」パターンTNでは、フィーチャ1122は、各対におけるフィーチャ1124よりも幅広である(L1>L2)。この不等式は、図14(a)の断面詳細図で確認することができる。逆に、「ミラー」パターンTNでは、フィーチャ1124は、各対におけるフィーチャ1122よりも幅広である(L1<L2)。この不等式は、図14(b)の断面詳細図で確認することができる。これらのパターンTN及びTMは、図14(c)に示すように並べて印刷されたときに、一緒になって複合焦点計測ターゲットTを形成する。パターンTN及びTMが十分に小さい場合には、図3の検査装置の暗視野結像モードにおいて放射スポットSを使用してパターンTN及びTMを同時に結像することができる。換言すれば、これらの焦点計測パターンの両方における非対称性の測定は、装置によって収集された+1及び−1次回折放射を使用して第一像及び第二像を取得することによって行うことができる。
[00110] そのような像の1つが図15に示されている。暗い矩形は、例えば、図3の装置内のセンサ23に記録される暗視野像を表す。円S’は、検出器上に結像された、放射スポットSの領域を表す。明るい矩形TN’及びTM’は、相補的な1対の焦点計測パターンTN及びTMの像を表す。各ターゲットからの1つの回折次数の強度は、例えば、明るい矩形の各々の中の対象領域ROIを画定し、ピクセル値を平均することによって測定することができる。これを反対の回折次数で繰り返すことによって、非対称性の計算が可能となる。図3に示すプリズム21bを使用した代替的な測定方法では、両方のパターンの両方の像を同時に効率的に取り込むことができる。
[00111] 更に他の実施形態では、各焦点計測パターンTN、TMの非対称性は、例えば、図3の検査装置の瞳結像分岐、又はより一般的な角度分解スキャトロメータを使用して、別々に測定されてもよい。1つのパターンの反対の回折次数は、瞳像の相補的領域に位置するが、パターンを1つだけ一度に測定することができる。
[00112] 前述の図8及び図9の例に見られるように、複合焦点計測ターゲットは、相補的な2対以上のパターンを含み得る。したがって、対のパターンTNa/TMa及びTNb/TMbには、異なる設計パラメータ、例えば、異なる周期及び/又は異なる間隔及び/又は異なる線幅が与えられてもよい。
[00113] 図14に示すパターンの各々は、パターニングデバイス(レクチル)MAの好適な設計によって、非対称性に関して「事前にプログラムされる」ことが留意されるであろう。よって、図13の例と比較して、非対称性が投影システム又は印刷プロセスの他のステップによって導入されるかどうかにかかわらず、設計によって非対称性が存在する。その結果、図14の焦点計測パターンは、反射型(EUV)リソグラフィ装置における焦点性能のみならず、透過型(例えば、DUV)リソグラフィ装置における焦点性能をも測定するために使用することができる。
[00114] どちらのタイプの装置が使用されるとしても、既知の非対称性「バイアス」を有する焦点計測パターンを並べて提供することによって、単一のパターンで可能であるよりも高い精度で焦点誤差の測定値を得ることが可能となる。コマ収差などの他の影響を判別することができる。2つ以上のバイアスされたターゲットの使用によって、非対称性の差動測定値を得ることが可能となる。これらの差動測定値をプログラムされた非対称性及び事前の較正の知識と組み合わせることによって、他のプロセス依存変数を無効にすると同時に、焦点誤差の測定値を導出することが可能となる。
[00115] 方法は、ターゲットの非対称性(又は他の特性)と露光(印刷)中の焦点誤差との間に既知の関係があるという事実に依存する。この関係は、単調に変化する関数でなければならない(すなわち、非対称性の符号は、正及び負の焦点によって異なるはずである)。この関係が(例えばコンピュータによる手段によって且つ典型的には較正によっても)知られている場合、実際の焦点位置は、2つ以上のターゲットの非対称性測定値から抽出することができる。
[00116] このようにして信頼できる焦点測定値を達成するために、設計のパラメータは、ターゲットT、TN、TMの回折特性の数学的シミュレーション、及び/又は異なる設計での実験に基づいて最適化することができる。例えば、コマ収差の影響を排除するために、非対称性が等しく且つ正反対である鏡映されたターゲットが使用されることが予想される。しかしながら、特定の状況では、等しくないバイアス値を想定することができる。
[00117] 200nm〜2000nmの波長を有する放射、例えば、350nm〜800nmの範囲内の放射が検査装置で使用されると再び仮定する。周期Pは、例えば450nm又は600nmであってもよい。(検査波長が350nm未満、例えば、150nm〜300nmの範囲内である場合、より短い周期Pが望ましい場合がある。)焦点計測パターンにおける個々のフィーチャの最小寸法L1及びL2は、例えば、周期性の方向において50ナノメートル未満であってもよい。それは、製品パターンにおける最小フィーチャのクリティカルディメンジョンCDと同様か、又はそれよりも若干小さくてもよい。例えば、これらのフィーチャの線幅は、10nm〜30nmであってもよい。
[00118] 図13のような等しい対のフィーチャを有すると見なされ得る設計の例は、寸法L1/d/L2=15/20/15nmであるパターンを有する。図14のような等しくない対のフィーチャを有すると見なされ得る設計の例は、「標準」パターンTNでは寸法L1/d/L2=12/20/18nmであるパターンを、及びミラーパターンTMでは寸法L1/d/L2=18/20/12nmであるパターンを有する。間隔D1及びD2は、フィーチャ1122、1124の隣接する対が(上で定義したように)効果的に隔離されるのに十分に大きくなるように選択されてもよい。パラメータL1、L2、d、D、D1、D2、Pは、設計プロセスの一部として絶対値で及び/又は相対値で定義することができる。例えば、まず平均的なフィーチャ寸法Lを画定することができ、次いで、各対内のフィーチャの等式又は不等式を非対称性パラメータΔLによって規定することができ、結果として、L1=L+ΔL及びL2=L−ΔLとなる。非対称性パラメータΔLは、絶対値又は相対値で、例えばLの割合として定義することができる。値ΔL=L/10は、例えば、約20%の設計非対称性を表す。1対内の間隔dもまた、L又はL1若しくはL2との関係から画定することができる。間隔dは、例えば、フィーチャの平均寸法Lの2分の1〜3倍とすることができる。
[00119] 周期P毎の対の数Nは、周期毎に複数の対を提供することによって回折信号がどれだけ増幅されるかを決定する、別のパラメータである。周期P毎の対の数を最大化するために、値D1は、隣接する対を依然として実質的に隔離された状態に保ちながら、できるだけ小さな値に設定しなければならない。比率D1/(L+d+L)は、例えば、1よりも大きいが3未満、例えば1.5〜2.5であってもよい。グループ間の間隔D2は、例えば、グループ内の対間の間隔D1の2倍よりも大きくてもよい。
[00120] そのようなパターンを設計するのに有用なパラメータは、所望の回折信号を生じさせるフィーチャによって占められる各周期Pの割合を意味する、「カバレッジ」と呼ばれることもある。簡単な線/空間格子の場合、カバレッジは、単に線幅(CD)を周期Pで割ったものである。回折信号は、このカバレッジ率が、約2分の1、例えば4分の1〜4分の3の範囲内である場合に、最も強くなる傾向にある。図12〜図14に図示する対及び対の線のグループの場合、カバレッジは、線自体だけでなく、線の対及び対のグループを全体として考慮に入れる、「有効CD」CDeffによって規定することができる。図13及び図14の例における有効CDは、例えば、その大きな空間D2以外の各周期内の全てによって規定されてもよい。そして、図面の表記を使用した式は、CDeff=N(L1+d+L2)+(N−l)D1となり、且つ、設計ルールは、有効CDが周期の約半分となるように規定することができる。CDeff対Pの比率に関して、そのような設計ルールは、4分の1<CDeff/P<4分の3と表現されてもよい。
[00121] 図16は、例示的な実施形態によるリソグラフィプロセスの焦点性能を測定するための方法のステップを示している。方法は、上で説明し且つ図面に図示する例示の焦点計測パターンの何れかを使用して実行することができる。ステップは、以下の通りであり、その後、より詳細に説明する。
1600 計測ターゲットを備えた製品設計を画定して好適なパターニングデバイス(レチクル)のセットを準備することによって始まる。生産前に、既知の焦点/露光変化で露光を行い、これらを測定して1つ又は複数の較正曲線を得る(これは、設計ステップと露光ステップと測定ステップの繰り返しループを含み得る)。
1610 1つ又は複数の焦点計測パターンを製品パターンと一緒に基板に印刷する。
1620 好適な検査装置を使用して各焦点計測パターンの回折スペクトルの一部分の強度を測定する(例えば、+1次数は、回折スペクトルの好適な部分である)。
1630 検査装置を使用して各焦点計測パターンの回折スペクトルの反対部分の強度(例えば、−1次)を測定する。
1640 反対の回折次数の強度を比較することによって、1つ又は複数の焦点計測パターンの非対称性の測定値を計算する。
1650 任意選択で、焦点計測パターン間のプログラムされた非対称性の知識及び/又は実際のオーバーレイ性能などの他の測定値と共に、非対称性測定値を使用して、焦点計測パターンを印刷する際の焦点誤差を計算する。
1660 後続の基板での露光のための焦点設定において導出された焦点測定値を使用する。
1670 終了するか又は繰り返す。
[00122] 既に解説したように、ステップ1620及びステップ1630は、焦点計測パターンの反対の回折次数を1回の取得で得ることができるように単一のステップとして実行されてもよい。加えて、3つ以上のターゲットが測定される場合に、対応する数の測定値を得るために、全てのターゲットが1回の取得で測定されてもよい。
[00123] 測定ステップは、専用の検査装置としての、スキャトロメータによって行われるように示されているが、この検査装置は、独立型装置であってもよく、又はリソセルに一体化されてもよい。その上、例えば、リソグラフィ装置に設けられたアライメントセンサと共に好適なターゲットを使用して、専用の計測装置なしに非対称性測定を行うことができる。
[00124] 別の実施形態では、同じ方法及びターゲット設計に基づいて、対の線の非対称性測定が、光学的スキャトロメトリによってではなく(又は光学的スキャトロメトリのみによってではなく)、電子顕微鏡によって行われる。L1及びL2(したがって)ΔCDLRは、直接測定することができる。非対称性L1−L2は、同等の測定値を得るために、L1+L2の平均又は合計による除算によって正規化することができる。非対称性を測定する方法を別にすれば、方法のステップは、図16のステップと同じものとすることができる。CD−SEM(走査電子顕微鏡)は、半導体検査で一般的に使用される。他の電子ビーム検査ツールは、例えば、Hermes Microvision(HMI),Taiwan ROCから入手可能である。
[00125] 計算ステップ1640及び1650は、検査装置のプロセッサで全て実行できるか、又はリソグラフィ装置のモニタリング及び制御に関連する異なるプロセッサで実行されてもよい。各ステップは、プログラムされたプロセッサによって実行されてもよく、且つ開示する技術の利点は、焦点測定方法を実行するためにハードウェアの変更なしに検査装置を修正できることである。
[00126] 更なる実施形態は、後続の番号付き条項に開示される。
1.リソグラフィ装置の焦点性能を測定する方法であって、
(a)リソグラフィ装置を使用して少なくとも1つの焦点計測パターンを基板に印刷することであって、印刷された焦点計測パターンが少なくともフィーチャの第一周期的アレイを含むことと、
(b)検査放射を使用して、印刷された焦点計測パターンにおける第一周期的アレイに対する回折スペクトルの反対部分間の非対称性を測定することと、
(c)ステップ(b)で測定された非対称性に少なくとも部分的に基づいて焦点性能の測定値を導出することと、を含み、
上記第一周期的アレイは、第一フィーチャが第二フィーチャと交互に配置された繰り返し配置を含み、各第一フィーチャの最小寸法が、印刷ステップ(a)の限界解像度に近いがそれ以上であり、周期性の方向における各第二フィーチャの最大寸法が、第一フィーチャの最小寸法の少なくとも2倍であり、
各第一フィーチャは、第一フィーチャとその近傍の第二フィーチャとの間の周期性の方向における間隔が第一フィーチャの最小寸法の2分の1〜2倍となるように2つの隣接する第二フィーチャ間に位置決めされる、方法。
2.周期的アレイにおける各第一フィーチャが、周期性の方向に上記最小寸法を有する、条項1に記載の方法。
3.周期的アレイにおける各第一フィーチャが、周期性の方向を横断する方向に上記最小寸法を有する、条項1に記載の方法。
4.周期的アレイにおける各第二フィーチャが、印刷ステップの限界解像度に近いがそれ以上の最小寸法を上記周期性の方向を横断する方向に有するサブフィーチャを更に含む、条項1〜3の何れか一項に記載の方法。
5.印刷された焦点計測パターンが少なくともフィーチャの第一周期的アレイ及び第二周期的アレイを含み、フィーチャの各周期的アレイが、上記先行する条項に規定するような形状を有し、プログラムされた非対称性が各周期的アレイにあり、第二周期的アレイの非対称性が第一周期的アレイの非対称性とは正反対であり、且つステップ(b)が、第一周期的アレイ及び第二周期的アレイの各々の非対称性を測定することを含み、且つステップ(c)が、周期的アレイについて測定された非対称性を組み合わせることによって焦点性能の上記測度を決定する、条項1〜4の何れか一項に記載の方法。
6.上記サブフィーチャは、各第二フィーチャが周期性の方向に対して非対称となるように配置され、且つフィーチャの第二周期的アレイにおける各第二フィーチャの非対称性が、フィーチャの第一周期的アレイにおける非対称性とは正反対である、条項5に記載の方法。
7.2つの隣接する第二フィーチャ間の各第一フィーチャの間隔が、周期性の方向において等しくなく、且つフィーチャの第二周期的アレイにおける隣接する第二フィーチャ間の第一フィーチャの不等間隔が、フィーチャの第一周期的アレイにおける不等間隔とは正反対である、条項1〜6の何れか一項に記載の方法。
8.上記周期性の方向における各第一フィーチャと上記隣接する第二フィーチャの一方との間の距離が、第一フィーチャと上記隣接する第二フィーチャの他方との間の距離の1〜2倍である、条項7に記載の方法。
9.ステップ(b)での測定が、第一フィーチャの上記最小寸法よりもはるかに長い波長を有する放射を使用して実行される、条項1〜8の何れか一項に記載の方法。
10.ステップ(b)での測定は、第一フィーチャの上記最小寸法が40nm未満である一方で、150nmよりも長い波長を有する放射を使用して実行される、条項9に記載の方法。
11.ステップ(b)での測定は、上記焦点計測パターンを印刷するためにリソグラフィ装置によって使用される放射の波長が20nm未満である一方で、150nmよりも長い波長を有する放射を使用して実行される、条項1〜10の何れか一項に記載の方法。
12.上記焦点計測パターンにおけるフィーチャの上記周期的アレイの各々の周期が350nmよりも大きい、条項1〜11の何れか一項に記載の方法。
13.リソグラフィ装置の焦点性能を測定する方法であって、
(a)リソグラフィ装置を使用して少なくとも1つの焦点計測パターンを基板に印刷することであって、印刷された焦点計測パターンが、少なくとも1方向に周期的であるフィーチャのアレイを含むことと、
(b)印刷された焦点計測パターンの特性を測定することと、
(c)上記特性の測定から焦点性能の測定値を導出することと、を含み、
焦点計測パターンが少なくともフィーチャの第一周期的アレイを含み、各フィーチャの寸法が、印刷ステップ(a)の限界解像度に近いがそれ以上であり、
上記フィーチャが対で配置され、且つ周期性の方向における焦点計測パターン内の隣接する対のフィーチャ間の間隔が、各第一フィーチャの寸法と1対内の第一フィーチャ間の間隔の両方よりもはるかに大きい、方法。
14.焦点計測パターンが対のフィーチャのグループの周期的アレイを含み、且つ周期性の方向における焦点計測パターン内の対のフィーチャの隣接するグループ間の間隔が、各フィーチャの寸法と1対内のフィーチャ間の間隔の両方よりもはるかに大きい、条項13に記載の方法。
15.各対内のフィーチャの寸法と各対内のフィーチャ間の間隔の寸法とが、周期性の方向において、同程度の大きさである、条項13又は15に記載の方法。
16.各対内のフィーチャ間の間隔の寸法が、周期性の方向において、各対内のフィーチャの平均寸法の2分の1〜2倍である、条項15に記載の方法。
17.各対内のフィーチャ間の間隔の寸法が、周期性の方向において、各対内のフィーチャの平均寸法の3分の2〜1.5倍である、条項16に記載の方法。
18.各対内の第一フィーチャ間の間隔の寸法が、周期性の方向において、各対内のフィーチャの最小寸法の1〜2倍である、条項15、16又は17に記載の方法。
19.焦点計測パターンが、パターニングデバイスによって画定され、且つステップ(a)での印刷では、パターニングデバイスに斜角をなして入射するパターニング放射でパターニングデバイスの像が投影される、条項13〜18の何れか一項に記載の方法。
20.焦点計測パターンが、少なくとも対のフィーチャの第二周期的アレイを更に含み、プログラムされた差が各アレイにおける各対内のフィーチャ間の寸法にあることと上記プログラムされた差が第一周期的アレイと第二周期的アレイとで正反対であることとを除いて、第二周期的アレイが第一周期的アレイと同じ形状を有する、条項13〜19の何れか一項に記載の方法。
21.ステップ(b)が、対のフィーチャの第一周期的アレイ及び第二周期的アレイについて別々に、印刷された焦点計測パターンの上記特性を測定することを含み、ステップ(c)では、焦点性能の測定値が、少なくとも第一周期的アレイ及び第二周期的アレイについての上記特性の測定値を組み合わせることによって得られる、条項20に記載の方法。
22.ステップ(b)で測定される特性が非対称性である、条項13〜21の何れか一項に記載の方法。
23.非対称性が、印刷された焦点計測パターンにおける又は各周期的アレイの回折スペクトルにおける非対称性を測定することによって測定される、条項22に記載の方法。
24.ステップ(b)での測定が、上記焦点計測パターンにおける対のフィーチャ内のフィーチャの周期性の方向における寸法よりもはるかに長い波長を有する放射を使用して実行される、条項13〜23の何れか一項に記載の方法。
25.ステップ(b)での測定は、上記焦点計測パターンにおける対のフィーチャ内のフィーチャの寸法が40nm未満である一方で、150nmよりも長い波長を有する放射を使用して実行される、条項24に記載の方法。
26.ステップ(b)での測定は、上記焦点計測パターンを印刷するためにリソグラフィ装置によって使用される放射の波長が20nm未満である一方で、150nmよりも長い波長を有する放射を使用して実行される、条項13〜25の何れか一項に記載の方法。
27.ステップ(b)での測定が、電子顕微鏡を使用して実行される、条項13〜21の何れか一項に記載の方法。
28.上記焦点計測パターンにおける対のフィーチャの上記又は各周期的アレイの各々の周期が350nmよりも大きい、条項13〜27の何れか一項に記載の方法。
29.リソグラフィ装置で使用されるパターニングデバイスであって、
1つ又は複数のデバイスパターン及び1つ又は複数の計測パターンのフィーチャを画定するための反射部分及び非反射部分を備え、
計測パターンが少なくとも1つの焦点計測パターンを含み、
焦点計測パターンが少なくともフィーチャの第一周期的アレイを含み、
上記第一周期的アレイは、第一フィーチャが第二フィーチャと交互に配置された繰り返し配置を含み、各第一フィーチャの最小寸法が、リソグラフィ装置の限界解像度に近いがそれ以上であり、周期性の方向における各第二フィーチャの最大寸法が、第一フィーチャの最小寸法の少なくとも2倍であり、
各第一フィーチャは、第一フィーチャとその近傍の第二フィーチャとの間の周期性の方向における間隔が第一フィーチャの最小寸法の2分の1〜2倍となるように2つの隣接する第二フィーチャ間に位置決めされる、パターニングデバイス。
30.焦点計測パターンが少なくともフィーチャの第一周期的アレイ及び第二周期的アレイを含み、フィーチャの各周期的アレイが、条項29に規定するような形状を有し、プログラムされた非対称性が各周期的アレイにあり、第二周期的アレイの非対称性が第一周期的アレイの非対称性とは正反対である、条項28に記載のパターニングデバイス。
31.リソグラフィ装置で使用されるパターニングデバイスであって、
1つ又は複数のデバイスパターン及び1つ又は複数の計測パターンのフィーチャを画定するための反射部分及び非反射部分を備え、
計測パターンが少なくとも1つの焦点計測パターンを含み、
焦点計測パターンが少なくともフィーチャの第一周期的アレイを含み、各フィーチャの寸法が、リソグラフィ装置の限界解像度に近いがそれ以上であり、
上記フィーチャが対で配置され、且つ周期性の方向における焦点計測パターン内の隣接する対のフィーチャ間の間隔が、各第一フィーチャの寸法と1対内の第一フィーチャ間の間隔の両方よりもはるかに大きい、パターニングデバイス。
32.焦点計測パターンが、少なくとも対のフィーチャの第二周期的アレイを更に含み、プログラムされた差が各アレイにおける各対内のフィーチャ間の寸法にあることと上記プログラムされた差が第一周期的アレイと第二周期的アレイとで正反対であることとを除いて、第二周期的アレイが第一周期的アレイと同じ形状を有する、条項31に記載のパターニングデバイス。
33.上記反射部分が、リソグラフィ装置で使用される、20ナノメートルよりも短い放射の波長を反射するようになされ、且つ各第一フィーチャが、上記リソグラフィ装置によって印刷されたときに、周期性の方向に40ナノメートル未満の最小寸法を有する、条項29〜32の何れか一項に記載のパターニングデバイス。
34.リソグラフィプロセスのパラメータを測定するための計測装置であって、
条項1〜28の何れか一項に記載の方法のステップ(b)及び(c)を実施するように動作可能である、計測装置。
35.リソグラフィ装置を備えるリソグラフィシステムであって、
リソグラフィ装置が、
反射型パターニングデバイスを照明するように配置された照明光学システムと、
パターニングデバイスの像を基板上に投影するように配置された投影光学システムと、
条項34に記載の計測装置と、を備え、
リソグラフィ装置が、パターンを更なる基板に付与するときに、計測装置によって導出された焦点性能の測定値を使用するように配置される、リソグラフィシステム。
36.好適なプロセッサ制御装置上で作動したときに、条項1〜28の何れか一項に記載の方法のステップ(b)及び/又は(c)をプロセッサ制御装置に実行させる、プロセッサ可読命令を含むコンピュータプログラム。
37.リソグラフィプロセスを使用してデバイスパターンが一連の基板に付与される、デバイスを製造する方法であって、
条項1〜28の何れか一項に記載の方法を使用して、リソグラフィプロセスの焦点性能を測定することと、
測定された焦点性能に従って後続の基板に対するリソグラフィプロセスを制御することと、
を含む、方法。
結論
[00127] 結論として、リソグラフィプロセスを使用してデバイスを製造する方法は、本明細書に開示した焦点測定方法を実行し、焦点測定方法を使用して、処理された基板を測定してリソグラフィプロセスの性能のパラメータを測定し、且つプロセスのパラメータ(特に焦点)を調整して、後続の基板の処理のためのリソグラフィプロセスの性能を改善又は維持することによって改善することができる。
[00128] 上で説明した焦点計測パターンを含むターゲット構造は、具体的には測定の目的で設計及び形成される計測ターゲットであるが、他の実施形態では、基板上に形成されるデバイスの機能部であるターゲット上で特性が測定されてもよい。多くのデバイスは、規則的な格子状構造を有する。本明細書で使用される「計測パターン」及び「計測ターゲット」などの用語は、特に測定が実行されるためにその構造が設けられることを必要としない。
[00129] これらの計測パターンが形成される基板は、製品開発での製品ウェーハ又は実験的ウェーハであってもよい。それらの基板はまた、専用の計測用ウェーハ、例えば、高度プロセス制御(APC)機構の一部として間欠的に処理されるモニタウェーハであってもよい。
[00130] 基板及びパターニングデバイス上で実現される焦点計測パターンを画定する物理的格子構造に関連して、実施形態は、焦点計測パターン、計測レシピを設計し、及び/又は検査装置を制御して照明モード及びこれらの計測レシピの他の態様を実現する方法を記述した1つ若しくは複数の機械可読命令のシーケンスを含むコンピュータプログラムを含み得る。このコンピュータプログラムは、例えば、設計/制御プロセスのために用いられる別個のコンピュータシステムにおいて実行されてもよい。述べたように、計算及び制御ステップは、図3の装置におけるユニットPU及び/又は図2の制御ユニットLACU内で全て又は部分的に行われてもよい。そのようなコンピュータプログラムが内部に記憶されたデータ記憶媒体(例えば、半導体メモリ、磁気ディスク又は光ディスク)が提供されてもよい。
[00131] 本明細書で使用される「放射」及び「ビーム」という用語は、紫外線(UV)放射(例えば、365、355、248、193、157又は126nmの波長、又は約365、355、248、193、157又は126nmの波長を有する)及び極端紫外線(EUV)放射(例えば、5〜20nmの範囲の波長を有する)、並びにイオンビーム又は電子ビームなどの、粒子ビームを含む、全てのタイプの電磁放射を包含する。
[00132] 「レンズ」という用語は、文脈が許す限り、屈折型、反射型、磁気型、電磁型及び静電型光学コンポーネントを含む、種々のタイプの光学コンポーネントの任意の1つ又は組み合わせを指すことがある。
[00133] 本発明の広さ及び範囲は、上で説明した例示的な実施形態の何れにも制限されるべきではなく、以下の特許請求の範囲及びそれらの均等物によってのみ定義されるべきである。

Claims (16)

  1. リソグラフィ装置の焦点性能を測定する方法であって、
    (a)前記リソグラフィ装置を使用して少なくとも1つの焦点計測パターンを基板に印刷することであって、前記印刷された焦点計測パターンが少なくともフィーチャの第一周期的アレイを含むことと、
    (b)検査放射を使用して、前記印刷された焦点計測パターンにおける前記第一周期的アレイに対する回折スペクトルの反対部分間の非対称性を測定することと、
    (c)ステップ(b)で測定された前記非対称性に少なくとも部分的に基づいて焦点性能の測定値を導出することと、を含み、
    前記第一周期的アレイは、第一フィーチャが第二フィーチャと交互に配置された繰り返し配置を含み、各第一フィーチャの最小寸法が、前記印刷ステップ(a)の限界解像度に近いがそれ以上であり、
    前記周期性の方向における各第二フィーチャの最大寸法が、前記第一フィーチャの前記最小寸法の少なくとも2倍であり、
    各第一フィーチャは、前記第一フィーチャとその近傍の第二フィーチャとの間の前記周期性の方向における間隔が前記第一フィーチャの前記最小寸法の2分の1〜2倍となるように2つの隣接する第二フィーチャ間に位置決めされる、方法。
  2. 前記周期的アレイにおける各第一フィーチャが、前記周期性の方向に前記最小寸法を有するか、又は、
    前記周期的アレイにおける各第一フィーチャが、前記周期性の方向を横断する方向に前記最小寸法を有する、請求項1に記載の方法。
  3. 前記周期的アレイにおける各第二フィーチャが、前記印刷ステップの限界解像度に近いがそれ以上の最小寸法を前記周期性の方向を横断する方向に有するサブフィーチャを更に含む、請求項1又は2に記載の方法。
  4. 前記印刷された焦点計測パターンが少なくともフィーチャの第一周期的アレイ及び第二周期的アレイを含み、フィーチャの各周期的アレイが、前記先行する請求項に規定するような形状を有し、プログラムされた非対称性が各周期的アレイにあり、前記第二周期的アレイの前記非対称性が前記第一周期的アレイの非対称性とは正反対であり、且つステップ(b)が、前記第一周期的アレイ及び前記第二周期的アレイの各々の非対称性を測定することを含み、且つステップ(c)が、前記周期的アレイについて測定された前記非対称性を組み合わせることによって焦点性能の前記測度を決定し、任意選択的に、前記サブフィーチャは、各第二フィーチャが前記周期性の方向に対して非対称となるように配置され、且つフィーチャの前記第二周期的アレイにおける各第二フィーチャの前記非対称性が、フィーチャの前記第一周期的アレイにおける非対称性とは正反対である、請求項1〜3の何れか一項に記載の方法。
  5. 2つの隣接する第二フィーチャ間の各第一フィーチャの前記間隔が、前記周期性の方向において等しくなく、且つフィーチャの前記第二周期的アレイにおける前記隣接する第二フィーチャ間の前記第一フィーチャの不等間隔が、フィーチャの前記第一周期的アレイにおける不等間隔とは正反対であり、且つ任意選択的に、前記周期性の方向における各第一フィーチャと前記隣接する第二フィーチャの一方との間の距離が、前記第一フィーチャと前記隣接する第二フィーチャの他方との間の距離の1〜2倍である、請求項1〜4の何れか一項に記載の方法。
  6. ステップ(b)での前記測定が、前記第一フィーチャの前記最小寸法よりもはるかに長い波長を有する放射を使用して実行され、且つ任意選択に、ステップ(b)での前記測定は、前記第一フィーチャの前記最小寸法が40nm未満である一方で、150nmよりも長い波長を有する放射を使用して実行される、請求項1〜5の何れか一項に記載の方法。
  7. ステップ(b)での前記測定は、前記焦点計測パターンを印刷するために前記リソグラフィ装置によって使用される放射の波長が20nm未満である一方で、150nmよりも長い波長を有する放射を使用して実行される、請求項1〜5の何れか一項に記載の方法。
  8. 前記焦点計測パターンにおけるフィーチャの前記周期的アレイの各々の前記周期が350nmよりも大きい、請求項1〜7の何れか一項に記載の方法。
  9. リソグラフィ装置の焦点性能を測定する方法であって、
    (a)前記リソグラフィ装置を使用して少なくとも1つの焦点計測パターンを基板に印刷することであって、前記印刷された焦点計測パターンが、少なくとも1方向に周期的であるフィーチャのアレイを含むことと、
    (b)前記印刷された焦点計測パターンの特性を測定することと、
    (c)前記特性の前記測定から焦点性能の測定値を導出することと、を含み、
    前記焦点計測パターンが少なくともフィーチャの第一周期的アレイを含み、各フィーチャの寸法が、前記印刷ステップ(a)の限界解像度に近いがそれ以上であり、
    前記フィーチャが対で配置され、且つ前記周期性の方向における前記焦点計測パターン内の隣接する対のフィーチャ間の間隔が、各第一フィーチャの寸法と1対内の第一フィーチャ間の間隔の両方よりもはるかに大きい、方法。
  10. 前記焦点計測パターンが対のフィーチャのグループの周期的アレイを含み、且つ前記周期性の方向における前記焦点計測パターン内の対のフィーチャの隣接するグループ間の間隔が、各フィーチャの前記寸法と1対内のフィーチャ間の前記間隔の両方よりもはるかに大きい、請求項9に記載の方法。
  11. 各対内の前記フィーチャの前記寸法と各対内の前記フィーチャ間の前記間隔の寸法とが、前記周期性の方向において、同程度の大きさであり、且つ任意選択的に、各対内の前記フィーチャ間の前記間隔の前記寸法が、前記周期性の方向において、各対内の前記フィーチャの前記平均寸法の2分の1〜2倍である、請求項9又は10に記載の方法。
  12. リソグラフィ装置で使用されるパターニングデバイスであって、
    1つ又は複数のデバイスパターン及び1つ又は複数の計測パターンのフィーチャを画定するための反射部分及び非反射部分を備え、
    前記計測パターンが少なくとも1つの焦点計測パターンを含み、
    前記焦点計測パターンが少なくともフィーチャの第一周期的アレイを含み、
    前記第一周期的アレイは、第一フィーチャが第二フィーチャと交互に配置された繰り返し配置を含み、各第一フィーチャの最小寸法が、前記リソグラフィ装置の限界解像度に近いがそれ以上であり、前記周期性の方向における各第二フィーチャの最大寸法が、前記第一フィーチャの前記最小寸法の少なくとも2倍であり、
    各第一フィーチャは、前記第一フィーチャとその近傍の第二フィーチャとの間の前記周期性の方向における間隔が前記第一フィーチャの前記最小寸法の2分の1〜2倍となるように2つの隣接する第二フィーチャ間に位置決めされる、パターニングデバイス。
  13. リソグラフィ装置で使用されるパターニングデバイスであって、
    1つ又は複数のデバイスパターン及び1つ又は複数の計測パターンのフィーチャを画定するための反射部分及び非反射部分を備え、
    前記計測パターンが少なくとも1つの焦点計測パターンを含み、
    前記焦点計測パターンが少なくともフィーチャの第一周期的アレイを含み、各フィーチャの寸法が、前記リソグラフィ装置の限界解像度に近いがそれ以上であり、
    前記フィーチャが対で配置され、且つ前記周期性の方向における前記焦点計測パターン内の隣接する対のフィーチャ間の間隔が、各第一フィーチャの寸法と1対内の第一フィーチャ間の間隔の両方よりもはるかに大きい、パターニングデバイス。
  14. リソグラフィプロセスのパラメータを測定するための計測装置であって、
    請求項1〜11の何れか一項に記載の方法のステップ(b)及び(c)を実行するように動作可能である、計測装置。
  15. リソグラフィ装置を備えるリソグラフィシステムであって、
    前記リソグラフィ装置が、
    反射型パターニングデバイスを照明するように配置された照明光学システムと、
    前記パターニングデバイスの像を基板上に投影するように配置された投影光学システムと、
    請求項13に記載の計測装置と、を備え、
    前記リソグラフィ装置が、前記パターンを更なる基板に付与するときに、前記計測装置によって導出された焦点性能の測定値を使用するように配置される、リソグラフィシステム。
  16. リソグラフィプロセスを使用してデバイスパターンが一連の基板に付与される、デバイスを製造する方法であって、
    請求項1〜11の何れか一項に記載の方法を使用して、前記リソグラフィプロセスの焦点性能を測定することと、
    前記測定された焦点性能に従って後続の基板に対する前記リソグラフィプロセスを制御することと、
    を含む、方法。
JP2019565561A 2017-06-26 2018-05-28 リソグラフィ装置の焦点性能を測定するための方法並びにパターニングデバイス及び装置、デバイス製造方法 Active JP6920474B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17177774.1A EP3422102A1 (en) 2017-06-26 2017-06-26 Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP17177774.1 2017-06-26
PCT/EP2018/063959 WO2019001873A1 (en) 2017-06-26 2018-05-28 METHODS AND DEVICES AND PATTERN-FORMING DEVICES FOR MEASURING THE PERFORMANCE OF FOCUSING A LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHOD

Publications (2)

Publication Number Publication Date
JP2020525818A true JP2020525818A (ja) 2020-08-27
JP6920474B2 JP6920474B2 (ja) 2021-08-18

Family

ID=59215634

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019565561A Active JP6920474B2 (ja) 2017-06-26 2018-05-28 リソグラフィ装置の焦点性能を測定するための方法並びにパターニングデバイス及び装置、デバイス製造方法

Country Status (8)

Country Link
US (1) US11054754B2 (ja)
EP (1) EP3422102A1 (ja)
JP (1) JP6920474B2 (ja)
KR (1) KR102375664B1 (ja)
CN (1) CN110832398B (ja)
IL (1) IL271667B2 (ja)
TW (1) TWI670575B (ja)
WO (1) WO2019001873A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL273110B2 (en) 2017-09-11 2024-03-01 Asml Netherlands Bv Patterning methods and devices and devices for measuring focus performance of a lithographic device, method of device manufacture
EP3637187A1 (en) 2018-10-12 2020-04-15 ASML Netherlands B.V. Method for measuring focus performance of a lithographic apparatus
EP3657256A1 (en) 2018-11-20 2020-05-27 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US11733615B2 (en) 2019-01-03 2023-08-22 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US11499924B2 (en) 2019-06-03 2022-11-15 KLA Corp. Determining one or more characteristics of light in an optical system
CN113376960A (zh) * 2020-05-22 2021-09-10 台湾积体电路制造股份有限公司 制造半导体器件的方法和图案形成方法
IL311255A (en) * 2021-09-15 2024-05-01 Asml Netherlands Bv Separation of sources from metrology data

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06120117A (ja) * 1992-10-02 1994-04-28 Nikon Corp 結像特性計測方法及び該方法で使用されるマスク
JPH09504142A (ja) * 1994-08-02 1997-04-22 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ 基板上にマスクパターンを繰り返し写像する方法
JP2001250760A (ja) * 2000-03-06 2001-09-14 Nikon Corp 収差計測方法、該方法を使用するマーク検出方法、及び露光方法
JP2012080131A (ja) * 2000-08-30 2012-04-19 Kla-Tencor Corp 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
US20160274472A1 (en) * 2013-10-30 2016-09-22 Asml Netherlands B.V. Inspection Apparatus and Methods, Substrates Having Metrology Targets, Lithographic System and Device Manufacturing Method
US20160313656A1 (en) * 2013-12-17 2016-10-27 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate
US20160334716A1 (en) * 2015-05-15 2016-11-17 Kla-Tencor Corporation System and Method for Focus Determination Using Focus-Sensitive Overlay Targets
US20170023867A1 (en) * 2015-07-24 2017-01-26 Asml Netherlands B.V. Inspection Apparatus, Inspection Method, Lithographic Apparatus and Manufacturing Method
US20170176870A1 (en) * 2015-12-21 2017-06-22 Asml Netherlands B.V. Methods and Patterning Devices and Apparatuses for Measuring Focus Performance of a Lithographic Apparatus, Device Manufacturing Method

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6433878B1 (en) * 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2008086827A1 (en) * 2007-01-16 2008-07-24 Carl Zeiss Smt Ag Projection exposure method and projection exposure system therefor
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
KR101591610B1 (ko) 2008-02-15 2016-02-03 칼 짜이스 에스엠티 게엠베하 마이크로리소그래피용 투영 노광 장치에 사용하기 위한 패싯 미러
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2131245A3 (en) * 2008-06-02 2012-08-01 ASML Netherlands BV Lithographic apparatus and its focus determination method
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
NL2004545A (en) * 2009-06-09 2010-12-13 Asml Netherlands Bv Lithographic method and arrangement
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
NL2010401A (en) 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
NL2010734A (en) 2012-05-29 2013-12-02 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
NL2010905A (en) 2012-06-22 2013-12-24 Asml Netherlands Bv Method of determining focus, inspection apparatus, patterning device, substrate and device manufacturing method.
US9454072B2 (en) 2012-11-09 2016-09-27 Kla-Tencor Corporation Method and system for providing a target design displaying high sensitivity to scanner focus change
US20160033879A1 (en) * 2014-07-30 2016-02-04 GlobalFoundries, Inc. Methods and controllers for controlling focus of ultraviolet light from a lithographic imaging system, and apparatuses for forming an integrated circuit employing the same

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06120117A (ja) * 1992-10-02 1994-04-28 Nikon Corp 結像特性計測方法及び該方法で使用されるマスク
JPH09504142A (ja) * 1994-08-02 1997-04-22 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ 基板上にマスクパターンを繰り返し写像する方法
JP2001250760A (ja) * 2000-03-06 2001-09-14 Nikon Corp 収差計測方法、該方法を使用するマーク検出方法、及び露光方法
JP2012080131A (ja) * 2000-08-30 2012-04-19 Kla-Tencor Corp 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
US20160274472A1 (en) * 2013-10-30 2016-09-22 Asml Netherlands B.V. Inspection Apparatus and Methods, Substrates Having Metrology Targets, Lithographic System and Device Manufacturing Method
US20160313656A1 (en) * 2013-12-17 2016-10-27 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate
US20160334716A1 (en) * 2015-05-15 2016-11-17 Kla-Tencor Corporation System and Method for Focus Determination Using Focus-Sensitive Overlay Targets
US20170023867A1 (en) * 2015-07-24 2017-01-26 Asml Netherlands B.V. Inspection Apparatus, Inspection Method, Lithographic Apparatus and Manufacturing Method
US20170176870A1 (en) * 2015-12-21 2017-06-22 Asml Netherlands B.V. Methods and Patterning Devices and Apparatuses for Measuring Focus Performance of a Lithographic Apparatus, Device Manufacturing Method

Also Published As

Publication number Publication date
KR20200012932A (ko) 2020-02-05
US20200142324A1 (en) 2020-05-07
JP6920474B2 (ja) 2021-08-18
CN110832398B (zh) 2022-01-11
TW201917490A (zh) 2019-05-01
TWI670575B (zh) 2019-09-01
US11054754B2 (en) 2021-07-06
IL271667A (en) 2020-02-27
EP3422102A1 (en) 2019-01-02
CN110832398A (zh) 2020-02-21
IL271667B1 (en) 2023-08-01
IL271667B2 (en) 2023-12-01
WO2019001873A1 (en) 2019-01-03
KR102375664B1 (ko) 2022-03-16

Similar Documents

Publication Publication Date Title
JP6626208B2 (ja) リソグラフィ装置の焦点性能を測定するための方法、パターニングデバイス、計測装置、リソグラフィシステム、コンピュータプログラムおよびデバイス製造方法
JP6920474B2 (ja) リソグラフィ装置の焦点性能を測定するための方法並びにパターニングデバイス及び装置、デバイス製造方法
KR102668160B1 (ko) 리소그래피 장치의 포커스 성능을 측정하는 장치들 및 패터닝 디바이스들 및 방법들, 디바이스 제조 방법
EP3454127A1 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
NL2022031A (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US20230305407A1 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US11733615B2 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
CN112840270B (zh) 用于测量光刻设备的聚焦性能的方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210628

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210726

R150 Certificate of patent or registration of utility model

Ref document number: 6920474

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150