KR102375664B1 - 리소그래피 장치의 초점 성능을 측정하기 위한 방법 및 패터닝 디바이스 및 장치, 및 디바이스 제조 방법 - Google Patents

리소그래피 장치의 초점 성능을 측정하기 위한 방법 및 패터닝 디바이스 및 장치, 및 디바이스 제조 방법 Download PDF

Info

Publication number
KR102375664B1
KR102375664B1 KR1020197038437A KR20197038437A KR102375664B1 KR 102375664 B1 KR102375664 B1 KR 102375664B1 KR 1020197038437 A KR1020197038437 A KR 1020197038437A KR 20197038437 A KR20197038437 A KR 20197038437A KR 102375664 B1 KR102375664 B1 KR 102375664B1
Authority
KR
South Korea
Prior art keywords
features
feature
focus
pattern
metrology
Prior art date
Application number
KR1020197038437A
Other languages
English (en)
Other versions
KR20200012932A (ko
Inventor
프랭크 스탈스
우스텐 안톤 베른하트 반
야스리 유디스티라
카를로 코르넬리스 마리아 루이첸
버트 베르스트라텐
얀-빌럼 겜밍크
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20200012932A publication Critical patent/KR20200012932A/ko
Application granted granted Critical
Publication of KR102375664B1 publication Critical patent/KR102375664B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

서브-분해능 피쳐에 의존하지 않는 초점 계측 패턴 및 방법이 개시된다. 초점은 인쇄된 패턴(T), 또는 인쇄된 패턴들의 상보적 쌍(TN/TM)의 비대칭을 측정함으로써 측정될 수 있다. 비대칭은 산란측정에 의해 측정될 수 있다. 패턴은 EUV 방사선 또는 DUV 방사선을 사용하여 인쇄될 수 있다. 제 1 타입의 초점 계측 패턴은 제 2 피쳐들(424)과 인터리빙된 제 1 피쳐(422)를 포함한다. 각각의 제 1 피쳐의 최소 치수(w1)는 인쇄 분해능과 가깝다. 주기성 방향으로의 각각의 제 2 피쳐의 최대 치수(w2)는 제 1 피쳐의 최소 치수의 적어도 두 배이다. 각각의 제 1 피쳐는, 제 1 피쳐와 그 가장 가까운 제 2 피쳐 사이의 간격(w1')이 제 1 피쳐의 최소 치수의 절반 내지 두 배 사이가 되도록 두 개의 인접한 제 2 피쳐들 사이에 위치설정된다. 제 2 타입의 초점 계측 패턴은 쌍으로 배열되는 피쳐(1122, 1124)를 포함한다.

Description

리소그래피 장치의 초점 성능을 측정하기 위한 방법 및 패터닝 디바이스 및 장치, 및 디바이스 제조 방법
관련 출원들에의 상호-참조
본 출원은 2017 년 6 월 26 일에 출원되고 그 전체 내용이 원용되어 본원에 통합되는 EP 출원 번호 제 17177774.1의 우선권을 주장한다.
본 발명은, 예를 들어 리소그래피 기술에 의해 디바이스를 제조할 때 측정을 하기 위해 사용될 수 있는 검사 장치 및 방법에 관한 것이다. 또한, 본 발명은 리소그래피 프로세스에서의 초점 파라미터를 모니터링하기 위한 방법에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치가 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다.
리소그래피 프로세스에서, 생성된 구조체를, 예를 들어 프로세스를 제어하고 검증하기 위해서 자주 측정하는 것이 바람직하다. 임계 치수(CD)를 측정하기 위하여 흔히 사용되는 스캐닝 전자 현미경, 및 디바이스에 있는 두 개의 층들의 정렬 정확도인 오버레이를 측정하는 전문 툴과 같이, 이러한 측정을 하기 위한 다양한 툴들이 알려져 있다. 최근, 다양한 형태의 산란계들이 리소그래피 분야에서 사용되도록 개발되어 왔다. 이러한 디바이스들은 방사선 빔을 타겟 위로 지향시키고, 산란 방사선의 하나 이상의 속성 - 예를 들어, 파장의 함수인 단일 반사각에서의 세기; 반사된 각도의 함수인 하나 이상의 파장에서의 세기; 또는 반사된 각도의 함수로서의 편광 - 을 측정하여 회절 "스펙트럼"을 획득하고, 그로부터 타겟의 관심 특성이 결정될 수 있다.
알려진 산란계의 예들은 US2006033921A1 호 및 US2010201963A1 호에 기술되는 타입의 각도-분해된 산란계를 포함한다. 이러한 산란계에 의하여 사용되는 타겟은, 예를 들어 40μm 바이 40μm인 상대적으로 큰 격자들이고, 측정 빔은 격자보다 더 작은 스폿을 생성한다(즉, 격자는 언더필된다). 회절 차수들의 암-시야 이미징을 사용하는 회절-기초 오버레이를 통해, 더 작은 타겟에 대한 오버레이 및 다른 파라미터의 측정이 가능해진다. 이러한 타겟은 조명 스폿보다 더 작을 수 있고, 기판 상의 제품 구조체에 의하여 둘러싸일 수도 있다. 환경 제품 구조체로부터의 세기는 이미지-평면 내에서의 암시야 검출을 사용한 오버레이 타겟으로부터의 세기로부터 효율적으로 분리될 수 있다.
암시야 이미징 계측의 예는 국제 특허 출원 제 US20100328655A1 및 제 US2011069292A1에서 발견될 수 있는데, 이들은 그 전체 내용이 원용되어 본원에 통합된다. 이러한 기술의 추가적인 개발예들은 특허 공개 번호 US20110027704A, US20110043791A, US2011102753A1, US20120044470A, US20120123581A, US20130258310A, US20130271740A 및 WO2013178422에 설명되었다. 이러한 타겟은 조명 스폿보다 더 작을 수 있고, 웨이퍼 상의 제품 구조체에 의하여 둘러싸일 수도 있다. 복합 격자 타겟을 사용하여 하나의 이미지 내의 다수의 격자들이 측정될 수 있다. 이러한 출원들 모두의 내용도 참조되어 본 명세서에 원용된다.
모니터링할 필요가 있는 리소그래피 프로세스의 하나의 중요한 파라미터는 초점이다. 하나의 IC 내에 점점 많은 개수의 전자 컴포넌트를 집적시키고자 하는 소망이 있다. 이를 실현하려면, 컴포넌트의 크기를 줄이고 그에 의하여 투영 시스템의 분해능을 증가시켜서, 점점 더 작은 세부사항, 또는 선폭이 기판 상의 타겟 부분에 투영될 수 있게 할 필요가 있다. 리소그래피에서 임계 치수(CD)가 줄어듦에 따라, 기판 전체 그리고 기판들 사이 양자 모두에서의 초점 일관성이 점점 더 중요해진다. CD는, 변할 경우 피쳐의 물리적 특성에 바람직하지 않은 변동이 생기게 할 피쳐 또는 피쳐들(예컨대 트랜지스터의 게이트 폭)의 치수이다.
통상적으로, 최적의 셋팅은 "사전 준비(send-ahead) 웨이퍼", 즉 생산이 실행되기 전에 노광, 현상, 및 측정된 기판에 의해서 결정되었다. 사전 준비 웨이퍼에서, 테스트 구조체가 소위 초점-에너지 매트릭스(FEM)에서 노광되었고, 최선의 초점 및 에너지(노광 선량) 셋팅이 그러한 테스트 구조체의 검사 결과로부터 결정되었다. 더 최근에는, 초점 계측 타겟이 생산 설계에 포함되어, 초점 성능의 연속 모니터링이 가능해진다. 이러한 계측 타겟은, 대량 제조 시에 고속 성능 측정이 가능해지게 하기 위해서 신속한 측정을 허용해야 한다. 이상적으로는, 계측 타겟은 공간을 부적절하게 손실하지 않으면서 제품 피쳐들 사이에 배치될 수 있도록 충분히 작아야 한다.
현재의 테스트 구조체 설계 및 초점 측정 방법은 여러 단점을 가진다. 공지된 초점 계측 타겟은 서브-분해능 피쳐 및/또는 큰 피치를 가진 격자 구조체를 요구한다. 이러한 구조체는 리소그래피 장치의 사용자의 디자인 규칙을 어길 수 있다. 격자 구조체 내의 비대칭은, 가시 방사선 파장에서 동작하는 산란계와 같은 고속 검사 장치를 사용하여 효과적으로 측정될 수 있다. 공지된 초점 측정 기법은, 타겟 구조체를 규정하는 패터닝 디바이스 상의 패턴의 특수한 디자인에 의해서 레지스트 층 내에 인쇄된 구조체에 초점-감응 비대칭이 도입될 수 있다는 사실을 활용한다. 인쇄가 20 nm 미만, 예를 들어 13.5 nm의 파장의 방사선을 사용하여 수행되는 EUV 리소그래피에서, 서브-분해능 피쳐를 생성하는 것은 훨씬 더 어려워진다. EUV 리소그래피의 경우, 레지스트 두께, 및 그러므로 타겟 구조체의 두께는 더 작다. 그러면 회절 효율이 약해지고, 따라서 초점 계측을 위해 이용가능한 신호 세기가 약해진다.
이러한 이유 때문에, 리소그래피 프로세스, 특히 EUV 리소그래피, 하지만 일반적으로 투영-기초 리소그래피에서 초점 성능의 측정치를 측정하기 위한 새로운 기법을 개발할 필요성이 존재한다.
본 발명은 초점 성능을 측정하기 위한 대안적 방법을 제공하는 것을 목적으로 한다.
일부 양태들에서, 본 발명은 새로운 환경, 예컨대 EUV 리소그래피에 적응할 수 있는 방법을 제공하는 것을 목적으로 한다. 일부 양태들에서, 본 발명은 패터닝 디바이스에서 서브-분해능 피쳐가 규정될 요건을 피하는 것을 목적으로 한다.
본 발명의 제 1 양태에서, 발명자들은 서브-분해능 피쳐를 사용하지 않고 초점-의존적 비대칭 신호를 제공하는 대안적인 타겟 설계를 고안할 수 있다는 것을 인식했다.
제 1 양태에서 본 발명은, 리소그래피 장치의 초점 성능을 측정하는 방법으로서,
(a) 리소그래피 장치를 사용하여 기판 상에 적어도 하나의 초점 계측 패턴을 인쇄하는 단계 - 인쇄된 초점 계측 패턴은 적어도 피쳐들의 제 1 주기적 어레이를 포함함 -,
(b) 검사 방사선을 사용하여, 인쇄된 초점 계측 패턴 내의 제 1 주기적 어레이에 대한 회절 스펙트럼의 반대측 부분들 사이의 비대칭을 측정하는 단계; 및
(c) 단계 (b)에서 측정된 비대칭에 적어도 부분적으로 기반하여, 초점 성능의 측정치를 유도하는 단계를 포함하고,
상기 제 1 주기적 어레이는 제 2 피쳐들 사이에 인터리빙된 제 1 피쳐들의 반복되는 배열을 포함하고, 각각의 제 1 피쳐의 최소 치수는 인쇄 단계 (a)에서의 분해능 한계에 가깝지만 그 이상이며, 주기성 방향으로의 각각의 제 2 피쳐의 최대 치수는 상기 제 1 피쳐의 최소 치수의 적어도 두 배이고,
각각의 제 1 피쳐는, 상기 제 1 피쳐와 그 가장 가까운 제 2 피쳐 사이의 상기 주기성 방향으로의 간격이 상기 제 1 피쳐의 최소 치수의 절반 내지 두 배 사이가 되도록 두 개의 인접한 제 2 피쳐들 사이에 위치되는, 초점 성능 측정 방법을 제공한다.
제 2 양태에서 본 발명은, 리소그래피 장치의 초점 성능을 측정하는 방법으로서,
(a) 리소그래피 장치를 사용하여 기판 상에 적어도 하나의 초점 계측 패턴을 인쇄하는 단계 - 인쇄된 초점 계측 패턴은 적어도 하나의 방향으로 주기적인 피쳐들의 어레이를 포함함 -;
(b) 인쇄된 초점 계측 패턴의 속성을 측정하는 단계; 및
(c) 상기 속성의 측정치로부터 초점 성능의 측정치를 유도하는 단계를 포함하고,
상기 초점 계측 패턴은 적어도 피쳐들의 제 1 주기적 어레이를 포함하고, 각각의 피쳐의 치수는 인쇄 단계 (a)의 분해능 한계에 가깝지만 그 이상이며,
피쳐들은 쌍으로 배열되고, 주기성 방향으로의 상기 초점 계측 패턴 내의 피쳐들의 인접한 쌍들 사이의 간격은 각각의 제 1 피쳐의 치수 및 한 쌍 내의 제 1 피쳐들 사이의 간격 양자 모두보다 훨씬 큰, 초점 성능 측정 방법을 제공한다.
"분해능 한계에 가깝지만 그 이상이다 "라는 어구는 시간 분해능 한계의 두 배, 또는 심지어 2½ 배에 달할 수 있는 치수를 포함하는 것으로 해석되어야 한다.
측정된 속성은 비대칭일 수 있다. 비대칭은 상이한 방식으로 피쳐 쌍에 도입될 수 있다. 이것은, 디자인 규칙을 위반하거나 서브-분해능 피쳐를 포함할 필요가 없이, 그 비대칭이 초점에 민감한 초점 계측 패턴을 생성하기 위해서 사용될 수 있다.
일부 실시예들에서, EUV 방사선과 반사성 타입의 패터닝 디바이스 사이의 상호작용의 3-차원의 성질 때문에, 미세한 피쳐들이 리소그래피 장치의 인쇄 분해능 내에 속하더라도 이러한 피쳐들의 쌍의 상대 치수에서 초점 감도가 생기게 된다. 이러한 실시예에서, 초점 계측 패턴은 패터닝 디바이스에 의해 규정되고, 단계 (a)에서의 인쇄에 의해 패터닝 디바이스에 비스듬한 각도로 입사하는 패터닝 방사선으로 패터닝 디바이스의 이미지가 투영된다. 이러한 방식으로, 패턴 자체가 대칭적인 경우에도 초점-의존적 비대칭이 도입될 수 있다.
비대칭은 상이한 방식으로 측정될 수 있다. 이것은 광학적 산란측정에 의해 측정될 수 있고, 또는, 예를 들어 전자 현미경 검사에 의해 측정될 수 있다.
본 발명은 리소그래피 장치에서 사용하기 위한 패터닝 디바이스를 더 제공하는데, 패터닝 디바이스는 하나 이상의 디바이스 패턴 및 하나 이상의 계측 패턴의 피쳐를 규정하기 위한 반사성 및 비-반사성 부분을 포함하고, 계측 패턴은, 전술된 본 발명의 제 1 양태에 따른 방법에서 사용하도록 적응되는 적어도 하나의 초점 계측 패턴을 포함한다.
본 발명은 리소그래피 프로세스의 초점 성능을 측정하기 위한 계측 장치를 더 제공하는데, 계측 장치는 전술된 본 발명의 제 1 양태 또는 제 2 양태에 따른 방법의 단계 (b) 및 (c)를 수행하도록 동작가능하다.
본 발명은 리소그래피 시스템으로서,
리소그래피 장치를 포함하되, 상기 리소그래피 장치는:
반사성 패터닝 디바이스를 조명하도록 구성되는 조명 광학 시스템;
상기 패터닝 디바이스의 이미지를 기판 상에 투영하도록 구성되는 투영 광학 시스템; 및
전술된 본 발명의 임의의 양태에 따른 계측 장치를 포함하고,
상기 리소그래피 장치는 상기 패턴을 추가적인 기판에 적용할 때, 상기 계측 장치에 의해 유도되는 초점 성능의 측정치를 사용하도록 구성되는, 리소그래피 시스템을 더 제공한다.
본 발명은 전술된 바와 같은 본 발명의 다양한 양태에 따른 방법 및 장치를 구현하는 데에 사용하기 위한 컴퓨터 프로그램 제품을 더 제공한다.
본 발명은 전술된 본 발명의 제 1 양태 또는 제 2 양태에 따른 방법을 사용하는 디바이스 제조 방법을 더 제공한다.
본 발명의 다른 특징과 장점 및 본 발명의 다양한 실시예의 구조 및 동작은 첨부 도면들을 참조하여 아래에서 상세하게 설명된다. 본 발명이 본 명세서에서 설명되는 특정 실시예로 한정되지 않는다는 것에 주의한다. 이러한 실시예는 본 명세서에서 예시를 위해 제공될 뿐이다. 본 명세서에 포함된 교시에 기초하는 추가적인 실시예들이 당업자에게 명백해질 것이다.
본 발명의 실시예가 오직 예시를 통하여, 대응하는 참조 심벌들이 대응하는 부분을 표시하는 첨부된 개략도를 참조하여 이제 설명될 것이다.
도 1은 반사성 패터닝 디바이스를 가지는 리소그래피 장치를 도시한다;
도 2는 본 발명에 따른 방법을 수행하기 위하여 리소그래피 장치 및 계측 장치가 사용될 수 있는 리소그래피 셀 또는 클러스터를 도시한다;
도 3은 각도-분해 산란법 및 암시야 이미징 검사 방법을 수행하도록 적응된 검사 장치를 개략적으로 예시한다;
도 4는 본 발명의 일 실시예에서 반사성 패터닝 디바이스를 사용하여 기판 상에 초점 계측 타겟을 형성하는 것을 예시한다;
도 5는 본 발명의 제 1 양태의 실시예들에서 사용하기 위한 네 개의 예시적인 초점 계측 패턴 (a) 내지 (d)의 개략적인 상세도를 도시한다;
도 6은 도 5의 (b)에 도시되는 타입의 초점 계측 패턴 내의 초점 계측 패턴 내의 디자인 파라미터의 일부 변형예((a)-(c))를 도시한다;
도 7은 (a)도 5의 (b)에 도시된 타입의 초점 계측 패턴의 일부를 상세히 도시하고, 도 7의 (b)는 이러한 패턴의 상보적 변형예를 보여준다;
도 8은 도 7의 (a) 및 (b)에 도시되는 타입의 초점 계측 패턴의 상보적 변형예를 포함하는 복합 초점 계측 타겟의 형성을 보여준다;
도 9는 도 3의 장치를 사용하여 획득된, 도 8의 타겟의 계측 초점 패턴의 암시야 이미지를 보여준다;
도 10은 본 발명의 일 실시예에 따른 초점 모니터링 방법의 흐름도이다;
도 11은 본 발명의 제 2 양태의 실시예들에서 사용하기 위한 두 개의 예시적인 초점 계측 패턴 (a) 및 (b)의 개략적인 상세도를 도시한다;
도 12의 (a)는 도 1의 리소그래피 장치에서 비스듬한 조명을 사용하여 초점 계측 패턴을 인쇄할 때의, 고립된 2바(two-bar) 피쳐의 공간상(areal image)의 형성을 예시한다;
도 12의 (b) 및 (c)는, 본 발명의 제 2 양태의 일 실시예를 예시하며, 초점 계측 타겟 및 초점의 특정 파라미터들 사이의 관계의 시뮬레이션을 보여준다;
도 13의 (a) 및 (b)는 도 12의 방법에서 사용하기 위한 초점 계측 타겟의 형태를 보여준다;
도 14의 (a) 내지 (c)는, 본 발명의 제 2 양태의 대안적인 실시예에서 사용하기 위한, 도 11의 (b)에 도시되는 타입의 바이어스된 초점 계측 패턴들의 쌍을 사용하여 복합 초점 계측 타겟을 형성하는 것을 보여준다;
도 15는 도 3의 장치를 사용하여 획득된, 도 14의 타겟의 계측 초점 패턴의 암시야 이미지를 보여준다; 그리고
도 16은 본 발명의 일 실시예에 따른 초점 모니터링 방법의 흐름도이다.
본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 본 발명의 일 실시예에 따르는 소스 모듈(SO)을 포함하는 리소그래피 장치(100)를 개략적으로 도시한다. 이러한 장치는:
- 방사선 빔(B, 예컨대 EUV 방사선)을 조절하도록 구성되는 조명 시스템(조명기)(IL).
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클; MA)를 지지하도록 구성되고 패터닝 디바이스를 정확하게 위치설정하도록 구성되는 제 1 위치설정기(positioner; PM)에 연결되는 지지 구조체(예를 들어 마스크 테이블; MT);
- 기판(예를 들어 레지스트-코팅된 웨이퍼; W)을 홀딩하도록 구성되고 기판을 정확하게 위치설정하도록 구성되는 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어 웨이퍼 테이블; WT); 및
- 방사선 빔(B)에 부여된 패턴을 패터닝 디바이스(MA)에 의하여 기판(W)의 타겟부(C)(예를 들어 하나 이상의 다이를 포함함)로 투영하도록 구성되는 투영 시스템(예를 들어 반사 투영 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향시키고, 성형(shaping)하고, 또는 제어하기 위한 다양한 유형의 광학 컴포넌트, 예컨대 굴절식, 반사식, 자기식, 전자기식, 정전기식 또는 다른 유형의 광학 컴포넌트, 또는 이들의 임의의 조합을 포함할 수도 있다.
지지 구조체(MT)는 패터닝 디바이스(MA)를, 패터닝 디바이스의 지향, 리소그래피 장치의 디자인, 및 예를 들어, 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 의존하는 방식으로 홀딩한다. 지지 구조체는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 지지 구조체는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임 또는 테이블일 수도 있다. 지지 구조체는 패터닝 디바이스가 예를 들어, 투영 시스템에 대하여 원하는 위치에 있다는 것을 보장할 수도 있다.
"패터닝 디바이스"라는 용어는, 기판의 타겟부 내에 패턴을 생성하는 것과 같이, 자신의 단면 내에 패턴을 가지는 방사선 빔을 부여하기 위하여 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은 타겟부, 예컨대 집적 회로 내에 생성되는 중인 디바이스 내의 특정 기능성 층에 대응할 수도 있다.
일반적으로, 리소그래피에서 사용되는 터닝 디바이스(MA)는 투과형 또는 반사형일 수도 있다. 패터닝 디바이스의 예는 마스크, 프로그램가능 미러 어레이, 및 프로그램가능(LCD) 패널을 포함한다. 마스크는 리소그래피 분야에 잘 알려져 있으며, 이진, 교번 위상-시프트, 감쇄 위상-시프트, 및 다양한 하이브리드 마스크 타입과 같은 마스크 타입을 포함한다. 프로그램가능 미러 어레이의 일 예는 소형 미러들의 매트릭스 정렬을 채용하는데, 이들 각각은 인입하는 방사선 빔을 상이한 방향으로 반사하기 위하여 개별적으로 틸팅될 수 있다. 틸팅된 미러는 미러 매트릭스에 의하여 반사된 방사선 빔 내에 패턴을 부여한다.
조명 시스템과 같은 투영 시스템은 다양한 타입의 광학적 컴포넌트, 예컨대 사용되는 중인 노광 방사선에 대하여, 또는 진공의 사용과 같은 다른 인자에 대해 적합한 바와 같은, 굴절성, 반사성, 자기적, 전자기, 정전기 또는 다른 타입의 광학적 컴포넌트, 또는 이들의 임의의 조합을 포함할 수도 있다. 다른 가스가 너무 많은 방사선을 흡수할 수도 있기 때문에, EUV 방사선에 대하여 진공을 사용하는 것이 바람직할 수도 있다. 그러므로, 진공 벽 또는 저압 펌프의 도움을 받아 진공 환경이 전체 빔 경로로 제공될 수도 있다.
도시된 것처럼, 장치는 반사형이다(예를 들어, 반사형 마스크를 채용). 본 발명의 초점 계측 기법은 특히, 조명이 패터닝 디바이스 표면의 평면에 수직인 방향이 아니고 다소 비스듬한 각도인 반사성 패터닝 디바이스(레티클)에서 사용하도록 개발되었다. 이론상, 동일한 기법은, 몇 가지 이유에 의해 조명이 비대칭을 도입한다면, 투과성 패터닝 디바이스에 관련해서 적용될 수 있다. 종래에는, 레티클의 조명은 대칭적이지만 반사성 레티클을 가지도록 설계되지만, 이것은 일반적으로는 가능하지 않다.
본 발명의 어떤 실시예는 반사성 패터닝 디바이스를 사용하는 투영 시스템 내의 비대칭을 활용한다. 그 외의 실시예는 임의의 종류의 투영 시스템에 적용가능하다.
리소그래피 장치는 2개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2개 이상의 마스크 테이블)을 갖는 유형의 것일 수 있다. 그러한 "다중 스테이지" 머신에서, 부가적인 테이블은 병렬적으로 사용될 수 있으며, 또한 하나 이상의 다른 테이블들이 노광을 위해 사용되고 있는 동안 하나 이상의 테이블 상에 준비 단계들이 수행될 수 있다.
도 1을 참조하면, 조명기(IL)는 소스 모듈(SO)로부터 극자외 방사선 빔을 수광한다. EUV 광을 생성하기 위한 방법은, EUV 범위 내에 하나 이상의 방출 라인이 있으면서 재료를 적어도 하나의 원소, 예를 들어 제논, 리튬 또는 주석을 가지는 플라즈마 상태로 변환하는 단계를 포함하지만 반드시 이것으로 제한되는 것은 아니다. 하나의 이러한 방법에서, 흔히 레이저 생성 플라즈마("laser produced plasma; LPP")라고 명명되는 요구되는 플라즈마는, 연료, 예컨대 요구되는 사전-방출 엘리먼트를 가지는 액적, 스트림, 클러스터를 레이저 빔으로써 조사함으로써 생성될 수 있다. 소스 모듈(SO)은, 연료를 여기하는 레이저 빔을 제공하기 위한, 도 1에는 도시되지 않는 레이저를 포함하는 EUV 방사선 시스템의 일부일 수도 있다. 결과적으로 얻어지는 플라즈마는, 소스 모듈 내에 배치되는 방사선 수집기에 의하여 수집되는 출력 방사선, 예를 들어 EUV 방사선을 방출한다. 레이저 및 소스 모듈은, 예를 들어 CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하기 위하여 사용되는 경우에 별개의 엔티티들일 수도 있다.
이러한 경우에, 레이저는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 방사선 빔은, 예를 들어 적합한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 모듈로 전달된다. 다른 경우에, 소스는, 예를 들어 소스가 흔히 DPP 소스라고 명명되는 방전 생성 플라즈마(discharge produced plasma) EUV 발생기인 경우에 소스 모듈의 내장 부품일 수도 있다.
조명기(IL)는 방사선 빔의 각 세기 분포(angular intensity distribution)를 조절하기 위한 조절기를 포함할 수도 있다. 일반적으로, 조명기(IL)의 퓨필 평면(pupil plane)에서의 세기 분포의 적어도 외측 및/또는 내측 반경 범위(통상적으로, 각각 외측-σ 및 내측-σ라 함)는 조절될 수 있다. 추가적으로, 조명기(IL)는 다면 필드 및 퓨필 미러(facetted field and pupil mirror) 디바이스와 같은 다양한 다른 컴포넌트들을 포함할 수도 있다. 조명기는 방사선 빔이 자신의 단면에서 원하는 균일성 및 세기 분포를 가지도록 조절하기 위하여 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 홀딩되는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사하고, 그리고 패터닝 디바이스에 의하여 패터닝된다. 패터닝 디바이스(예를 들어 마스크(MA))로부터 반사된 이후에, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어 간섭측정 측정 디바이스, 선형 인코더, 또는 용량성 센서)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부들(C)을 위치설정하기 위하여, 기판 테이블(WT)이 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 다른 위치 센서(PS1)가 패터닝 디바이스(예를 들어, 마스크(MA)를 방사선 빔(B)에 대한 경로에 대하여 정확하게 위치설정하기 위하여 사용될 수 있다. 패터닝 디바이스(예를 들어 마스크(MA)) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다.
도시된 장치는 다음 모드들 중 하나 이상의 모드로 사용될 수 있다:
1. 스텝 모드에서는, 지지 구조체(예를 들어, 마스크 테이블(MT) 및 기판 테이블(WT)이 본질적으로 정지 상태로 유지되는 동안, 방사선 빔에 부여된 전체 패턴이 한 번에 타겟부(C) 상에 투영된다(즉, 단일 정적 노광). 그러면, 상이한 타겟부(C)가 노광될 수 있도록 기판 테이블(WT)이 X 방향 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서는, 지지 구조(예를 들어, 마스크 테이블(MT) 및 기판 테이블(WT)이 동기되어 스캐닝되는 동안, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영된다(즉, 단일 동적 노광). 지지 구조체(예를 들어, 마스크 테이블(MT)에 상대적인 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대율(축소율) 및 이미지 반전 특성에 의하여 결정될 수도 있다.
3. 다른 모드에서는, 프로그램가능 패터닝 디바이스를 홀딩하면서 지지 구조체(예를 들어, 마스크 테이블(MT)은 본질적으로 정지 상태로 유지되고, 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟 영역(C) 상에 투영되는 동안에 이동되거나 스캐닝된다. 이러한 모드에서, 일반적으로 펄스화된(pulsed) 방사선 소스가 채용되며, 프로그램가능한 패터닝 디바이스는 요구될 때, 기판 테이블(WT)의 각 이동 이후에 또는 스캔 도중의 연속적인 방사선 펄스들 사이에서 업데이트된다. 동작의 이러한 모드는 위에서 언급된 바와 같은 타입의 프로그램가능한 미러 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크 없는 리소그래피에 용이하게 적용될 수 있다.
또한, 전술한 사용 모드들의 조합 및/또는 변형, 또는 전혀 다른 사용 모드들이 채용될 수도 있다.
리소그래피 장치는 도 1에서 매우 개략적인 형태로 도시되지만, 이것이 본 명세서에서 필요한 전부라는 것이 이해될 것이다.
도 2에 도시된 것처럼, 리소그래피 장치(LA)는 리소셀 또는 클러스터라고도 지칭되는 리소그래피 셀(LC)의 일부를 형성하고, 이는 또한 기판 상에서 노광 전 그리고 노광 후 프로세스를 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는 레지스트층을 침착시키기 위한 스핀 코터(spin coater; SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 냉각 플레이트(chill plate; CH), 및 베이크 플레이트(bake plate; BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판을 픽업하여, 이들을 상이한 공정 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(loading bay; LB)에 전달한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 노광된 기판을 검사하여 후속층들 사이의 오버레이 에러, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하는 것이 바람직할 수 있다. 따라서, 리소셀(LC)이 그 안에 위치되는 제조 설비는, 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수납하는 계측 시스템(MET)을 더 포함한다. 계측 결과는 감독 제어 시스템(SCS)으로 직접적으로 또는 간접적으로 제공된다. 오차가 검출되는 경우, 특히 동일 배치(batch)의 다른 기판이 여전히 노광되기에 충분한 정도로 검사가 곧바로 신속하게 행해질 수 있으면, 후속 기판의 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판들은 스트리핑되고 재작업(rework) 되며 - 수율을 개선하기 위하여 - 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 다른 처리를 수행하는 것을 회피할 수도 있다. 기판의 일부 타겟부에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟부에만 추가의 노광이 수행될 수 있다.
계측 시스템(MET) 내에서, 기판의 특성, 및 구체적으로 상이한 기판의 특성 또는 동일 기판의 상이한 층의 특성이 층에 따라 어떻게 변화하는지를 결정하기 위해 검사 장치가 사용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수도 있고, 또는 독립형 장치일 수도 있다. 가장 신속한 측정을 할 수 있기 위해서는, 검사 장치가 노광 직후에 노광된 레지스트 층에서 특성을 측정하는 것이 바람직하다. 그러나, 레지스트 내의 잠상(latent image)이 매우 낮은 콘트라스트를 가지며 - 이 경우 방사선에 노광된 레지스트의 부분과 방사선에 노광되지 않은 부분 간에 단지 매우 작은 굴절률차가 있음 - 모든 검사 장치가 잠상의 유용한 측정을 행하기에 충분한 감도를 갖는 것은 아니다. 따라서, 통상적으로 노광된 기판에 대해 수행되는 첫 번째 단계이고, 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 단계인, 노광 후 베이크 단계(post-exposure bake step, PEB) 후에 측정이 이루어질 수 있다. 이 스테이지에서, 레지스트 내의 이미지는 반잠상(semi-latent)으로 지칭될 수 있다. 또한, 에칭과 같은 패턴 전사 단계 후에, 현상된 레지스트 이미지의 측정을 행하는 것도 가능하며, 그 시점에서 레지스트의 노광된 부분 또는 노광되지 않은 부분 중의 하나가 제거된다. 후자의 가능성은 오류가 있는 기판의 재작업에 대한 가능성은 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
도 3의 (a)는 소위 암시야 이미징 계측을 구현하는 검사 장치의 주요 요소들을 개략적으로 도시한다. 이러한 장치는 독립형 디바이스이거나 리소그래피 장치(LA), 예를 들어 측정 스테이션, 또는 리소그래피 셀(LC) 중 하나에 통합될 수 있다. 장치에 걸쳐서 여러 브랜치를 가지는 광축이 점선 O로 표현된다. 타겟 격자 구조체(T) 및 회절된 광선들이 도 3의 (b)에 좀 더 상세히 표시된다.
도입부에 인용된 종래의 출원들에서 기술되어 있는 바와 같이, 도 3의 (a)의 암시야-이미징 장치는 분광 산란계 대신에 또는 추가하여 사용될 수 있는 다목적 각도-분해(angle-resolved) 산란계의 일부일 수 있다. 이러한 타입의 검사 장치에서, 방사선 소스(11)에 의해 방출된 방사선은 조명 시스템(12)에 의해 조절된다. 예를 들어, 조명 시스템(12)은 시준 렌즈 시스템, 컬러 필터, 편광자 및 애퍼쳐 디바이스(13)를 포함할 수 있다. 컨디셔닝된 방사선은 조명 경로(IP)를 따라가는데, 여기에서 부분 반사면(15)에 의해 반사되고 현미경 대물 렌즈(16)를 통해 기판(W) 상의 스폿(S) 상에 포커싱된다. 계측 타겟(T)은 기판(W) 상에 형성될 수 있다. 렌즈(16)는 높은 개구수(NA), 바람직하게는 적어도 0.9 및 더 바람직하게는 적어도 0.95의 개구수를 가진다. 원할 경우 1 이 넘는 개구수를 얻기 위해서 침지 유체가 사용될 수 있다.
이러한 예에서 대물 렌즈(16)는 타겟에 의해 산란된 방사선을 집광하는 역할도 한다. 개략적으로, 이러한 복귀 방사선에 대해서 집광 경로 CP가 도시된다. 다목적 산란계는 집광 경로에 두 개 이상의 측정 브랜치를 가질 수 있다. 퓨필 이미징 브랜치로서 도시된 예는 퓨필 이미징 광학 시스템(18) 및 퓨필 이미지 센서(19)를 포함한다. 이미징 브랜치도 도시되는데, 이것은 아래에서 상세히 설명될 것이다. 또한, 추가적인 광학 시스템 및 브랜치가, 예를 들어 세기 정규화, 캡쳐 타겟의 개략적 이미징, 포커싱 및 기타 등등을 위한 레퍼런스 방사선을 집광하도록, 실제 장치 내에 포함될 것이다. 이들의 세부사항은 전술된 이전의 공개 문헌에서 발견될 수 있다.
계측 타겟(T)이 기판(W) 상에 제공되는 경우, 타겟은 1-D 격자일 수도 있으며, 이 1-D 격자는 현상 후에 바(bar)가 솔리드 레지스트 라인(solid resist line)으로 형성되도록 프린트된다. 타겟은 2-D 격자일 수도 있으며, 이 2-D 격자는 현상 후에 바(bar)가 솔리드 레지스트 필러(solid resist pillar) 또는 레지스트 내의 비아(via)로 형성되도록 프린트된다. 바, 필러 또는 비아는 이와 달리 기판 내로 에칭될 수도 있다. 이러한 격자 각각은 그 특성을 검사 장치를 사용하여 조사할 수 있는 타겟 구조체의 일 예이다.
조명 시스템(12)의 다양한 컴포넌트들은 동일한 장치 내에서 상이한 계측 '레시피'를 구현하도록 조절가능할 수 있다. 조명 방사선의 특징인 파장(컬러) 및 편광을 선택하는 것에 추가하여, 조명 시스템(12)은 상이한 조명 프로파일들을 구현하도록 조절될 수 있다. 애퍼쳐 디바이스(13)의 평면은 대물 렌즈(16)의 퓨필 평면 및 퓨필 이미지 검출기(19)의 평면과 공액이다. 그러므로, 애퍼쳐 디바이스(13)에 의해 규정된 조명 프로파일은 스폿(S)에서 기판(W)에 입사하는 광의 각도 분포를 규정한다. 상이한 조명 프로파일을 구현하기 위하여, 애퍼쳐 디바이스(13)가 조명 경로에 제공될 수 있다. 애퍼쳐 디바이스는 가동 슬라이드 또는 휠 상에 탑재된 그 외의 애퍼쳐를 포함할 수 있다. 또는, 이것은 프로그래밍가능한 공간 광 변조기를 포함할 수 있다. 추가적인 대안으로서, 광섬유가 조명 퓨필 평면의 상이한 위치에 배치되고, 그들의 개별 위치에 광을 전달하거나 전달하지 않도록 선택적으로 사용될 수 있다. 이러한 변형예는 모두 전술된 문서에서 논의되고 예시된다.
제 1 예시적인 조명 모드에서, 입사각이 도 3의 (b)에 'I'로 표시된 것처럼 되도록 애퍼쳐(13N)가 사용되고 광선(30a)이 제공된다. 타겟(T)에 의해 반사된 0차 광선의 경로는 '0'으로 명명된다(광축 'O'와 혼동되지 않도록). 제 2 조명 모드에서, 광선(30b)이 제공될 수 있도록 애퍼쳐(13S)가 사용되는데, 이러한 경우에 제 1 모드와 비교할 때 입사 및 반사각은 스왑될 것이다. 도 3의 (a)에서, 제 1 및 제 2 예시적인 조명 모드의 0차 광선들은 각각 0(13N) 및 0(13S)로 명명된다. 이러한 조명 모드 양자 모두는 오프-축 조명 모드라고 이해할 것이다. 축상(on-axis) 조명을 포함하는 그 외의 많은 조명 모드들이 상이한 목적들을 위하여 구현될 수 있다.
도 3의 (b)에 상세히 도시된 바와 같이, 타겟 구조체의 일 예로서의 격자 타겟(T)은 대물 렌즈(16)의 광축(O)에 직교하는 상태로 기판(W)에 배치된다. 오프-축 조명 프로파일의 경우, 축(O)에서 벗어난 각도로부터 격자(T)에 충돌하는 조명의 광선(I)은 0차 광선(실선 0) 및 두 개의 1차 광선(일점쇄선 +1 및 이점쇄선 -1)이 발생되게 한다. 오버필된 소타겟 격자의 경우에, 이러한 광선들은 계측 타겟 격자(T) 및 다른 피쳐를 포함하는 기판의 영역을 커버하는 많은 평행 광선들 중 단지 하나일 분이라는 것을 기억해야 한다. 조명 광선(30a)의 빔이 유한한 폭(광의 유용한 양을 허락하기에 필요한 폭)을 가지기 때문에, 입사 광선(I)은 사실상 각도의 일정한 범위를 차지할 것이고, 회절된 광선 0 및 +1/-1은 어느 정도 확산될 것이다. 소타겟의 점확산 함수에 따라서, 각각의 차수 +1 및 -1은 도시된 바와 같은 단일한 이상적인 광선이 아니라 각도의 일정 범위에 걸쳐 더 넓게 확산될 것이다.
암시야 이미징을 위한 집광 경로의 브랜치에서, 이미징 광학 시스템(20)은 기판(W) 상의 타겟의 이미지(T')를 센서(23)(예를 들어, CCD 또는 CMOS 센서)에 형성한다. 구경 조리개(21)가, 대물 렌즈(16)의 퓨필 평면에 공액인 집광 경로(CP)의 이미징 브랜치에 있는 평면에 제공된다. 구경 조리개(20)는 퓨필 스톱이라고도 불릴 수 있다. 구경 조리개가 다른 형태를 가질 수 있는 것처럼, 구경 조리개(21)도 다른 형태를 가질 수 있다. 렌즈(16)의 유효 애퍼쳐와 함께, 구경 조리개(21)는 산란 방사선의 어느 부분이 센서(23) 상에 이미지를 형성하기 위해 사용되는지를 결정한다. 통상적으로, 구경 조리개(21)는 0차 회절빔을 차단하여 센서(23)에 형성된 타겟의 이미지가 일차 빔에 의해서만 형성되게 하는 기능을 한다. 양자 모두의 1차 빔이 결합되어 이미지를 형성하는 예에서, 이것은 암시야 현미경 검사와 균등한 소위 암시야 이미지일 것이다. 구경 조리개(21)의 일 예로서, 온-축 방사선만 통과하게 하는 애퍼쳐(21a)가 사용될 수 있다. 오프-축 조명을 애퍼쳐(21a)와 조합하여 사용하면, 제 1 차수 중 하나만이 한 번에 이미징된다.
센서(23)에 의하여 캡쳐된 이미지는 이미지 프로세서 및 제어기(PU)로 출력되고, 이들의 기능은 수행되는 특정 타입의 측정에 따라서 달라질 것이다. 본 발명의 목적을 달성하기 위하여, 타겟 구조체의 비대칭의 측정이 수행된다. 비대칭 측정은 타겟 구조체에 대한 지식과 결합되어 이들을 형성하기 위해 사용되는 리소그래피 프로세스의 성능 파라미터의 측정치를 획득할 수 있다. 이러한 방식으로 측정될 수 있는 성능 파라미터는, 예를 들어 오버레이, 초점 및 선량을 포함한다. 상이한 성능 파라미터가 동일한 기본적인 비대칭 측정 방법을 통해서 이렇게 측정되게 하도록, 타겟의 특수한 설계가 제공된다.
도 3의 (b) 및 광선(30a)이 있는 제 1 예시적인 조명 모드를 다시 참조하면, 타겟 격자로부터의 +1차 회절된 광선은 대물 렌즈(16)에 진입하고, 센서(23)에 기록되는 이미지에 기여할 것이다. 제 2 조명 모드가 사용되면, 광선(30b)은 광선(30b)에 반대인 각도로 입사하고, 따라서 -1차 회절된 광선이 대물 렌즈에 진입하고 이미지에 기여한다. 오프-축 조명을 사용하는 경우 구경 조리개(21)가 0차 방사선을 차단한다. 종래의 문헌들에서 설명된 바와 같이, 조명 모드는 X 및 Y 방향으로 오프-축 조명으로 규정될 수 있다.
이러한 상이한 조명 모드들의 타겟 격자의 이미지들을 비교함으로써, 비대칭 측정치가 획득될 수 있다. 또는, 비대칭 측정치는 동일한 조명 모드를 유지하지만 타겟을 회전시킴으로써 얻어질 수 있다. 오프-축 조명이 도시되지만, 그 대신에 타겟의 온-축 조명이 사용되고 변경될 수 있으며, 회절된 광의 오직 하나의 1차 광만을 센서로 실질적으로 전달하도록 오프-축 애퍼쳐(21)가 사용될 수 있다. 추가적인 예에서, 오프-축 프리즘(21b)의 쌍이 온-축 조명 모드와 함께 사용된다. 이러한 프리즘은 +1 및 -1차 광선을 센서(23) 상의 상이한 위치로 우회시켜서, 두 개의 순차적인 이미지 캡쳐 단계들을 수행할 필요가 없이 이들이 검출되고 비교될 수 있게 하는 효과를 가진다. 이러한 기법은 공개된 특허 출원 제 US2011102753A1에서 개시되는데, 이러한 문서의 내용은 그 원용되어 본원에 통합된다. 2차, 3차 및 더 고차인 빔(도 3에는 미도시)이 1차 빔 대신에 또는 이에 추가하여 측정에 사용될 수 있다. 추가적인 변형예로서, 오프-축 조명 모드는 일정하게 유지되는 반면에, 타겟 자체가 대물 렌즈(16) 아래에서 180 도 회전되어 반대 회절 차수들을 사용하여 이미지를 캡쳐한다.
후속하는 설명에서, 반사성 타입의 패터닝 디바이스 상에 비스듬한 조명을 사용하는, 리소그래피 프로세스의 초점 성능을 측정하기 위한 기법이 예시될 것이다. 이러한 기법은 특히, 진공에 가까운 환경에 있는 반사성 광학기가 요구되는 EUV 리소그래피에 적용될 수 있다. 특정 초점 계측 패턴을 포함하는 계측 타겟은, 제품 피쳐가 인쇄되는 것과 동시에 기판상에 인쇄될 것이다. 이러한 인쇄된 패턴에 있는 비대칭이, 예를 들어 도 3의 장치에서 회절 기초 기법을 사용하여 측정될 것이다. 작은 타겟을 사용할 수 있게 하기 위하여, 이러한 비대칭 측정이 장치의 암시야 이미징 브랜치를 사용하여 수행될 것이라고 가정될 것이다. 그러나, 비대칭의 회절-기초 측정은 퓨필 이미징 브랜치를 사용해서도 역시 이루어질 수 있다. 물론, 도 3에 도시되는 장치는 비대칭을 측정하기 위하여 사용될 수 있는 검사 장치 및 방법의 하나의 예일 뿐이다.
DUV 파장 범위에서 동작하는 리소그래피 장치의 콘텍스트에서, 회절-기초 초점(DBF) 측정을 위한 타겟이 설계되고 성공적으로 사용되어 왔다. 공지된 타입의 DBF 타겟은 레티클 상의 격자 패턴 내에 서브-세그멘트화된 피쳐를 포함함으로써 생성된다. 이러한 피쳐는, 더 솔리드한 피쳐와 나란히, 리소그래피 장치의 이미징 분해능 아래의 차원을 가진다. 결과적으로, 이들은 기판 상의 레지스트 층에 개별적인 피쳐로서 인쇄되지 않지만, 솔리드 피쳐의 인쇄에 초점 오차에 민감한 방식으로 영향을 준다. 구체적으로 설명하면, 이러한 피쳐가 있으면 DBF 계측 타겟 내의 격자 내의 각각의 라인에 대하여 비대칭 레지스트 프로파일이 생성되는데, 비대칭의 정도는 초점에 의존한다. 결과적으로, 도 3의 검사 장치와 같은 계측 툴은 기판 상에 형성된 타겟으로부터 비대칭의 정도를 측정하고, 이것을 스캐너 초점으로 전환할 수 있다.
불행하게도, 공지된 DBF 계측 타겟 설계는 모든 상황에서 사용하기에는 적합하지 않다. EUV 리소그래피에서, 레지스트 필름 두께는 DUV 침지 리소그래피에서 사용되는 것보다 훨씬 작아서, 회절 효율이 낮아지고 산란계 내에서 회절된 방사선으로부터 정확한 비대칭 정보를 추출하기가 어렵게 된다. 추가적으로, 이미징 시스템의 분해능은 본질적으로 EUV 리소그래피에서 더 높기 때문에, DUV 침지 리소그래피의 인쇄 분해능 아래인 치수를 가지는 피쳐는 EUV 리소그래피에 의해 인쇄가능한 "솔리드(solid") 피쳐가 된다. EUV 레티클 상에 유사한 서브-분해능 피쳐를 제공하는 것은 다소 비실용적이고, 및/또는 반도체 제조사의 "디자인 규칙"을 위반할 수 있다. 이러한 규칙은 일반적으로, 인쇄된 피쳐가 그들의 프로세스 요구 사항에 따르는 것을 보장하도록, 피쳐 디자인을 제한하기 위한 수단으로서 구축된다. 어떠한 경우에서도, 디자인 규칙을 벗어나는 동작이 있으면 DBF 타겟 상의 프로세스의 성능을 시뮬레이션하는 것이 어려워져서, 최적의 타겟 디자인 및 초점 측정의 교정은 시행 착오의 문제가 된다. 디자인 규칙에 따르려는 욕구는 EUV 리소그래피에만 적용되는 것이 아니라 DUV 리소그래피에서의 DBF 타겟에도 적용된다.
도 4는 본 발명에 따라서 리소그래피 장치의 초점 성능을 측정하는 방법의 원리를 예시한다. 개시된 방법에서, 리소그래피 장치는 기판(W) 상에 적어도 하나의 초점 계측 패턴(T)을 인쇄하기 위하여 사용된다. 인쇄된 초점 계측 패턴(T)은 적어도 하나의 방향으로 주기적인 피쳐들의 어레이를 포함한다. 이러한 예를 들기 위하여, 초점 계측 패턴(T)은 Y 방향으로 주기적인데, 이것은 리소그래피 장치의 스캐닝 방향에 대응한다. 설명된 타입의 리소그래피 장치에서, 조명 방향은 Y-Z 평면에서 비스듬한 각도이다. 초점 계측 패턴(T)은 이러한 Y 방향으로 주기적이어서, 조명이 이렇게 비스듬하기 때문에 생긴 이미징 프로세스에서의 비대칭을 활용한다. 인쇄된 초점 계측 패턴에서의 비대칭을, 예를 들어 전술된 타입의 검사 장치를 사용하여 측정함으로써, 초점 성능의 측정치가 유도될 수 있다.
패터닝 디바이스(MA)는 하나 이상의 디바이스 패턴 및 하나 이상의 계측 패턴의 피쳐를 규정하기 위한 반사성 및 비-반사성 부분을 포함한다. 본 발명에 대한 관심 대상인 하나의 타입의 계측 패턴으로서, 기판(W) 상에 형성될 초점 계측 패턴(T)이 반사성 패터닝 디바이스(MA) 상에 형성된 대응하는 패턴(T")에 의해 규정된다. 레티클의 일부의 확대된 세부도가 402에 도시된다. 이러한 패턴을 기판(W) 상의 레지스트 층에 전사하는 인쇄 동작이, 레티클을, 예를 들어 5° 내지 10°의 범위에 있을 수 있는 비스듬한 각도 θ로 입사하는 EUV 방사선(404)으로 조명함으로써, 도 1의 리소그래피 장치에서 수행된다. 계측 타겟 패턴(및 기판 상에 인쇄될 모든 제품 피쳐)의 정보를 운반하는 반사된 방사선(406)이 투영 시스템(PS)에 진입한다. 레티클의 기반은, 리소그래피 장치에서 사용되는 방사선의 파장을 반사하도록 적응되는, 통상적으로 다층 구조체인 반사성 구조체(408)이다. EUV 방사선은 통상적으로는 20 나노미터보다 짧다. 예를 들어, 약 13.5 nm의 파장이 현재의 구현형태에서 사용되는데, 이것은 주석 플라즈마 방사선 소스에 기반한다.
반사성 구조체(408)위에는, EUV-흡수 재료의 층, 및 선택적으로 보호 캐핑 층을 포함할 수 있는 방사선-흡수 구조체(410)가 제공된다. 구조체(410)는, 기판 상의 레지스트 재료에 인쇄되기가 소망되는 패턴에 따라 반사 부분(412, 414) 및 비-반사 부분(416)을 남기도록 선택적으로 제거된다. 사용되는 레지스트 재료의 타입에 의존하여, 현상된 패턴은 반사성 부분(네거티브 톤 레지스트) 또는 비-반사성 부분(포지티브 톤 레지스트)에 대응하는 레지스트 피쳐를 가질 수 있다. 본 명세서의 예시를 위하여, 달리 진술되지 않는 한 양의 레지스트 프로세스가 가정될 것이다. 본 발명의 교시 내용은 당업자에 의해서 다른 타입의 프로세스로 용이하게 적응될 수 있다.
초점 계측 패턴(T)은 주기성 방향으로 길이(L)인 격자 패턴을 포함한다. 이러한 예에서 주기성 방향은 언급된 바와 같이 Y 방향이다. 구조체의 주기(P)가 마킹되고, 반복 단위(420) 중 하나를 포함하는 패턴의 확대된 부분이 도시된다. 이러한 예에서 각각의 반복 단위는 하나 이상의 제 1 피쳐(422) 및 하나 이상의 제 2 피쳐(424)의 그룹을 포함한다. 이러한 예에서 제 1 피쳐(422)의 각각의 그룹은 레티클 부분(402) 상의 좁은 반사 부분(412)에 의해 규정되는 얇은 막대 구조를 포함한다. 통상적인 리소그래피 장치의 투영 시스템(PS)이, 패턴을 패터닝 디바이스(MA)로부터 기판(W) 상에 인쇄할 때 미리 결정된 축소 인자를 적용할 것이라는 것을 당업자는 이해할 것이다. 따라서, 후속하는 설명에서 주어진 피쳐의 치수는 기판 상에 인쇄된 피쳐의 크기를 가리키는 것을 이해될 것이고, 및 레티클(402)과 같은 패터닝 디바이스 상의 대응하는 피쳐의 크기는 물리적으로 서 너 배 더 클 수 있다. 이러한 스케일링 인자는 후속하는 설명에서 당연한 것으로 여겨지고, 다시 언급되지 않을 것이다. 이와 유사하게, 콘텍스트가 그렇지 않다고 요구하지 않으면, 계측 패턴(T)의 피쳐의 치수는 이러한 패턴이 패터닝 디바이스로부터 레지스트로 완벽하게 전사될 경우에 그러할 것으로서 진술된다. 이해될 수 있는 바와 같이, 초점 계측 방법의 기반은, 비-제로 초점 오차가 존재할 경우 피쳐가 완벽하게 인쇄되지 않을 것이라는 점이다.
인쇄 단계에서 사용되는 방사선, 예를 들어 EUV 방사선의 파장은 도 3의 검사 장치에서 비대칭을 측정하기 위하여 통상적으로 사용되는 파장보다 훨씬 짧다. EUV 방사선은 0.1 nm 내지 100 nm의 범위인 방사선으로서 규정될 수 있는 반면에, 인쇄 단계에서 사용되는 방사선의 파장은, 예를 들어 20 나노미터 미만일 수 있다. 일부 실시예들에서 검사 장치는 200 내지 2000 nm의 범위 내의 하나 이상의 파장에서 가시광선 또는 적외선 방사선을 사용할 수 있다. 이러한 경우에, 인쇄 단계에서 사용되는 방사선의 파장은 비대칭의 측정에서 사용되는 방사선의 파장보다 열 배 이상 더 짧을 수 있다. 다른 예들에서, 측정 방사선의 파장은 200 nm보다 짧아서, 예를 들어 150-400 nm또는 심지어 100 nm 내지 200 nm의 범위에 속할 수 있다.
어떤 방사선 파장이 패턴을 인쇄하고 이것을 측정하기 위하여 사용되던지 간에, 초점 계측 패턴은 이러한 조건에 맞게 적응된 속성들의 범위를 가진 피쳐를 보유한다. 제 1 피쳐(422)는 제품 패턴의 일부로서 인쇄된 최소 피쳐와 유사한 치수를 가지도록 설계된다. 그렇지 않으면, 초점 계측 패턴(T)을 사용하여 측정된 초점 성능은 관심 대상인 실제 제품 피쳐에서의 초점 성능을 정확하게 표현하지 않을 수 있다.
반면에, 검사 장치에서 사용되는 더 긴 파장을 고려하면(심지어 더 짧은 파장을 사용하는 검사 장치가 적용될 수 있다는 것을 허용하기도 함), 이러한 개별적인 제 1 피쳐는 검사 장치에 의해 직접적으로 해상되기에는 너무 작다. 제 1 피쳐의 그룹을 검사 장치 파장에 비견되는 전체 주기(P)를 가지는 격자 패턴 내에 배열함으로써, 패턴의 회절 스펙트럼은 전체로서 검사 장치 내에서 해상될 수 있게 되고, 더 작은 피쳐의 속성이 추론될 수 있게 된다. 격자 패턴의 주기(P)는, 예를 들어 350 nm 또는 450 nm 또는 600 nm일 수 있다. 격자 패턴의 전체 길이(L)는, 예를 들어 5 μm일 수 있다. 이러한 크기는, 패턴이 디바이스 구역 내에 포함되지만, 여전히 도 3의 검사 장치의 암시야 이미징 브랜치를 사용하여 여전히 해상되게 한다. (만일 퓨필 이미징 브랜치를 사용하여 측정이 이루어지면, 조명 스폿(S)이 격자 내에 전체적으로 배치될 수 있도록 통상적으로, 예를 들어 30 μm 또는 40 μm인 더 긴 L이 요구된다.) 피쳐 및 격자의 상대적인 크기, 및 각각의 격자 내의 피쳐들의 개수는 본 명세서에서 도면들 중 임의의 도면에서 척도에 맞게 도시되도록 의도되지 않는다.
본 발명의 제 1 양태에 따른 예
도 5는 본 명세서에서 도입부에서 언급된 본 발명의 제 1 양태를 참조하여 개시되는 원리에 기반하여 사용될 수 있는 다양한 초점 계측 패턴을 예시하는데, 물론 다른 예들도 구상될 수 있다. 이러한 예들 전부에서, 주기(P)인 반복 단위를 포함하는 패턴의 작은 섹션만이 도시된다.
도 5의 (a)는 도 4의 예에서와 같이 사용되는 동일한 초점 계측 패턴의 작은 부분을 따로 보여준다. 이러한 패턴의 반복 단위는, 주기성 방향으로 서로 이격된 하나의 제 1 피쳐(422) 및 하나의 제 2 피쳐(424)를 포함한다. 이러한 예에서 주기성 방향은 패터닝 디바이스 및 기판의 X 방향일 수 있다. 각각의 제 1 피쳐(422)는 인쇄 단계의 분해능 한계에 가깝지만 그 이상인 최소 치수 w1을 각각 가지는 막대 또는 다른 피쳐를 포함한다. 이러한 값 w1은, 예를 들어 주기성 방향으로 50 나노미터보다 작을 수 있다. 이것은, 리소그래피 프로세스를 사용하여 동일하거나 다른 기판 상에 인쇄될 제품 패턴 내의 최소 피쳐의 임계 치수(CD)와 유사하거나, 그보다 약간 작을 수 있다. 일 예에서, 이러한 피쳐의 선폭은 22 nm일 수 있다. 다른 예들에서, 이러한 피쳐의 선폭은 15 nm 내지 50 nm, 또는 20 nm 내지 45 nm의 범위에 속할 수 있다. 반면에, 각각의 제 2 피쳐(424)의 주기성 방향으로의 최대 치수 w2는 상기 분해능 한계보다 훨씬 더 크다. 예를 들어, 제 2 피쳐의 최대 치수 w2는 제 1 피쳐의 최소 치수 w1보다 두 배 이상일 수 있고, 세 배 또는 네 배일 수도 있다. 이러한 예에서, 최대 치수 w2는 제 2 피쳐의 주기성 방향으로의 최소 치수이기도 하다는 것에 주목할 수 있다. 이러한 경우가 아닌 다른 실시예가 예시될 것이다.
각각의 제 1 피쳐(422) 및 그 가장 가까운 이웃하는 제 2 피쳐(424) 사이의 공간은 치수 w1'를 가지고, 역시 인쇄 단계의 분해능 한계에 가깝지만 그 이상이다. 이것은 제 1 피쳐(422)의 치수 w1과 같거나 약간 다를 수 있다. (이러한 콘텍스트에서 "약간 다르다"는 것은 절반 내지 두 배 사이의 비율을 포함하는 것으로 여겨질 수 있다.) 각각의 제 1 피쳐(422) 및 그 다음으로 가장 가까운 이웃하는 제 2 피쳐(424) 사이의 제 2 공간은 치수 w2'를 가지고, 제 2 피쳐(424) 자체의 치수 w2와 유사하다. 결과적으로, 얇은 제 1 피쳐 및 더 얇은 제 2 피쳐를 포함하는 패턴(T)은 실질적으로 양의 형태와 음의 형태 양자 모두에서 존재한다는 것을 알 수 있게 될 것이다. 이러한 치수 w1, w1'를 더 큰 주기(P)와 함께 고려하면, 치수 w2 및 w2'가 제 1 피쳐(422)의 최소 치수 w1보다 훨씬 크게 되고, 결과적으로 인쇄 단계의 분해능 한계보다 훨씬 크게 된다는 것이 이해될 것이다. 치수 w2 및 w2'는 각각, 예를 들어 치수 w1의 네 배, 다섯 배, 여섯 배, 여덟 배, 또는 열 배가 넘을 수도 있다.
초점 계측 패턴의 여러 파라미터는 최적의 초점 계측 패턴에 대한 디자인 프로세스의 일부로서 조절될 수 있다. 특히 리소그래피 장치의 동작 파라미터가 각각의 층에 대해서 맞춤화될 수 있는 경우, 최적의 초점 계측은 제품의 각각의 층 및 각각의 프로세스에 대해서 다를 수 있다. 도시된 바와 같이, 치수 w1 및 w1'는 서로 같도록, 또는 약간 다르도록 선택될 수 있다. 예를 들어, 제 1 공간 치수 w1'는 제 1 피쳐 치수 w1의 절반 내지 두 배 사이일 수 있다. 이와 유사하게, 제 2 공간 최대 치수 w2'는 제 2 피쳐 최대 치수 w2의 절반 내지 두 배 사이일 수 있다. 디자인 파라미터는 임의의 적합한 포맷으로 표현될 수 있다. 방금 주어진 것과 같은 비율은 피쳐의 상대적인 치수를 나타내기 위해서 편리할 수 있는 반면에, 절대 치수가 직접적으로, 또는 규정된 분해능 한계에 상대적인, 및/또는 주기(P)에 상대적인 비율로서 표현될 수도 있다. 물론, 이러한 예에서 치수 w1, w1', w2, w2'의 총합은 주기(P)와 같아야 한다.
450 또는 600 nm의 주기(P) 및 제 1 피쳐에 대해서 대략 22 nm의 선폭(w1)인 도시된 예에서, 제 2 피쳐의 최대 치수 및 제 2 공간(w2 및 w2')은 각각 100 nm, 또는 200 nm 또는 250 nm를 넘을 수 있다. 일반적으로 말하면, 이미징 기술의 당업자는, 피쳐들 사이의 공간이 피쳐 자체의 치수의 다섯 배 또는 여섯 배라면 이들이 서로 실효적으로 고립된다고 간주할 것이다. 따라서, 이러한 예에서, 각각의 제 1 피쳐는 그 이웃하는 제 2 피쳐들 중 하나에 가깝지만, 그 다른 이웃하는 제 2 피쳐로부터 고립된다.
발명자들은, 적절하게 설계하면, 도 3의 검사 장치와 같은 산란계의 회절-기초 비대칭 측정 기능을 사용하여 초점 성능 측정치가 도 5의 (a)에 도시되는 형태의 타겟 격자로부터 획득될 수 있다는 것을 발견했다. 이러한 비대칭은, 검사 장치의 퓨필 이미징 브랜치(센서(19)), 또는 암시야 이미징 브랜치(센서(23))를 사용하여 아주 간단하게 측정될 수 있다. 재구성 또는 초점-선량 모델링과 같은 다른 측정 기법과 비교하면, 매우 간단한 신호 처리가 요구된다. 더 상세히 후술되는 바와 같은 특정 실시예들에서, 초점 계측 타겟 패턴은 이러한 타입의 격자들의 상보적 쌍을 포함할 수 있다. 이러한 상보적 격자들에 대해서 측정된 비대칭들을 결합하면, 초점 오차의 부호 및 크기 양자 모두가 측정될 수 있게 된다.
이러한 타입의 패턴은, 반사성 패터닝 디바이스(MA), 또는 종래의 투과성 프로세스를 사용하여 EUV 리소그래피 프로세스에 대한 초점 성능을 측정하기 위하여 사용될 수 있다. 비대칭 조명이 있는 리소그래피 프로세스, 예컨대 EUV 리소그래피 프로세스의 경우, 추가적인 초점-의존적 비대칭 효과가 기대될 수 있다. 이러한 효과들 중 하나는, 비대칭 조명 하에서는 얇은 피쳐(422)의 위치가 더 두꺼운 피쳐(424)의 위치에 상대적으로 천이될 것이라는 점이다. 그러면 비대칭의 추가 성분이 생기게 되는데, 이것은 적절히 설계하면 초점 신호를 향상시킬 수 있다.
도 5의 (b)는, 주기적 어레이 내의 각각의 제 2 피쳐가 상기 주기성 방향을 가로지르는 방향으로 인쇄 단계의 분해능 한계에 가깝지만 그 이상인 최소 치수를 가지는 서브-피쳐(426)를 더 포함한다는 점에서 도 5의 (a)와 다른, 초점 계측 패턴의 다른 예를 제공한다. 이러한 예에서 서브-피쳐는 제 1 피쳐의 메인 보디(428)로부터 비대칭적으로 투영하는 라인이다. 이러한 투영 라인 또는 핑거의 길이는 w3라고 불린다. 각각의 제 2 피쳐(424)의 메인 보디(428)가 주기성 방향으로의 제 2 피쳐의 최소 치수 w4를 규정한다. 따라서, 이러한 명명법에서, 제 2 피쳐(424)의 최대 치수 w2는 w3 + w4와 같다. 횡단 방향으로의 서브-피쳐의 최소 치수는 w5라고 명명된다.
도 5의 (a)의 예와 유사하게, 도 5의 (b)의 예는 양의(백색 상 흑색) 및 음의(흑색 상 백색) 버전 양자 모두에서 동시에 관찰될 수 있다. 음의 버전의 치수 w1', w2', w3', w4', w5'가 도면에서 마크된다. 치수 w1, w1', w3, w4가 합산되어 하나의 주기(P)가 된다는 것을 알 수 있게 될 것이다. 치수 w5 및 w5'는 횡단 방향으로 하나의 주기(Pt)가 된다. 선택된 디자인 파라미터에 따라서, 양의 버전과 음의 버전의 치수는 동일하거나(하지만 미러 이미지에서 동일함), 다를 수 있다. 도 5의 (a)의 예와 비교하면, 도 5의 (b)의 예는, 초점에 대한 감도를 최적화하고 다른 효과에 대한 감도를 감소시키기 위하여 변동될 수 있는 더 많은 수의 파라미터를 가진다. 서브-피쳐(426)의 최소 치수는 제 1 피쳐의 최소 치수와 같거나 다를 수 있다.
도 6은 바로 위에서 설명된 방식으로 디자인 파라미터 중 일부를 변경한 결과를 예시한다. 도 6의 (a)에서, 치수는 도 5의 (b)에서와 같다. 도 6의 (b)에서, 제 2 피쳐의 메인 보디(428)에 의해 규정된 최소 치수 w4는 도 5의 (b)와 비교할 때 증간된 반면에, 치수 w3는 감소되었다. 제 2 피쳐의 최대 치수 w2 및 제 1 피쳐로부터의 간격은 동일하게 유지되었다. 패턴의 음의(검정 위의 흰색) 버전에서의 제 2 피쳐의 최소 치수 w4'와 같은 다른 파라미터는 변하지 않았다. 도 6의 (c)에서 치수는 도 6의 (b)에서의 변화에 반대인 방식으로 변한다. 제 2 피쳐의 메인 보디(428)에 의해 규정되는 최소 치수 w4는 (a)에서와 같이 유지되었다. 서브-피쳐(426)의 길이(치수 w3)는 감소되어, 제 2 피쳐(424)의 주기성 방향으로의 최대 치수 w2가 도 5의 (b)와 비교할 때 감소되고 일측에서 제 1 피쳐로부터의 간격 w4'는 증가되었다. 이러한 경우에 패턴의 음의(검정 위의 흰색) 버전에서의 제 2 피쳐의 최소 치수는 증가되었다.
도 6의 예에서 변하지 않는 파라미터들 중 어떤 것도 변경될 수 있다. 예를 들어, 횡단 주기(Pt)가 변경될 수 있고, 각각의 피쳐 내의 "핑거" 치수의 비율 w5: w5'도 변경될 수 있다. 기대될 수 있는 바와 같이, 횡단 방향에서의 제 1 피쳐의 어레이의 주기(Pt)는, 초점 계측 패턴의 주기성을 전체로서 가리키는 주기성 방향으로의 주기(P)보다 훨씬 작을 것이다.
다른 디자인 관심 파라미터는 이웃하는 제 2 피쳐들 사이에 제 1 피쳐가 위치된 균일성(evenness)이다. 예를 들어, 흰색 위 검정 패턴에서, 상황 w1' = w4'는 각각의 제 1 피쳐가 그 이웃하는 제 2 피쳐들 사이의 정확하게 가운데에 위치된다는 것을 나타낸다. 검정 위 흰색 패턴에서, 상황 w1 = w4는 각각의 제 1 피쳐가 그 이웃하는 제 2 피쳐들 사이의 정확하게 가운데에 위치된다는 것을 나타낸다. 그러므로, 각각의 제 1 피쳐의 어느 한 측에서의 공간이 일반적으로 유사하고, 예를 들어 한 공간이 다른 공간의 절반 내지 두 배 사이이거나 2/3와 1½ 배 사이가 되도록, 일부 실시예가 디자인 규칙에 의해 규정될 수 있다.
각각의 변형예가 다른 것에 비해 더 양호하거나 열악하게 동작하는 상황은 프로세스에 의존하고, 그러므로 디자인은 시뮬레이션 및/또는 실험을 사용하여 최적화되어야 한다. 도 6의 이러한 변형예 (b) 또는 (c) 중 하나는 네거티브 톤 현상 프로세스(현상된 레지스트가 흰색 위 검정 패턴에서 흰색 위 검정에 노광되었던 곳에 남을 것으로 가정됨)에 적합할 수 있는 반면에, 다른 하나는 포지티브 톤 개발 프로세스(현상된 레지스트는 검정 위 흰색 패턴에 대응하여 노광되지 않았던 곳에 남을 것임)에 더 적합하다.
도 5로 돌아가면, 변형예 (c)는, 주기적 어레이에 있는 각각의 제 1 피쳐(422)가 주기성 방향을 가로지르는 방향으로 자신의 최소 치수 w1을 가진다는 점에서 도 5의 (a) 및 (b)의 변형예와 다르다. 각각의 제 1 피쳐의 최소 치수 w1은 여전히 인쇄 단계의 분해능 한계에 가깝지만 그 이상이다. (이것으로부터, 도 5의 (a) 내지 (c)에서의 치수는 스케일이 맞지 않다는 것이 이해될 것이다.) 이러한 예에서 각각의 제 1 피쳐는 주기성 방향으로 최소 치수보다 실질적으로 긴 길이 w3를 가지는 막대를 포함하지만, 이것은 하나의 가능한 구성이다. 횡단 방향으로의 제 1 피쳐들 사이의 공간 w1"도 역시 인쇄 단계의 분해능에 비견될만하다. 이들은 제 1 피쳐 자체의 최소 치수 w1과 동일하거나 다를 수 있고, 각각의 제 1 피쳐와 그 가장 가까운 제 2 피쳐 사이에는 공간 w1'이 있다.
리소그래피 투영 시스템에 따라서, 또한 조명의 선택된 모드에 따라서, 예를 들어 하나의 방향으로의 인쇄 단계의 분해능 한계는 다른 방향으로의 분해능 한계와 다를 수 있다. 이러한 차이가 존재하는 경우, 이러한 차이는 상이한 파라미터의 설정에서 그리고 청구항의 용어들을 해석하는 데에서 고려될 수 있다. 예를 들어 특히 다른 방향에서보다 하나의 방향으로의 분해능을 향상시키기 위하여, 바이폴라 조명 모드가 가끔 선택된다.
제 2 피쳐(424)의 치수 및 제 1 피쳐 및 제 2 피쳐 사이의 간격도 도 5의 (a) 및 (b)의 예와 동일한 원리에 따라 규정되고 설정될 수 있는 디자인 파라미터이다. 편의를 위하여, 파라미터는 절대 값과 상대적인 값의 혼합을 통해 설계될 수 있다. 제 1 피쳐의 최소 치수 w1은, 예를 들어 50 나노미터보다 작을 수 있다. 이것은, 리소그래피 프로세스에 의하여 이러한 기판 또는 다른 기판 상에 형성될 제품 패턴 레터(letter) 내의 최소 피쳐의 임계 치수(CD)와 유사하거나, 그보다 약간 작을 수 있다. 일 예에서, 이러한 피쳐의 선폭은 22 nm일 수 있다. 다른 예들에서, 이러한 피쳐의 선폭은 15 nm 내지 50 nm, 또는 20 nm 내지 45 nm의 범위에 속할 수 있다. 반면에, 각각의 제 2 피쳐(424)의 주기성 방향으로의 최대 치수 w2는 상기 분해능 한계보다 훨씬 더 크다. 예를 들어, 제 2 피쳐의 최대 치수 w2는 제 1 피쳐의 최소 치수 w1보다 두 배 이상일 수 있고, 세 배 또는 네 배일 수도 있다.
도시된 바와 같이, 치수 w1, w1' 및 w1"는 서로 같도록, 또는 약간 다르도록 선택될 수 있다. 예를 들어, 공간 치수 w1' 및 w1"는 각각 제 1 피쳐 치수 w1의 절반 내지 두 배 사이일 수 있다. 디자인 파라미터는 임의의 적합한 포맷으로 표현될 수 있다. 바로 위에 주어진 것과 같은 비율은 피쳐의 상대 치수를 나타내는 데에 편리한 반면에, 절대 치수는 직접적으로, 또는 규정된 분해능 한계에 상대적인, 및/또는 주기(P)에 상대적인, 및/또는 횡단 주기(Pt)에 상대적인 비율로 표현될 수 있다. 물론, 이러한 예에서 치수 w1', w2, w3 및 w4의 총합은 주기(P)와 같아야 한다. 이와 유사하게, 치수 w1 및 w1"의 합은 횡단 주기(Pt)와 같아야 한다.
이러한 예에서 음의(검정 위 흰색) 형태의 초점 계측 패턴은 자신의 양의(흰색 위 검정) 형태와 같은 형태를 가지지 않는다. 그러나, 소망되는 경우에는 예시된 패턴의 음의 형태도 역시 제작될 수 있다.
도 5의 (d)는 다른 변형예를 도시한다. 이것은 특징에 있어서 도 5의 (c)의 예와 유사하지만, 제 1 피쳐는, 예를 들어 T-형, 십자형 및/또는 L형인 2-차원의 특성을 가진다. 제 1 피쳐의 디자인은, 예를 들어 리소그래피 프로세스에 의해 생산될 실제 제품 피쳐에 기반할 수 있다.
위의 초점 계측 타겟 패턴을 가지는 타겟이 초점 측정치를 제공할 수 있는 반면에(프로세스에 대해서 적절하게 설계될 경우), 타겟의 초점 측정치가 초점 이외에 도입될 수 있는 매우 다양한 수차에 기인한 불확실성에 노출될 것이라는 것도 기대된다. 따라서, 다수의 다른 측정들이 두 개 이상의 초점 계측 패턴에 대해서 이루어지는, 이러한 측정 방법의 실시예들이 역시 개시된다. 이들은, 그들의 디자인에 있어서 미러링된 비대칭을 가지는 상보적 쌍으로, 및/또는 미러 대칭 이외의 디자인 차이를 가지는 쌍으로 제공될 수 있다.
도 7은 초점의 개선된 측정치를 얻기 위하여 함께 사용될 수 있는 두 개의 상보적 초점 계측 패턴을 도시한다. 오직 예로서, 도 5의 (b)의 패턴이 도 7의 (a)에서 볼 수 있는 바와 같은 이러한 상보적 쌍에 대한 기반으로서 선택되었다. 도 7의 (b)에서 볼 수 있는 쌍의 다른 패턴은 미러 이미지이다.
도 8은 기판(W) 상에서 두 개 이상의 상보적 패턴이 나란하게 인쇄되어, 복합 초점 계측 타겟(T)을 형성하는 것을 보여준다. 이러한 특정한 예에서, 두 개의 상보적 쌍(TNa/TMa 및 TNb/TMb)으로 배열된 네 개의 초점 계측 패턴이 존재한다. 각각의 상보적 쌍에서, 제 1 패턴(우측에 인쇄됨)은 TN으로 명명되는 반면에(N은 '정상'에 대해 사용됨), 제 2 패턴은 좌측에 인쇄되고 TM으로 명명된다(M은 '미러'에 대한 것임). 이러한 라벨들은 임의적이라는 것이 이해될 것이지만, 그 효과는 인쇄된 초점 계측 패턴은 적어도 피쳐들의 제 1 및 제 2 주기적 어레이를 포함하고, 피쳐의 각각의 주기적 어레이는 개별적인 초점 계측 패턴을 형성한다. 그러면 각각의 주기적 어레이 내에 프로그래밍된 비대칭이 존재하는데, 제 2 주기적 어레이의 비대칭은 제 1 주기적 어레이의 비대칭과 반대여서 상보적 쌍을 형성한다. 그러면, 개선된 초점 측정을 얻는 것은 제 1 및 제 2 주기적 어레이의 각각의 비대칭을 측정하는 것 및 주기적 어레이(TN, TM)에 대해 측정된 비대칭을 결합함으로써 초점 성능의 척도를 결정하는 것을 포함한다.
그들의 디자인에 있어서 반대인 비대칭을 가지는 타겟들을 사용한 측정으로부터의 결과들을 조합함으로써, 초점 측정은, 그렇지 않으면 초점 오차로 오인될 수 있는 투영 시스템 또는 계측 시스템 내에 생기는 비대칭에 대해 덜 민감해질 수 있다. 패턴들의 상보적 쌍을 사용하여 이러한 방식으로 구별될 수 있는 특정 타입의 불완전성은 코마(coma) 및 투영 비대칭이다. 예를 들어, 코마는 이미지가 디포커스될 때 특정 방향으로 비대칭을 도입할 것으로 기대될 수 있다. 대조적으로, 초점 오차에 의해 유도되는 비대칭은 "정상" 패턴과 비교할 때 "미러링된(mirrored)" 패턴 내에서 반대가 될 것이다. 양자 모두로부터의 비대칭 측정치를 조합하면, 실제 초점 오차가 더 정확하게 측정될 수 있다.
또한, 이러한 예에서, 접미사 'a' 및 'b'로 식별되는 타겟들의 두 개의 상보적 쌍이 제공된다. 이러한 쌍들 사이에서, 초점 계측 패턴의 디자인 파라미터는 변경된다. 제 1 차이로서, 쌍(TNa/TMa)의 주기(Pa)는 쌍(TNb/TMb)의 주기(Pb)보다 길고, "핑거"의 길이가 축소되었다. 다른 실시예들에서, 상이한 파라미터들이 변경될 수 있고, 주기는 같거나 다를 수 있다. 상이한 패턴 디자인을 제공하는 것 대신에 또는 이에 추가하여, 더 다양한 신호를 얻기 위해서 상이한 캡쳐 조건도 역시 사용될 수 있다. 예를 들어, 회절 신호를 얻기 위해서 방사선의 상이한 파장 및/또는 편광이 사용될 수 있다.
그러므로, 도 8에 도시된 바와 같이, 복합 초점 계측 타겟(T)은 동일한 단계에서 인쇄되는 하나 이상의 상보적 쌍의 초점 계측 패턴(TN 및 TM)에 의해 형성될 수 있다. 도시된 바와 같이, 이러한 개별적인 패턴은 도 3의 검사 장치의 암시야 이미징 모드에서 방사선 스폿(S)을 사용하여 동시에 이미징될 수 있다. 다르게 말하면, 이러한 초점 계측 패턴들 양자 모두에 있는 비대칭의 측정치들은, 장치에 의해 수집되는 +1 및 -1 차 회절된 방사선을 사용하여 제 1 및 제 2 이미지를 촬영함으로써 얻어질 수 있다. 이러한 이미지 중 하나가 도 9에 도시된다. 어두운 사각형은, 예를 들어 도 3의 장치 내의 센서(23)에 기록되는 암시야 이미지를 나타낸다. 원 S'는 검출기 상에 이미징된 방사선 스폿(S)의 구역을 나타낸다. 밝은 사각형 TNa', TNb', TMa' 및 TMb'는 대응하는 초점 계측 패턴(TNa, TNb, TMa 및 TMb)의 이미지들을 각각 나타낸다. 각각의 타겟으로부터의 하나의 회절 차수의 세기는, 예를 들어 밝은 사각형들 각각 내에 관심 영역(ROI)을 규정하고, 픽셀 값들을 평균화함으로써 측정될 수 있다. 이것을 반대 회절 차수에 대해 반복하면 비대칭이 계산될 수 있다. 도 3에 도시되는 프리즘(21b)을 사용하는 대안적인 측정 방법에서는, 이제 양자 모두의 패턴들의 실질적으로 양자 모두 이미지가 동시에 캡쳐될 수 있다.
도 7 내지 도 9에 설명된 원리가 도 5 및 도 6에 예시된 패턴들 중 임의의 패턴에 적용될 수 있다.
또 다른 실시예에서, 각각의 초점 계측 패턴의 비대칭은, 예를 들어 도 3의 검사 장치의 퓨필 이미징 브랜치, 또는 더 일반적인 각도-분해 산란계를 사용하여 별개로 측정될 수 있다. 하나의 패턴으로부터의 반대 회절 차수들은 퓨필 이미지의 상보적 영역에 위치되지만, 한 번에 오직 하나의 패턴만이 측정될 수 있다.
도 10은 예시적인 실시예에 따라 리소그래피 프로세스의 초점 성능을 측정하기 위한 방법의 단계들의 흐름도이다. 방법은 전술되고 도면에 예시된 예시적인 초점 계측 패턴들 중 임의의 것을 사용하여 수행될 수 있다. 단계들은 다음과 같고, 이후에 더 상세히 후술된다:
1000 - 계측 타겟을 가진 제품 디자인 또는 계측 웨이퍼 디자인을 규정하고, 패터닝 디바이스(레티클)의 적합한 세트를 준비함으로써 시작한다. 생산하기 전에, 공지된 초점-노광 변동으로 노광을 하고, 이것을 측정하여 하나 이상의 교정 곡선을 얻는다. (이것은 디자인, 노광 및 측정 단계의 반복 루프를 수반할 수 있다.)
1010 - 기판 상의 제품 패턴과 나란히 하나 이상의 초점 계측 패턴을 인쇄한다;
1020 - 적합한 검사 장치를 사용하여 각각의 초점 계측 패턴의 회절 스펙트럼의 일부의 세기를 측정한다(예를 들어 +1 차수가 회절 스펙트럼의 적합한 부분이다);
1030 - 검사 장치를 사용하여 각각의 초점 계측 패턴의 회절 스펙트럼의 반대측 부분(예를 들어, -1 차수)의 세기를 측정한다;
1040 - 반대 회절 차수들의 세기를 비교함으로써 하나 이상의 초점 계측 패턴의 비대칭의 측정치를 계산한다;
1050 - 비대칭 측정을 사용하여, 단계 1000에서 저장된 교정 곡선 및/또는 SEM과 같은 다른 측정치를 가지고, 초점 계측 패턴을 인쇄할 때의 초점 오차를 계산한다.
1060 - 후속 기판 상의 노광을 위하여, 유도된 초점 측정치를 초점 설정에서 사용한다.
1070 - 종료하거나 반복한다.
전술된 바와 같이, 단계 1020 및 단계 1030은 단일 단계로서 수행되어, 초점 계측 패턴의 반대 회절 차수들이 단일 획득에서 얻어질 수 있게 할 수 있다. 추가적으로, 측정되는 패턴이 두 개 이상인 경우, 예를 들어 도 8에 도시되는 패턴들의 하나 이상의 상보적 쌍인 경우, 이러한 두 개 이상의 패턴에 대한 반대 회절 차수들이 단일 이미지 획득을 사용하여 측정되어, 대응하는 개수의 비대칭 측정 값을 얻을 수도 있다.
비록 측정 단계가 전용 검사 장치로서 산란계에 의해 이루어지는 것으로 보여지지만, 이것은 독립형 장치일 수도 있고, 또는 리소셀 내에 통합될 수도 있다. 더욱이, 비대칭 측정은 전용 계측 장치가 없이, 예를 들어 리소그래피 장치 내에 제공된 정렬 센서를 가진 적합한 타겟을 사용하여 이루어질 수 있다.
계산 단계(1040 및 1050)는 모두 검사 장치의 프로세서에서 수행될 수 있고, 또는 리소그래피 장치의 모니터링 및 제어와 연관된 상이한 프로세서에서 수행될 수 있다. 각각의 단계는 프로그래밍된 프로세서에 의해 수행될 수 있고, 검사 장치가 하드웨어를 변경하지 않고 초점 측정 방법을 수행하도록 변경될 수 있다는 것이 개시된 기법의 장점이다.
본 발명의 제 2 양태에 따른 예
도 11은 사용될 수 있는 초점 계측 패턴들의 두 개의 추가적인 예를 도시하는데, 물론 본 명세서에 개시된 것과 같은 제 2 양태의 원리에 기반하여 다른 예들도 구상될 수 있다. 이러한 예들에서, 초점 계측 패턴은 적어도 하나의 방향으로 피쳐들(1122, 1124)의 쌍들의 어레이를 포함한다. 주기(P)인 반복 단위를 포함하는 패턴의 작은 섹션만이 도시된다. 도 5의 (a)의 예는 주기 마다 피쳐(1122, 1124)의 오직 하나의 쌍만을 포함한다. 피쳐들의 하나의 쌍의 일부의 확대된 뷰는 삽입 상세도에서 도시되어, 특정 치수들이 명명될 수 있게 된다. 치수들은 주기성 방향으로 측정된다. 각각의 피쳐의 주기성 방향으로의 최소 치수 L1, L2는 완벽하게 포커싱될 경우 인쇄 단계의 분해능 한계에 가깝지만 그 이상이다. 도시된 예에서, 각각의 쌍 내의 피쳐들의 치수 L1, L2 및 각각의 쌍 내의 피쳐들 사이의 간격 d의 치수는 모두 동일한 자릿수이다. 한 쌍 내의 피쳐(1122, 1124)의 치수 L1 및 L2는 일부 실시예들에서 같을 수 있고, 또는 이들은 삽입 상세도에서 도시된 바와 같이 다를 수도 있다. 각각의 쌍 내의 제 1 피쳐들 사이의 간격 d의 치수는, 예를 들어 각각의 쌍 내의 피쳐들(1122, 1124)의 평균 치수의 한 배 내지 1½ 배 사이이거나, 한 배 내지 두 배 사이일 수 있다. 각각의 쌍 내의 제 1 피쳐들 사이의 간격 d의 치수는, 예를 들어 각각의 쌍 내의 피쳐들의 최소 치수의 한 배 내지 1½ 배 사이이거나, 한 배 내지 두 배 사이일 수 있다. 피쳐들의 쌍들 사이의 주기성 방향으로의 간격 D는 각각의 피쳐의 최소 치수 및 한 쌍 내의 피쳐들 사이의 간격 d 양자 모두보다 훨씬 크다.
다시 도 4를 참조하면, 레티클의 반사성 및 비-반사성 부분이, 도 4에 도시되는 패턴 대신에 이러한 패턴을 얻기 위해서 어떻게 설계될 수 있는지가 쉽게 이해될 것이다. 일부 실시예들에서, 피쳐(1122, 1124)인 막대들은, 일반적으로 반사성인 배경 내에서 레티클 상의 좁은 비-반사성 부분에 의해 규정될 것인 반면에, 다른 실시예들에서, 피쳐(1122, 1124)는 일반적으로 비-반사성인 배경 내의 반사성 막대에 의해 규정될 것이다. 어느 경우에서나, 포지티브 톤 레지스트 또는 네거티브 톤 레지스트를 선택하면 이러한 제 1 피쳐가 현상된 초점 계측 패턴 내에 잔여 레지스트로서 표현되는지 여부, 또는 이들이 레지스트가 없는 것으로 표현되는지 여부가 결정될 것이다. 본 발명의 원리는 이러한 모든 변형예에서 동일하다.
도 11의 (b)는, 여러 쌍의 피쳐(1122, 1124)가 초점 계측 패턴의 각각의 주기(P) 내에 제공되는 것을 제외하고는 도 11의 (a)에서와 실질적으로 같은 패턴을 예시한다. 다시 말해서, 이러한 예에서 초점 계측 패턴은 피쳐들의 쌍들의 그룹들의 주기적 어레이를 포함한다. 도면은 반드시 척도에 맞게 도시되는 것은 아니다. 이러한 예에서 각각의 그룹 내의 쌍들의 개수는 세 개이지만, 이것은 주기(P) 내에 맞아 들어가고, 후속하는 제약에 따르는 임의의 개수일 수 있다. 쌍들의 개수가 배수가 되는 효과는 간단하게, 도 11의 (a)에 도시되는 단일 쌍 패턴과 비교할 때 패턴으로부터 획득되는 회절 신호가 증폭된다는 것이다. 그렇지 않으면, 양자 모두의 패턴의 기능은 동일하다. 초점 계측 패턴 내의 피쳐들의 쌍들의 인접한 그룹들 사이의 주기성 방향으로의 간격 D1은 각각의 피쳐(1122, 1124)의 치수 L1, L2보다 훨씬 크고 한 쌍 내의 피쳐들 사이의 간격 d보다 훨씬 크다. 또한, 쌍들의 그룹들 사이의 간격 D2는 한 그룹 내의 쌍들 사이의 간격 D1보다 훨씬 크다. 디자인 규칙의 더 많은 예들이 이제부터 제공될 것이다.
후술되는 바와 같이, 도시된 타입의 패턴들은 다양한 방법으로 초점-의존적 비대칭을 가지고 인쇄될 수 있다. 이러한 방법 중 일부는 반사성(예를 들어 EUV) 투영 시스템에만 적용가능한 반면에, 다른 것들은 더 많은 종래의 투영 시스템에 적용될 수 있다. 예를 들어, 한 쌍 내의 피쳐(1122 및 1124)의 치수 L1 및 L2는 동일할 수 있고, 또는 같지 않을 수도 있다. 동일하지 않은 치수의 일 예가 도 11의 (a)의 삽입 상세도에 도시된다. 동일한 옵션이 도 11의 (b)의 패턴에 적용된다. 한 쌍 내의 치수들이 같지 않은 경우, 그러면, 예를 들어 도 3의 검사 장치로 측정될 수 있는 비대칭이 자동적으로 도입된다. 치수를 적절하게 선택하면, 비대칭이 단조로운 방식으로 초점에 의존하게 되어, 비대칭 측정이 초점 오차를 측정하는 수단이 될 수 있게 된다.
한 쌍 내의 치수들이 같은 경우, 그럼에도 불구하고 인쇄 단계의 속성에 의해서 초점-의존적 비대칭이 도입될 수 있다. 도 4에 도시된 바와 같이 비대칭 조명 및 레티클 내의 3 -D 효과가 있는 EUV 리소그래피 장치의 경우, 비대칭은 이미징 단계의 내재적 속성에 의해서 도입될 수 있다. 추가하여, 그리고 다른 타입의 투영 시스템에서는, 레티클 특성, 조명 특성, 및 레지스트 처리와 같은 투영 시스템 및/또는 프로세스 단계의 의도적인 조작에 의해 비대칭이 도입될 수 있다. 따라서, 일 예에서, 비대칭 레티클 피쳐가 제공될 수 있다. 다른 예에서, 비대칭 조명 모드가 사용될 수 있다. 이러한 내용이 이론적으로 본 명세서에서 논의되는 모든 타겟에 대해서 유효하다.
도 12는, 피쳐들의 각각의 쌍 내의 피쳐들이 공칭적으로 치수에 있어서 같지만(레티클 상에서 같음), 하지만 레티클(402)의 3차원 성질을 비-수직 조명(404)의 쉐도잉 효과와 함께 고려하는 속성에 의해서 비대칭이 도입되고, 리소그래피 장치(100)의 투영 시스템(PS)이 초점 계측 패턴의 제 1 피쳐를 초점에 의존하는 방식으로 인쇄하는, 이러한 타입의 방법을 예시한다. 도 12의 (a)는 투영 시스템에 의해서 레지스트 근처에 형성되는 "공간상(aerial image)" 의 형태를 개략적으로 도시한다. 세로축은, 방사선-감응 레지스트 코팅이 적용된 기판 표면 근처의 Z 방향으로의 초점 오차(FE)를 나타낸다. 가로축은 Y 방향을 나타내는데, 이것도 격자 패턴(T)의 주기성 방향이라고 가정된다. 단일 2바 피쳐의 공간상(1202)이 도시되고, 이러한 패턴이 인쇄된 격자의 구역에 걸쳐서 반복된다는 것이 이해된다. 공간상은 도면에서 옅은 음영에 의해 표시된 더 높은 세기의 영역을 가진다. 세기가 기판(W)의 노광 중에 충분한 시간동안 특정 임계를 초과하는 경우, 레지스트는 인쇄된 패턴의 피쳐를 규정하도록 충분히 반응할 것이다. 포지티브 톤 현상(PTD) 프로세스에서, 이러한 임계가 초과되는 레지스트의 부분들은 현상 중에 제거될 것이다. 네거티브 톤 현상(NTD) 프로세스에서, 이러한 임계가 초과되는 레지스트의 부분들은 현상 후에 남을 것이다.
이미징 실무에서 주지되어 있는 바와 같이, 인쇄된 패턴은 제로 초점 오차에 의해 표현되는 초점 평면 내에 최적으로 규정된다. 초점의 평면 위 또는 아래에서, 공간상의 세기는 더 작다. 그러나, EUV 리소그래피 장치의 반사성 광학기를 사용하는 이미징의 3차원 성질 때문에, 공간상은 최적 초점의 평면 위 또는 아래에서만 약화되는 것이 아니라, 투영 시스템의 상이한 수차에 의해서 다양한 방식으로 왜곡되기도 한다.
도 12의 (a)에 명백하게 예시되는 주의할 첫 번째 포인트는, 피쳐들의 쌍이 그들의 공간상에서 오히려 동일하지 않은 형상을 가짐으로써, 주어진 초점 오차에 대해 각각 다르게 반응한다는 것이다. 좌측 피쳐의 세기는, 초점 오차가 극한 값이 됨에 따라 감소하기 전에, 처음에는 음의 초점 오차(FE-)를 가지고 증가한다. 반대로, 우측 피쳐에 대한 공간상의 세기는 처음에 양의 초점 오차(FE+)를 가지고 증가한다. 이것이 레지스트 재료 내에 인쇄되는 피쳐의 크기에 미치는 영향은 세 개의 대표적인 초점 레벨(0, FE-, FE+)에서의 솔리드 블랙 막대에 의해 표현된다. 작은 음의 초점 오차(FE-)의 경우, 좌측 피쳐의 폭은 실제로 우측 피쳐에 비해 크다. 제로 초점 오차에서 폭들은 동일하다(이들이 레티클 상에서 동일하며 모든 다른 것들은 동일하다고 가정함). 작은 양의 초점 오차(FE+)의 경우, 우측 피쳐의 폭은 좌측 피쳐의 폭보다 크다.
도 13은 도 12를 참조하여 현재 설명되는 방법에서 사용되는 초점 계측 패턴의 일 예를 도시한다. 도 13의 (a)는 도 11의 (b)와 유사한 패턴을 도시하는데, 피쳐(1122 및 1124)의 쌍들 중 두 개의 확대된 단면 상세도도 도시된다. 치수 L1, L2, d, D1, D2 모두는 도 11의 (b)에서와 같은 의미로 표시된다. 이러한 예에서, (제로 초점 오차에서) 피쳐(1122 및 1124)가 동등한 폭으로 인쇄된다고 가정되고, 따라서 L1 = L2이다. 도 13의 (b)에서 볼 수 있는 바와 같이, 피쳐들의 쌍들의 그룹을 포함하는 초점 계측 패턴은 기판(W) 상에 초점 계측 타겟(T)으로서 형성된다. 이러한 타겟의 회절 스펙트럼의 비대칭은, 예를 들어 도 3의 검사 장치에서의 방사선의 스폿(S)을 사용하여 측정될 수 있다.
도 12의 (b)는 도 12의 (a)에 예시된 공간상의 형상이, 리소그래피 장치(100)에 의해 인쇄될 때 어떻게 초점 계측 패턴의 비대칭에 유용한, 단조 응답 곡선(1230)이 생기게 하는지를 예시한다. 가로축에는 초점 오차(FE)가 표시된다. 세로축에는 초점 계측 패턴의 좌측 피쳐 및 우측 피쳐 사이의 임계 치수(CD)에서의 차이 ΔCDLR이 표시된다. 다시 말하건대, 이러한 예에서 초점 계측 패턴은 동일한 설계 치수(L1 = L2)를 가지는 피쳐(1122 및 1124)의 쌍을 포함한다고 가정된다. 인쇄된 패턴에서 실현된 실제 치수들이 달라지게 되고, 따라서 도 3의 검사 장치 또는 다른 수단을 사용하여 측정될 수 있는 비대칭이 생긴다. 도 12의 (a)에서 방금 예시한 이유 때문에, 초점 오차(FE)가 음인 영역은 좌측 피쳐(예를 들어 1122)가 우측 피쳐(1124)보다 더 넓은 비대칭에 의해 특징지어진다. 반대로, 초점 오차(FE)가 양인 영역은, 좌측 피쳐(예를 들어 1122)가 우측 피쳐보다 좁은 비대칭에 의해 특징지어진다. 곡선(1230)이 단조변화하기 때문에, 이러한 타겟의 비대칭의 측정치도 단조변화할 것을 알 수 있고, 따라서, 초점 오차의 크기뿐만 아니라 그 부호도 표시하는, 초점 오차의 사용가능한 척도가 제공될 수 있다.
비교를 위하여, 도 12의 (c)는, 예를 들기 위해서 피쳐(1122 및 1124)의 중심들 사이에서 측정된, 간격 dY의 곡선(1240)을 보여준다. 공간상(1202)의 형태는, 이러한 간격이 초점 오차의 변동을 역시 나타내게 하는 것이다. 도 12의 (a)에서는 알기가 어렵지만, 피쳐(1122 및 1124)를 나타내는 막대가 양 및 음의 초점 오차 양자 모두에서 다소 멀어지게 이동한다. 그러므로, 각각의 쌍 내의 피쳐들의 상대 위치에서의 이러한 천이는 초점 오차가 직접적으로 유도될 수 있는 단조로운 신호를 제공하지 않는다.
물론, 도 12의 (a)에서 제공된 예는 근사적인 것일 뿐이고, 실제 공간상에서는 다른 효과들이 여전히 발생할 수 있다. 관찰되는 다른 효과는, EUV 리소그래피 장치(100)의 투영 시스템(PS)에서 사용되는 비스듬한 조명 때문에, 각각의 막대에 대한 공간상이 틸트를 가진다는 것이다. 틸트는 대략적으로 라인(1252 및 1254)에 의해 표시된다. 따라서, 인쇄된 막대의 유효 위치가 초점 오차(FE)와 함께 변하는 양만큼 변위된다. 좁은 막대형 피쳐의 변위가 측정될 수 있도록 초점 계측 패턴이 설계된다면, 초점의 측정치는 이러한 변위를 측정함으로써 획득될 수 있다. 변위를 측정할 수 있는 한 가지 방법은, 도 5의 예시적인 패턴에서의 제 2 피쳐(424)와 같이 더 넓은 피쳐를 제공하는 것이다. 이러한 더 넓은 피쳐는 동일한 천이를 나타내지 않는다. 이것이 도 5의 (a) 및 (b)의 예와 관련하여 추가적인 효과가 앞에서 언급된 이유이다.
이제 도 14를 참조하면, 일부 실시예들에서, 두 개 이상의 유사한 초점 계측 패턴이 동일한 단계에서 인쇄된다. 이러한 패턴은 "바이어스"되는데, 이것은 이들이 제로 초점 오차로 인쇄될 경우에도 내장되는 알려진 비대칭 값을 가지고 설계된다는 것을 의미한다. 도 14의 (a) 및 (b)는 초점 계측 패턴(TN('정상') 및 TM('미러'))의 상보적 쌍을 보여준다. 이러한 패턴은, 각각의 쌍 내의 피쳐(1122 및 1124)가 치수에 있어서 같지 않게 함으로써 도입된 비대칭을 가진다. 다르게 말하면, 치수 L1 및 L2는 같지 않다. '정상' 패턴(TN)에서, 피쳐(1122)는 각각의 쌍 내의 피쳐(1124)보다 넓다(L1 > L2). 이러한 부등식은 도 14의 (a)의 단면 세부도에서 관찰될 수 있다. 반대로, '미러' 패턴(TN)에서는, 피쳐(1124)가 각각의 쌍 내에서 피쳐(1122)보다 넓다(L1 < L2). 이러한 부등식은 도 14의 (b)의 단면 세부도에서 관찰될 수 있다. 이러한 패턴(TN 및 TM) 모두는 도 14의 (c)에 도시된 바와 같이 나란히 인쇄될 때 복합 초점 계측 타겟(T)을 형성한다. 만일 이들이 충분히 작다면, 이들은 도 3의 검사 장치의 암시야 이미징 모드에서 방사선 스폿(S)을 사용하여 동시에 이미징될 수 있다. 다르게 말하면, 이러한 초점 계측 패턴들 양자 모두에 있는 비대칭의 측정치들은, 장치에 의해 수집되는 +1 및 -1 차 회절된 방사선을 사용하여 제 1 및 제 2 이미지를 촬영함으로써 얻어질 수 있다.
이러한 이미지는 도 15에 도시된다. 어두운 사각형은, 예를 들어 도 3의 장치 내의 센서(23)에 기록되는 암시야 이미지를 나타낸다. 원 S'는 검출기 상에 이미징된 방사선 스폿(S)의 구역을 나타낸다. 더 밝은 사각형(TN' 및 TM')은 초점 계측 패턴(TN 및 TM)의 상보적 쌍의 이미지를 나타낸다. 각각의 타겟으로부터의 하나의 회절 차수의 세기는, 예를 들어 밝은 사각형들 각각 내에 관심 영역(ROI)을 규정하고, 픽셀 값들을 평균화함으로써 측정될 수 있다. 이것을 반대 회절 차수에 대해 반복하면 비대칭이 계산될 수 있다. 도 3에 도시되는 프리즘(21b)을 사용하는 대안적인 측정 방법에서는, 이제 양자 모두의 패턴들의 실질적으로 양자 모두 이미지가 동시에 캡쳐될 수 있다.
또 다른 실시예에서, 각각의 초점 계측 패턴(TN, TM)의 비대칭은, 예를 들어 도 3의 검사 장치의 퓨필 이미징 브랜치, 또는 더 일반적인 각도-분해 산란계를 사용하여 별개로 측정될 수 있다. 하나의 패턴으로부터의 반대 회절 차수들은 퓨필 이미지의 상보적 영역에 위치되지만, 한 번에 오직 하나의 패턴만이 측정될 수 있다.
앞에서 언급된 도 8 및 도 9의 예에서, 복합 초점 계측 타겟은 패턴들의 두 개 이상의 상보적 쌍을 포함할 수 있다. 따라서, 패턴의 쌍(TNa/TMa 및 TNb/TMb)에는 상이한 디자인 파라미터, 예를 들어 상이한 주기 및/또는 상이한 간격 및/또는 상이한 선폭이 제공될 수 있다.
도 14에 도시되는 패턴 각각이, 패터닝 디바이스(레티클)(MA)의 적합한 디자인에 의해서 비대칭을 가지고 "사전-프로그래밍"된다는 것에 주의한다. 따라서, 도 13의 예와 비교하면, 비대칭이 투영 시스템 또는 인쇄 공정의 다른 단계에 의해 도입되는지 여부와 무관하게 비대칭이 설계에 의해 존재한다. 결과적으로, 도 14의 초점 계측 패턴은, 반사성(EUV) 리소그래피 장치에서 뿐만이 아니라 투과성(예를 들어 DUV) 리소그래피 장치에서도 초점 성능을 측정하기 위하여 사용될 수 있다.
어떠한 타입의 장치가 사용되던지, 초점 계측 패턴을 알려진 비대칭 "바이어스"와 나란히 제공하면, 초점 오차의 측정치가 단일 패턴을 사용하는 경우 가능할 것보다 높은 정확도로 획득될 수 있다. 코마와 같은 다른 효과들이 구별될 수 있다. 두 개 이상의 바이어스된 타겟을 사용하면 비대칭의 차동 측정치가 얻어질 수 있다. 이러한 차동 측정치를 프로그래밍된 비대칭 및 종래의 교정의 정보와 조합하면, 다른 프로세스-의존 변수들을 제거하면서 초점 오차의 측정치가 유도될 수 있다.
이러한 방법은, 노광(인쇄) 중에 타겟의 비대칭(또는 다른 속성) 및 초점 오차 사이의 공지된 관계가 존재한다는 사실에 의존한다. 이러한 관계는 단조 변화 함수이어야 한다(즉 비대칭의 부호는 양 및 음의 초점에 대해서 달라야 한다). 이러한 관계가 알려지는 경우(예를 들어 계산 수단, 및 통상적으로 교정에 의해서), 실제 초점 위치는 두 개 이상의 타겟 상의 비대칭 측정치로부터 추출될 수 있다.
신뢰가능한 초점 측정치를 이러한 방식으로 얻기 위해서, 디자인 파라미터는 타겟(들)(T, TN, TM)의 회절 속성의 수학적 시뮬레이션 및/또는 상이한 디자인을 가진 실험에 기반하여 최적화될 수 있다. 예를 들어, 코마의 효과를 제거하기 위해서, 비대칭이 동일하고 반대인 미러링된 타겟들이 사용될 것이라고 기대된다. 그러나, 특정 상황의 경우에는 같지 않은 바이어스 값이 구상될 수 있다.
다시 말하건대, 200 nm 내지 2000 nm의 파장의 방사선, 예를 들어 350 nm 내지 800 nm의 범위 내의 방사선이 검사 장치 내에서 사용된다고 가정한다. 주기(P)는, 예를 들어 450 nm 또는 600 nm일 수 있다. (검사 파장이 350 nm 미만이면, 예를 들어 150 nm 내지 300 nm의 범위에 있으면, 더 짧은 주기(P)가 바람직할 수 있다.) 초점 계측 패턴 내의 개별적인 피쳐의 최소 치수 L1 및 L2는, 예를 들어 주기성 방향으로 50 나노미터 미만일 수 있다. 이것은, 제품 패턴 내의 최소 피쳐의 임계 치수(CD)와 유사하거나, 그보다 약간 작을 수 있다. 예를 들어, 이러한 피쳐의 선폭은 10 nm와 30 nm 사이일 수 있다.
도 13과 유사한 피쳐들의 동일한 쌍을 가지는 것으로 간주될 수 있는 디자인의 예들은 치수 L1/d/L2 = 15/20/15 nm인 패턴을 가진다. 도 14와 유사한 피쳐들의 동일하지 않은 쌍을 가지는 것을 간주될 수 있는 디자인의 예들은 '정상' 패턴(TN)에서 치수 L1/d/L2 = 12/20/18 nm 및 미러 패턴(TM)에서 L1/d/L2 =18/20/12 nm를 가진다. 간격 D1 및 D2는 피쳐(1122, 1124)의 이웃하는 쌍이 실질적으로 고립되도록(앞서 규정된 바와 같음) 충분히 크게 선택될 수 있다. 파라미터 L1, L2, d, D, D1, D2, P는 디자인 프로세스의 일부로서 절대항 및/또는 상대항에서 규정될 수 있다. 예를 들어, 평균 피쳐 치수 L이 가장 빨리 규정될 수 있고, 그 뒤에 각각의 쌍 내의 피쳐들의 등식 또는 부등식이, L1 = L + ΔL 및 L2 = L - ΔL이 되도록 비대칭 파라미터 ΔL에 의해 규정될 수 있다. 비대칭 파라미터 ΔL은 절대항으로 또는 상대항으로, 예를 들어 L의 퍼센티지로서 규정될 수 있다. 예를 들어, 값 ΔL = L/10은 약 20%의 디자인 비대칭을 나타낼 것이다. 한 쌍 내의 간격 d도 L, 또는 L1 또는 L2에 관하여 규정될 수 있다. 간격 d는, 예를 들어 피쳐들의 평균 치수 L의 절반 내지 세 배 사이일 수 있다.
주기(P) 마다의 쌍들의 개수 N은 다른 파라미터이고, 이것은 주기 마다 다수의 쌍을 제공함으로써 회절 신호가 어떻게 증폭되는지를 결정한다. 주기(P) 마다의 쌍들의 개수를 최대화하기 위하여, 값 D1은 이웃하는 쌍들을 실질적으로 고립된 상태로 유지하면서 가능한 작게 설정되어야 한다. 비율 D1/(L+d+L)은, 예를 들어 한 배가 넘지만 세 배 미만이어서, 예를 들어 1½배와 2½배 사이일 수 있다. 그룹들 사이의 간격 D2는, 예를 들어 한 그룹 내의 쌍들 사이의 간격 D1의 두 배가 넘을 수 있다.
이러한 패턴을 설계하는 데에 유용한 파라미터는 "커버리지"라고 불릴 수 있는데, 이것은 요구되는 회절 신호를 초래하는 피쳐에 의해 점유된 각각의 주기(P)의 비율을 의미한다. 간단한 라인-공간 격자의 경우, 커버리지는 간단하게 선폭(CD)을 주기(P)로 나눈 것일 것이다. 회절 신호는 이러한 커버리지 비율이 약 절반, 예를 들어 ¼ 내지 ¾의 범위에 있을 때에 가장 강한 경향이 있다. 도 12 내지 도 14에 도시된 라인들의 쌍들 및 쌍들의 그룹의 경우, 커버리지는 라인 자체만을 고려하는 것이 아니라 라인들의 쌍 및 쌍들의 그룹 전체를 고려하는 "실효 CD"(CDeff)에 의해 규정될 수 있다. 도 13 및 도 14의 예에서 실효 CD는, 예를 들어 해당하는 큰 공간 D2 이외의 각각의 주기 내의 모든 것에 의해 규정될 수 있다. 그러면 도면의 표기법을 사용하는 수학식은 다음이 된다:
CDeff = N(L1 + d + L2) +(N-1)D1
그리고 실효 CD가 주기의 약 절반이 되도록 디자인 규칙이 규정될 수 있다. CDeff의 P에 대한 비율의 관점에서, 이러한 디자인 규칙은 다음과 같이 표현될 수 있다:
¼ < CDeff / P < ¾.
도 16은 예시적인 실시예에 따라 리소그래피 프로세스의 초점 성능을 측정하기 위한 방법의 단계들을 보여준다. 방법은 전술되고 도면에 예시된 예시적인 초점 계측 패턴들 중 임의의 것을 사용하여 수행될 수 있다. 단계들은 다음과 같고, 이후에 더 상세히 후술된다:
1600 - 계측 타겟을 가진 제품 디자인을 규정하고, 패터닝 디바이스(레티클)의 적합한 세트를 준비함으로써 시작한다. 생산하기 전에, 공지된 초점-노광 변동으로 노광을 하고, 이것을 측정하여 하나 이상의 교정 곡선을 얻는다. (이것은 디자인, 노광 및 측정 단계의 반복 루프를 수반할 수 있다.)
1610 - 기판 상의 제품 패턴과 나란히 하나 이상의 초점 계측 패턴을 인쇄한다;
1620 - 적합한 검사 장치를 사용하여 각각의 초점 계측 패턴의 회절 스펙트럼의 일부의 세기를 측정한다(예를 들어 +1 차수가 회절 스펙트럼의 적합한 부분이다);
1630 - 검사 장치를 사용하여 각각의 초점 계측 패턴의 회절 스펙트럼의 반대측 부분(예를 들어, -1 차수)의 세기를 측정한다;
1640 - 반대 회절 차수들의 세기를 비교함으로써 하나 이상의 초점 계측 패턴의 비대칭의 측정치를 계산한다;
1650 - 선택적으로 초점 계측 패턴 및/또는 실제 오버레이 성능과 같은 다른 측정 사이의 프로그래밍된 비대칭의 정보를 가지고, 비대칭 측정치를 사용하여, 초점 계측 패턴을 인쇄할 때의 초점 오차를 계산한다.
1660 - 후속 기판 상의 노광을 위하여, 유도된 초점 측정치를 초점 설정에서 사용한다.
1670 - 종료하거나 반복한다.
전술된 바와 같이, 단계 1620 및 단계 1630은 단일 단계로서 수행되어, 초점 계측 패턴의 반대 회절 차수들이 단일 획득에서 얻어질 수 있게 할 수 있다. 또한, 세 개 이상의 타겟이 측정되는 경우, 모든 타겟들이 단일 획득에서 측정되어, 대응하는 개수의 측정 값을 얻을 수도 있다.
비록 측정 단계가 전용 검사 장치로서 산란계에 의해 이루어지는 것으로 보여지지만, 이것은 독립형 장치일 수도 있고, 또는 리소셀 내에 통합될 수도 있다. 더욱이, 비대칭 측정은 전용 계측 장치가 없이, 예를 들어 리소그래피 장치 내에 제공된 정렬 센서를 가진 적합한 타겟을 사용하여 이루어질 수 있다.
다른 실시예에서, 동일한 방법 및 타겟 디자인에 기반하여, 라인들의 쌍의 비대칭 측정은 광학적 산란측정에 의해서 이루어지지 않고(또는 광학적 산란측정만을 사용하여 이루어지지 않고), 전자 현미경 검사에 의해 이루어진다. L1 및 L2 및 (그러므로) ΔCDLR이 직접적으로 측정될 수 있다. 비견될만한 측정치를 얻기 위하여, 비대칭(L1 - L2)은 평균 또는 L1 + L2의 합으로 나눔으로써 정규화될 수 있다. 비대칭을 측정하는 방법과 별개로, 방법의 단계들은 도 16에서와 같을 수 있다. CD-SEM(스캐닝 전자 현미경 검사)은 반도체 검사에서 일반적으로 사용된다. 예를 들어 Hermes Microvision (HMI), Taiwan ROC로부터 다른 전자 빔 검사 툴이 입수가능하다.
계산 단계(1640 및 1650)는 모두 검사 장치의 프로세서에서 수행될 수 있고, 또는 리소그래피 장치의 모니터링 및 제어와 연관된 상이한 프로세서에서 수행될 수 있다. 각각의 단계는 프로그래밍된 프로세서에 의해 수행될 수 있고, 검사 장치가 하드웨어를 변경하지 않고 초점 측정 방법을 수행하도록 변경될 수 있다는 것이 개시된 기법의 장점이다.
추가적인 실시예들이 다음 번호를 가진 절들에 개시된다:
1. 리소그래피 장치의 초점 성능을 측정하는 방법으로서,
(a) 리소그래피 장치를 사용하여 기판 상에 적어도 하나의 초점 계측 패턴을 인쇄하는 단계 - 인쇄된 초점 계측 패턴은 적어도 피쳐들의 제 1 주기적 어레이를 포함함 -,
(b) 검사 방사선을 사용하여, 인쇄된 초점 계측 패턴 내의 제 1 주기적 어레이에 대한 회절 스펙트럼의 반대측 부분들 사이의 비대칭을 측정하는 단계; 및
(c) 단계 (b)에서 측정된 비대칭에 적어도 부분적으로 기반하여, 초점 성능의 측정치를 유도하는 단계를 포함하고,
상기 제 1 주기적 어레이는 제 2 피쳐들 사이에 인터리빙된 제 1 피쳐들의 반복되는 배열을 포함하고, 각각의 제 1 피쳐의 최소 치수는 인쇄 단계 (a)에서의 분해능 한계에 가깝지만 그 이상이며, 주기성 방향으로의 각각의 제 2 피쳐의 최대 치수는 상기 제 1 피쳐의 최소 치수의 적어도 두 배이고,
각각의 제 1 피쳐는, 상기 제 1 피쳐와 그 가장 가까운 제 2 피쳐 사이의 상기 주기성 방향으로의 간격이 상기 제 1 피쳐의 최소 치수의 절반 내지 두 배 사이가 되도록 두 개의 인접한 제 2 피쳐들 사이에 위치되는, 초점 성능 측정 방법.
2. 제 1 절에 있어서,
상기 주기적 어레이 내의 제 1 피쳐는 주기성 방향으로 상기 최소 치수를 가지는, 초점 성능 측정 방법.
3. 제 1 절에 있어서,
상기 주기적 어레이 내의 제 1 피쳐는 주기성 방향을 가로지르는 방향으로 상기 최소 치수를 가지는, 초점 성능 측정 방법.
4. 제 1 절 내지 제 3 절 중 어느 한 절에 있어서,
상기 주기적 어레이 내의 각각의 제 2 피쳐는, 상기 주기성 방향을 가로지르는 방향으로 인쇄 단계의 분해능 한계에 가깝지만 그 이상인 최소 치수를 가지는 서브-피쳐를 더 포함하는, 초점 성능 측정 방법.
5. 제 1 절 내지 제 4 절 중 어느 한 절에 있어서,
상기 인쇄된 초점 계측 패턴은 적어도 피쳐들의 제 1 및 제 2 주기적 어레이를 가지고,
각각의 피쳐들의 주기적 어레이는 제 1 절 내지 제 4 절 중 어느 한 절에 규정된 것과 같은 형태를 가지며,
각각의 주기적 어레이 내에는 프로그래밍된 비대칭이 존재하고,
상기 제 2 주기적 어레이의 비대칭은 상기 제 1 주기적 어레이의 비대칭과 반대이며,
단계 (b)는 상기 제 1 및 제 2 주기적 어레이 각각의 비대칭을 측정하는 것을 포함하고,
단계 (c)는 주기적 어레이들에 대해 측정된 비대칭을 조합함으로써 상기 초점 성능의 측정치를 결정하는, 초점 성능 측정 방법.
6. 제 5 절에 있어서,
상기 서브-피쳐는, 각각의 제 2 피쳐가 상기 주기성 방향에 대해 비대칭이 되도록 배열되고,
상기 피쳐들의 제 2 주기적 어레이 내의 각각의 제 2 피쳐의 비대칭은, 상기 피쳐들의 제 1 주기적 어레이 내의 비대칭과 반대인, 초점 성능 측정 방법.
7. 제 1 절 내지 제 6 절 중 어느 한 절에 있어서,
두 개의 인접한 제 2 피쳐들 사이의 각각의 제 1 피쳐의 간격은 주기성 방향으로 동일하지 않고,
상기 피쳐들의 제 2 주기적 어레이 내의 상기 인접한 제 2 피쳐들 사이의 제 1 피쳐의 동일하지 않은 간격은, 상기 피쳐들의 제 1 주기적 어레이 내의 간격과 반대인, 초점 성능 측정 방법.
8. 제 7 절에 있어서,
상기 주기성 방향으로의 각각의 제 1 피쳐와 상기 인접한 제 2 피쳐들 중 하나 사이의 거리는, 상기 제 1 피쳐와 상기 인접한 제 2 피쳐들 중 나머지 하나 사이의 거리의 한 배 내지 두 배 사이인, 초점 성능 측정 방법.
9. 제 1 절 내지 제 8 절 중 어느 한 절에 있어서,
단계 (b)에서의 측정은, 상기 제 1 피쳐의 최소 치수보다 훨씬 긴 파장을 가지는 방사선을 사용하여 수행되는, 초점 성능 측정 방법.
10. 제 9 절에 있어서,
단계 (b)에서의 측정은, 150 nm보다 긴 파장을 가지는 방사선을 사용하여 수행되는 반면에, 상기 제 1 피쳐의 최소 치수는 40 nm 미만인, 초점 성능 측정 방법.
11. 제 1 절 내지 제 10 절 중 어느 한 절에 있어서,
단계 (b)에서의 측정은 150 nm보다 긴 파장을 가지는 방사선을 사용하여 수행되는 반면에, 상기 초점 계측 패턴을 인쇄하기 위하여 상기 리소그래피 장치에 의해 사용되는 방사선의 파장은 20 nm 미만인, 초점 성능 측정 방법.
12. 제 1 절 내지 제 11 절 중 어느 한 절에 있어서,
상기 초점 계측 패턴 내의 피쳐들의 주기적 어레이들 각각의 주기는 350 nm보다 긴, 초점 성능 측정 방법.
13. 리소그래피 장치의 초점 성능을 측정하는 방법으로서,
(a) 리소그래피 장치를 사용하여 기판 상에 적어도 하나의 초점 계측 패턴을 인쇄하는 단계 - 인쇄된 초점 계측 패턴은 적어도 하나의 방향으로 주기적인 피쳐들의 어레이를 포함함 -;
(b) 인쇄된 초점 계측 패턴의 속성을 측정하는 단계; 및
(c) 상기 속성의 측정치로부터 초점 성능의 측정치를 유도하는 단계를 포함하고,
상기 초점 계측 패턴은 적어도 피쳐들의 제 1 주기적 어레이를 포함하고, 각각의 피쳐의 치수는 인쇄 단계 (a)의 분해능 한계에 가깝지만 그 이상이며,
피쳐들은 쌍으로 배열되고, 주기성 방향으로의 상기 초점 계측 패턴 내의 피쳐들의 인접한 쌍들 사이의 간격은 각각의 제 1 피쳐의 치수 및 한 쌍 내의 제 1 피쳐들 사이의 간격 양자 모두보다 훨씬 큰, 초점 성능 측정 방법.
14. 제 13 절에 있어서,
상기 초점 계측 패턴은 피쳐들의 쌍들의 그룹들의 주기적 어레이를 포함하고,
상기 주기성 방향으로의 상기 초점 계측 패턴 내의 인접한 피쳐들의 쌍들의 그룹들 사이의 간격은, 각각의 피쳐의 치수 및 하나의 쌍 내의 피쳐들 사이의 간격 양자 모두보다 훨씬 큰, 초점 성능 측정 방법.
15. 제 13 절 또는 제 15 절에 있어서,
각각의 쌍 내의 피쳐들의 치수 및 각각의 쌍 내의 피쳐들 사이의 간격의 치수는, 주기성 방향으로 동일한 자릿수인, 초점 성능 측정 방법.
16. 제 15 절에 있어서,
각각의 쌍 내의 피쳐들 사이의 간격의 치수는, 주기성 방향으로 각각의 쌍 내의 피쳐들의 평균 치수의 절반 내지 두 배 사이인, 초점 성능 측정 방법.
17. 제 16 절에 있어서,
각각의 쌍 내의 피쳐들 사이의 간격의 치수는, 주기성 방향으로 각각의 쌍 내의 피쳐들의 평균 치수의 2/3 배 내지 1½ 배 사이인, 초점 성능 측정 방법.
18. 제 15 절 내지 제 17 절 중 어느 한 절에 있어서,
각각의 쌍 내의 제 1 피쳐들 사이의 간격의 치수는, 주기성 방향으로 각각의 쌍 내의 피쳐의 최소 치수의 한 배 내지 두 배 사이인, 초점 성능 측정 방법.
19. 제 13 절 내지 제 18 절 중 어느 한 절에 있어서,
상기 초점 계측 패턴은 패터닝 디바이스에 의해 규정되고,
단계 (a)에서의 인쇄는, 상기 패터닝 디바이스의 이미지를 상기 패터닝 디바이스에 비스듬한 각도로 입사하는 패터닝 방사선으로 투영하는, 초점 성능 측정 방법.
20. 제 13 절 내지 제 19 절 중 어느 한 절에 있어서,
상기 초점 계측 패턴은 적어도 피쳐들의 쌍의 제 2 주기적 어레이를 포함하고,
상기 제 2 주기적 어레이는, 각각의 어레이 내의 각각의 쌍 내의 피쳐들 사이의 치수에 프로그래밍된 차이가 있다는 것을 제외하고는 제 1 주기적 어레이와 동일한 형태를 가지며,
상기 프로그래밍된 차이는 상기 제 1 주기적 어레이와 상기 제 2 주기적 어레이 사이에서 반대인, 초점 성능 측정 방법.
21. 제 20 절에 있어서,
단계 (b)는 인쇄된 초점 계측 패턴의 상기 속성을 피쳐들의 상기 제 1 및 제 2 주기적 어레이 쌍에 대해서 별개로 측정하는 것을 포함하고,
단계 (c)에서 상기 초점 성능의 측정치는 적어도 상기 제 1 및 제 2 주기적 어레이에 대한 상기 속성의 측정치를 조합함으로써 얻어지는, 초점 성능 측정 방법.
22. 제 13 절 내지 제 21 절 중 어느 한 절에 있어서,
단계 (b)에서 측정된 상기 속성은 비대칭인, 초점 성능 측정 방법.
23. 제 22 절에 있어서,
상기 비대칭은 인쇄된 초점 계측 패턴 내의 각각의 주기적 어레이의 회절 스펙트럼에 있는 비대칭을 측정함으로써 측정되는, 초점 성능 측정 방법.
24. 제 13 절 내지 제 23 절 중 어느 한 절에 있어서,
단계 (b)에서의 측정은 상기 초점 계측 패턴 내의 피쳐들의 쌍 내의 피쳐의 주기성 방향으로의 치수보다 훨씬 긴 파장을 가지는 방사선을 사용하여 수행되는, 초점 성능 측정 방법.
25. 제 24 절에 있어서,
단계 (b)에서의 측정은 150 nm보다 긴 파장을 가지는 방사선을 사용하여 수행되는 반면에, 상기 초점 계측 패턴 내의 피쳐들의 쌍 내의 피쳐의 치수는 40 nm 미만인, 초점 성능 측정 방법.
26. 제 13 절 내지 제 25 절 중 어느 한 절에 있어서,
단계 (b)에서의 측정은 150 nm보다 긴 파장을 가지는 방사선을 사용하여 수행되는 반면에, 상기 초점 계측 패턴을 인쇄하기 위하여 상기 리소그래피 장치에 의해 사용되는 방사선의 파장은 20 nm 미만인, 초점 성능 측정 방법.
27. 제 13 절 내지 제 21 절 중 어느 한 절에 있어서,
단계 (b)에서의 측정은 전자 현미경 검사를 사용하여 수행되는, 초점 성능 측정 방법.
28. 제 13 절 내지 제 27 절 중 어느 한 절에 있어서,
상기 초점 계측 패턴 내의 상기 피쳐의 쌍들의 각각의 주기적 어레이들의 주기는 350 nm보다 긴, 초점 성능 측정 방법.
29. 리소그래피 장치에서 사용하기 위한 패터닝 디바이스로서,
상기 패터닝 디바이스는, 하나 이상의 디바이스 패턴 및 하나 이상의 계측 패턴의 피쳐를 규정하기 위한 반사성 및 비-반사성 부분을 포함하고,
상기 계측 패턴은 적어도 하나의 초점 계측 패턴을 포함하며,
상기 초점 계측 패턴은 적어도 피쳐들의 제 1 주기적 어레이를 포함하고,
상기 제 1 주기적 어레이는 제 2 피쳐들 사이에 인터리빙된 제 1 피쳐들의 반복되는 배열을 포함하고, 각각의 제 1 피쳐의 최소 치수는 상기 리소그래피 장치의 분해능 한계에 가깝지만 그 이상이며, 주기성 방향으로의 각각의 제 2 피쳐의 최대 치수는 상기 제 1 피쳐의 최소 치수의 적어도 두 배이고,
각각의 제 1 피쳐는, 상기 제 1 피쳐와 그 가장 가까운 제 2 피쳐 사이의 상기 주기성 방향으로의 간격이 상기 제 1 피쳐의 최소 치수의 절반 내지 두 배 사이가 되도록 두 개의 인접한 제 2 피쳐들 사이에 위치되는, 패터닝 디바이스.
30. 제 28 절에 있어서,
상기 초점 계측 패턴은 적어도 피쳐들의 제 1 및 제 2 주기적 어레이를 포함하고,
피쳐들의 각각의 주기적 어레이는 제 29 절에 규정된 바와 같은 형태를 가지며,
각각의 주기적 어레이 내에는 프로그래밍된 비대칭이 존재하고,
상기 제 2 주기적 어레이의 비대칭은 상기 제 1 주기적 어레이의 비대칭과 반대인, 초점 성능 측정 방법.
31. 리소그래피 장치에서 사용하기 위한 패터닝 디바이스로서,
하나 이상의 디바이스 패턴 및 하나 이상의 계측 패턴의 피쳐를 규정하기 위한 반사성 및 비-반사성 부분을 포함하되, 상기 계측 패턴은 적어도 하나의 초점 계측 패턴을 포함하며,
상기 초점 계측 패턴은 적어도 피쳐들의 제 1 주기적 어레이를 포함하고, 각각의 피쳐의 치수는 상기 리소그래피 장치의 분해능 한계에 가깝지만 그 이상이며,
피쳐들은 쌍으로 배열되고, 주기성 방향으로의 상기 초점 계측 패턴 내의 피쳐들의 인접한 쌍들 사이의 간격은 각각의 제 1 피쳐의 치수 및 한 쌍 내의 제 1 피쳐들 사이의 간격 양자 모두보다 훨씬 큰, 패터닝 디바이스.
32. 제 31 절에 있어서,
상기 초점 계측 패턴은 적어도 피쳐들의 쌍의 제 2 주기적 어레이를 포함하고,
상기 제 2 주기적 어레이는, 각각의 어레이 내의 각각의 쌍 내의 피쳐들 사이의 치수에 프로그래밍된 차이가 있다는 것을 제외하고는 제 1 주기적 어레이와 동일한 형태를 가지며,
상기 프로그래밍된 차이는 상기 제 1 주기적 어레이와 상기 제 2 주기적 어레이 사이에서 반대인, 초점 성능 측정 방법.
33. 제 29 절 내지 제 32 절 중 어느 한 절에 있어서,
상기 반사성 부분은, 상기 리소그래피 장치 내에서 사용되는 방사선의 20 나노미터 미만인 파장을 반사하도록 구성되고,
상기 리소그래피 장치에 의해 인쇄될 때, 각각의 제 1 피쳐는 주기성 방향으로 40 나노미터보다 적은 최소 치수를 가지는, 초점 성능 측정 방법.
34. 리소그래피 프로세스의 파라미터를 측정하는 계측 장치로서,
상기 계측 장치는 제 1 절 내지 제 28 절 중 어느 한 절의 방법의 단계 (b) 및 (c)를 수행하도록 동작가능한, 리소그래피 프로세스 파라미터 계측 장치.
35. 리소그래피 시스템으로서,
리소그래피 장치를 포함하되, 상기 리소그래피 장치는:
반사성 패터닝 디바이스를 조명하도록 구성되는 조명 광학 시스템;
상기 패터닝 디바이스의 이미지를 기판 상에 투영하도록 구성되는 투영 광학 시스템; 및
제 34 절에 따른 계측 장치를 포함하고,
상기 리소그래피 장치는 상기 패턴을 추가적인 기판에 적용할 때, 상기 계측 장치에 의해 유도되는 초점 성능의 측정치를 사용하도록 구성되는, 리소그래피 시스템.
36. 적합한 프로세서 제어 장치에서 실행될 때 상기 프로세서 제어 장치가 제 1 절 내지 제 28 절 중 어느 한 절의 방법의 단계 (b) 및/또는 (c)를 수행하게 하는 프로세서 판독가능 명령을 포함하는 컴퓨터 프로그램.
37. 디바이스 패턴이 리소그래피 프로세스를 사용하여 일련의 기판에 적용되는 디바이스 제조 방법으로서,
제 1 절 내지 제 28 절 중 어느 한 절의 방법을 사용하여 상기 리소그래피 프로세스의 초점 성능을 측정하는 단계, 및
측정된 초점 성능에 따라서, 추후의 기판들에 대해 상기 리소그래피 프로세스를 제어하는 단계를 포함하는, 디바이스 제조 방법.
결론
결론적으로, 리소그래피 프로세스를 사용하여 디바이스를 제조하는 방법은, 본 명세서에 개시된 바와 같은 초점 측정 방법을 수행하고, 리소그래피 프로세스의 성능의 파라미터를 측정하기 위해 처리된 기판을 측정하려고 이것을 사용하며, 이러한 프로세스의 파라미터(특히, 초점)를 조절하여 후속 기판의 처리를 위해 리소그래피 프로세스의 성능을 개선 또는 유지함으로써 개선될 수 있다.
위에서 설명된 초점 측정 패턴을 포함하는 타겟 구조체가 측정의 목적을 위하여 특정하게 설계되고 형성된 계측 타겟들인 반면에, 다른 실시예들에서, 기판에 형성된 디바이스의 기능성 부분인 타겟들의 속성이 측정될 수도 있다. 많은 디바이스들은 정규의 격자-유사 구조를 가진다. 본 명세서에서 사용되는 바와 같은 "계측 패턴" 및 "계측 타겟"이라는 용어는 해당 구조체가 수행되는 중인 측정에 대하여 특정하게 제공되어야 한다는 것을 요구하지 않는다.
이러한 계측 패턴이 형성되는 기판은 제품 개발에 있어서의 생산 웨이퍼 또는 실험용 웨이퍼일 수 있다. 또한, 이들은 전용 계측 웨이퍼, 예를 들어 진보된 프로세스 제어(APC) 메커니즘의 일부로서 간헐적으로 처리되는 모니터 웨이퍼일 수도 있다.
기판 및 패터닝 디바이스 상에 구현되는 바와 같은 초점 계측 패턴을 규정하는 물리적 격자 구조체와 연관하여, 일 실시예는, 초점 계측 패턴, 계측 레시피를 설계하고, 및/또는 검사 장치를 제어하여 조명 모드 및 그러한 계측 레시피의 다른 양태를 구현하는 방법을 기술하는 머신-판독가능 명령들의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램을 포함할 수 있다. 이러한 컴퓨터 프로그램은 예를 들어 디자인/제어 프로세스를 위해 채택된 별개의 컴퓨터 시스템에서 실행될 수 있다. 언급된 바와 같이, 계산 및 제어 단계는 도 3의 장치에 있는 유닛(PU) 및/또는 도 2의 제어 유닛(LACU) 내에서 전체적으로 또는 부분적으로 실행될 수도 있다. 그 안에 저장된 이러한 컴퓨터 프로그램을 포함하는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기적 또는 광학적 디스크)가 역시 제공될 수 있다.
본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.
본 발명의 적용 범위 및 범위는 전술한 예시 실시예의 어떠한 것에 의해서도 한정되어서는 안 되며, 후속하는 청구범위 및 그 균등물에 따라서만 정해져야 한다.

Claims (16)

  1. 리소그래피 장치의 초점 성능을 측정하는 방법으로서,
    (a) 리소그래피 장치를 사용하여 기판 상에 적어도 하나의 초점 계측 패턴을 인쇄하는 단계 - 인쇄된 초점 계측 패턴은 적어도 피쳐들의 제 1 주기적 어레이를 포함함 -;
    (b) 검사 방사선을 사용하여, 인쇄된 초점 계측 패턴 내의 제 1 주기적 어레이에 대한 회절 스펙트럼의 반대측 부분들 사이의 비대칭을 측정하는 단계; 및
    (c) 단계 (b)에서 측정된 비대칭에 적어도 부분적으로 기반하여, 초점 성능의 측정치를 유도하는 단계를 포함하고,
    상기 제 1 주기적 어레이는 제 2 피쳐들 사이에 인터리빙된 제 1 피쳐들의 반복되는 배열을 포함하고, 각각의 제 1 피쳐의 최소 치수는 인쇄 단계 (a)에서의 분해능 한계에 가깝지만 그 이상이며, 주기성 방향으로의 각각의 제 2 피쳐의 최대 치수는 상기 제 1 피쳐의 최소 치수의 적어도 두 배이고,
    각각의 제 1 피쳐는, 상기 제 1 피쳐와 그 가장 가까운 제 2 피쳐 사이의 상기 주기성 방향으로의 간격이 상기 제 1 피쳐의 최소 치수의 절반 내지 두 배 사이가 되도록 두 개의 인접한 제 2 피쳐들 사이에 위치되는, 초점 성능 측정 방법.
  2. 제 1 항에 있어서,
    상기 주기적 어레이 내의 각각의 제 1 피쳐는 상기 주기성 방향으로 상기 최소 치수를 가지거나, 상기 주기적 어레이 내의 각각의 제 1 피쳐는 상기 주기성 방향을 가로지르는 방향으로 상기 최소 치수를 가지는, 초점 성능 측정 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 주기적 어레이 내의 각각의 제 2 피쳐는, 상기 주기성 방향을 가로지르는 방향으로 인쇄 단계의 분해능 한계에 가깝지만 그 이상인 최소 치수를 가지는 서브-피쳐를 더 포함하는, 초점 성능 측정 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 인쇄된 초점 계측 패턴은 적어도 피쳐들의 제 1 및 제 2 주기적 어레이를 가지고,
    각각의 주기적 어레이 내에는 프로그래밍된 비대칭이 존재하고,
    상기 제 2 주기적 어레이의 비대칭은 상기 제 1 주기적 어레이의 비대칭과 반대이며,
    단계 (b)는 상기 제 1 및 제 2 주기적 어레이 각각의 비대칭을 측정하는 것을 포함하고,
    단계 (c)는 주기적 어레이들에 대해 측정된 비대칭을 조합함으로써 상기 초점 성능의 측정치를 결정하는, 초점 성능 측정 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    두 개의 인접한 제 2 피쳐들 사이의 각각의 제 1 피쳐의 간격은, 상기 주기성 방향으로 동일하지 않고,
    상기 피쳐들의 제 2 주기적 어레이 내의 상기 인접한 제 2 피쳐들 사이의 제 1 피쳐의 동일하지 않은 간격은, 상기 피쳐들의 제 1 주기적 어레이 내의 간격과 반대인, 초점 성능 측정 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    단계 (b)에서의 측정은 상기 제 1 피쳐의 최소 치수보다 훨씬 긴 파장을 가지는 방사선을 사용하여 수행되는, 초점 성능 측정 방법.
  7. 제 1 항 또는 제 2 항에 있어서,
    단계 (b)에서의 측정은 150 nm보다 긴 파장을 가지는 방사선을 사용하여 수행되는 반면에, 상기 초점 계측 패턴을 인쇄하기 위하여 상기 리소그래피 장치에 의해 사용되는 방사선의 파장은 20 nm 미만인, 초점 성능 측정 방법.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 초점 계측 패턴 내의 피쳐들의 주기적 어레이들 각각의 주기는 350 nm보다 긴, 초점 성능 측정 방법.
  9. 리소그래피 장치의 초점 성능을 측정하는 방법으로서,
    (a) 리소그래피 장치를 사용하여 기판 상에 적어도 하나의 초점 계측 패턴을 인쇄하는 단계 - 인쇄된 초점 계측 패턴은 적어도 하나의 방향으로 주기적인 피쳐들의 어레이를 포함함 -;
    (b) 인쇄된 초점 계측 패턴의 속성을 측정하는 단계; 및
    (c) 상기 속성의 측정치로부터 초점 성능의 측정치를 유도하는 단계를 포함하고,
    상기 초점 계측 패턴은 적어도 피쳐들의 제 1 주기적 어레이를 포함하고, 각각의 피쳐의 치수는 인쇄 단계 (a)의 분해능 한계에 가깝지만 그 이상이며,
    상기 피쳐들의 제 1 주기적 어레이는 쌍으로 배열되고, 주기성 방향으로의 상기 초점 계측 패턴 내의 피쳐들의 인접한 쌍들 사이의 간격은 각각의 제 1 피쳐의 치수 및 한 쌍 내의 제 1 피쳐들 사이의 간격 양자 모두보다 훨씬 크되, 각각의 쌍은 동일하지 않은 폭을 갖는 2개의 피쳐들을 포함하는, 초점 성능 측정 방법.
  10. 제 9 항에 있어서,
    상기 초점 계측 패턴은 피쳐들의 쌍들의 그룹들의 주기적 어레이를 포함하고,
    상기 주기성 방향으로의 상기 초점 계측 패턴 내의 인접한 피쳐들의 쌍들의 그룹들 사이의 간격은, 각각의 피쳐의 치수 및 하나의 쌍 내의 피쳐들 사이의 간격 양자 모두보다 훨씬 큰, 초점 성능 측정 방법.
  11. 제 9 항 또는 제 10 항에 있어서,
    각각의 쌍 내의 피쳐들의 치수 및 각각의 쌍 내의 피쳐들 사이의 간격의 치수는 상기 주기성 방향으로 동일한 자릿수인, 초점 성능 측정 방법.
  12. 리소그래피 장치에서 사용하기 위한 패터닝 디바이스로서,
    상기 패터닝 디바이스는, 하나 이상의 디바이스 패턴 및 하나 이상의 계측 패턴의 피쳐를 규정하기 위한 반사성 및 비-반사성 부분을 포함하고,
    상기 계측 패턴은 적어도 하나의 초점 계측 패턴을 포함하며,
    상기 초점 계측 패턴은 적어도 피쳐들의 제 1 주기적 어레이를 포함하고,
    상기 제 1 주기적 어레이는 제 2 피쳐들 사이에 인터리빙된 제 1 피쳐들의 반복되는 배열을 포함하고, 각각의 제 1 피쳐의 최소 치수는 상기 리소그래피 장치의 분해능 한계에 가깝지만 그 이상이며, 주기성 방향으로의 각각의 제 2 피쳐의 최대 치수는 상기 제 1 피쳐의 최소 치수의 적어도 두 배이고,
    각각의 제 1 피쳐는, 상기 제 1 피쳐와 그 가장 가까운 제 2 피쳐 사이의 상기 주기성 방향으로의 간격이 상기 제 1 피쳐의 최소 치수의 절반 내지 두 배 사이가 되도록 두 개의 인접한 제 2 피쳐들 사이에 위치되는, 패터닝 디바이스.
  13. 리소그래피 장치에서 사용하기 위한 패터닝 디바이스로서,
    하나 이상의 디바이스 패턴 및 하나 이상의 계측 패턴의 피쳐를 규정하기 위한 반사성 및 비-반사성 부분을 포함하되, 상기 계측 패턴은 적어도 하나의 초점 계측 패턴을 포함하며,
    상기 초점 계측 패턴은 적어도 피쳐들의 제 1 주기적 어레이를 포함하고, 각각의 피쳐의 치수는 상기 리소그래피 장치의 분해능 한계에 가깝지만 그 이상이며,
    피쳐들은 쌍으로 배열되고, 주기성 방향으로의 상기 초점 계측 패턴 내의 피쳐들의 인접한 쌍들 사이의 간격은 각각의 제 1 피쳐의 치수 및 한 쌍 내의 제 1 피쳐들 사이의 간격 양자 모두보다 훨씬 크되, 각각의 쌍은 동일하지 않은 폭을 갖는 2개의 피쳐들을 포함하는, 패터닝 디바이스.
  14. 리소그래피 프로세스의 파라미터를 측정하는 계측 장치로서,
    상기 계측 장치는 제 1 항 또는 제 2 항의 방법의 단계 (b) 및 (c)를 수행하도록 동작가능한, 리소그래피 프로세스 파라미터 계측 장치.
  15. 리소그래피 시스템으로서,
    리소그래피 장치를 포함하되, 상기 리소그래피 장치는:
    반사성 패터닝 디바이스를 조명하도록 구성되는 조명 광학 시스템;
    상기 패터닝 디바이스의 이미지를 기판 상에 투영하도록 구성되는 투영 광학 시스템; 및
    제 14 항에 따른 계측 장치를 포함하고,
    상기 리소그래피 장치는 상기 패턴을 추가적인 기판에 적용할 때, 상기 계측 장치에 의해 유도되는 초점 성능의 측정치를 사용하도록 구성되는, 리소그래피 시스템.
  16. 디바이스 패턴이 리소그래피 프로세스를 사용하여 일련의 기판에 적용되는 디바이스 제조 방법으로서,
    제 1 항 또는 제 2 항의 방법을 사용하여 상기 리소그래피 프로세스의 초점 성능을 측정하는 단계, 및
    측정된 초점 성능에 따라서, 추후의 기판들에 대해 상기 리소그래피 프로세스를 제어하는 단계를 포함하는, 디바이스 제조 방법.
KR1020197038437A 2017-06-26 2018-05-28 리소그래피 장치의 초점 성능을 측정하기 위한 방법 및 패터닝 디바이스 및 장치, 및 디바이스 제조 방법 KR102375664B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17177774.1 2017-06-26
EP17177774.1A EP3422102A1 (en) 2017-06-26 2017-06-26 Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
PCT/EP2018/063959 WO2019001873A1 (en) 2017-06-26 2018-05-28 METHODS AND DEVICES AND PATTERN-FORMING DEVICES FOR MEASURING THE PERFORMANCE OF FOCUSING A LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHOD

Publications (2)

Publication Number Publication Date
KR20200012932A KR20200012932A (ko) 2020-02-05
KR102375664B1 true KR102375664B1 (ko) 2022-03-16

Family

ID=59215634

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197038437A KR102375664B1 (ko) 2017-06-26 2018-05-28 리소그래피 장치의 초점 성능을 측정하기 위한 방법 및 패터닝 디바이스 및 장치, 및 디바이스 제조 방법

Country Status (8)

Country Link
US (1) US11054754B2 (ko)
EP (1) EP3422102A1 (ko)
JP (1) JP6920474B2 (ko)
KR (1) KR102375664B1 (ko)
CN (1) CN110832398B (ko)
IL (1) IL271667B2 (ko)
TW (1) TWI670575B (ko)
WO (1) WO2019001873A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111051994B (zh) 2017-09-11 2022-06-10 Asml荷兰有限公司 用于测量光刻装置的焦点性能的方法和图案化设备与装置、器件制造方法
EP3637187A1 (en) 2018-10-12 2020-04-15 ASML Netherlands B.V. Method for measuring focus performance of a lithographic apparatus
EP3657256A1 (en) 2018-11-20 2020-05-27 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US11733615B2 (en) 2019-01-03 2023-08-22 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US11499924B2 (en) 2019-06-03 2022-11-15 KLA Corp. Determining one or more characteristics of light in an optical system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160274472A1 (en) 2013-10-30 2016-09-22 Asml Netherlands B.V. Inspection Apparatus and Methods, Substrates Having Metrology Targets, Lithographic System and Device Manufacturing Method
US20160313656A1 (en) 2013-12-17 2016-10-27 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate
US20160334716A1 (en) 2015-05-15 2016-11-17 Kla-Tencor Corporation System and Method for Focus Determination Using Focus-Sensitive Overlay Targets
US20170176870A1 (en) * 2015-12-21 2017-06-22 Asml Netherlands B.V. Methods and Patterning Devices and Apparatuses for Measuring Focus Performance of a Lithographic Apparatus, Device Manufacturing Method

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3309865B2 (ja) * 1992-10-02 2002-07-29 株式会社ニコン 結像特性計測方法及び該方法で使用されるマスク
DE69531854T2 (de) * 1994-08-02 2004-08-19 Koninklijke Philips Electronics N.V. Verfahren zur wiederholten abbildung eines maskenmusters auf einem substrat
JP2001250760A (ja) * 2000-03-06 2001-09-14 Nikon Corp 収差計測方法、該方法を使用するマーク検出方法、及び露光方法
EP1314198B1 (en) * 2000-08-30 2017-03-08 KLA-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6433878B1 (en) * 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2008086827A1 (en) * 2007-01-16 2008-07-24 Carl Zeiss Smt Ag Projection exposure method and projection exposure system therefor
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
CN103293665B (zh) 2008-02-15 2016-07-06 卡尔蔡司Smt有限责任公司 微光刻的投射曝光设备使用的分面镜
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2131245A3 (en) * 2008-06-02 2012-08-01 ASML Netherlands BV Lithographic apparatus and its focus determination method
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
NL2004545A (en) * 2009-06-09 2010-12-13 Asml Netherlands Bv Lithographic method and arrangement
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
KR101642033B1 (ko) 2009-08-24 2016-07-22 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
KR101492205B1 (ko) 2010-11-12 2015-02-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
JP6077647B2 (ja) 2012-05-29 2017-02-08 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法及び装置、基板、リソグラフィシステム並びにデバイス製造方法
KR101983615B1 (ko) 2012-06-22 2019-05-29 에이에스엠엘 네델란즈 비.브이. 포커스를 결정하는 방법, 검사 장치, 패터닝 장치, 기판, 및 디바이스 제조 방법
US9454072B2 (en) 2012-11-09 2016-09-27 Kla-Tencor Corporation Method and system for providing a target design displaying high sensitivity to scanner focus change
US20160033879A1 (en) * 2014-07-30 2016-02-04 GlobalFoundries, Inc. Methods and controllers for controlling focus of ultraviolet light from a lithographic imaging system, and apparatuses for forming an integrated circuit employing the same
WO2017016839A1 (en) * 2015-07-24 2017-02-02 Asml Netherlands B.V. Inspection apparatus, inspection method, lithographic apparatus and manufacturing method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160274472A1 (en) 2013-10-30 2016-09-22 Asml Netherlands B.V. Inspection Apparatus and Methods, Substrates Having Metrology Targets, Lithographic System and Device Manufacturing Method
US20160313656A1 (en) 2013-12-17 2016-10-27 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate
US20160334716A1 (en) 2015-05-15 2016-11-17 Kla-Tencor Corporation System and Method for Focus Determination Using Focus-Sensitive Overlay Targets
US20170176870A1 (en) * 2015-12-21 2017-06-22 Asml Netherlands B.V. Methods and Patterning Devices and Apparatuses for Measuring Focus Performance of a Lithographic Apparatus, Device Manufacturing Method

Also Published As

Publication number Publication date
JP6920474B2 (ja) 2021-08-18
US20200142324A1 (en) 2020-05-07
WO2019001873A1 (en) 2019-01-03
US11054754B2 (en) 2021-07-06
TWI670575B (zh) 2019-09-01
TW201917490A (zh) 2019-05-01
CN110832398A (zh) 2020-02-21
IL271667B1 (en) 2023-08-01
IL271667A (en) 2020-02-27
KR20200012932A (ko) 2020-02-05
CN110832398B (zh) 2022-01-11
IL271667B2 (en) 2023-12-01
EP3422102A1 (en) 2019-01-02
JP2020525818A (ja) 2020-08-27

Similar Documents

Publication Publication Date Title
EP3394677B1 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
KR102375664B1 (ko) 리소그래피 장치의 초점 성능을 측정하기 위한 방법 및 패터닝 디바이스 및 장치, 및 디바이스 제조 방법
TWI623822B (zh) 用以檢測基板的方法及電腦程式
US11204557B2 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US20230305407A1 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
NL2022031A (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP3454127A1 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
KR102668160B1 (ko) 리소그래피 장치의 포커스 성능을 측정하는 장치들 및 패터닝 디바이스들 및 방법들, 디바이스 제조 방법
US11733615B2 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
CN112840270A (zh) 用于测量光刻设备的聚焦性能的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant