JP2020520120A - Fluid deposition and high density plasma treatment process cycles for high quality void filling. - Google Patents

Fluid deposition and high density plasma treatment process cycles for high quality void filling. Download PDF

Info

Publication number
JP2020520120A
JP2020520120A JP2019562616A JP2019562616A JP2020520120A JP 2020520120 A JP2020520120 A JP 2020520120A JP 2019562616 A JP2019562616 A JP 2019562616A JP 2019562616 A JP2019562616 A JP 2019562616A JP 2020520120 A JP2020520120 A JP 2020520120A
Authority
JP
Japan
Prior art keywords
curing
chamber
layer
plasma
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019562616A
Other languages
Japanese (ja)
Other versions
JP7168586B2 (en
Inventor
チンメイ リャン,
チンメイ リャン,
ヨン スン,
ヨン スン,
チンルイ クオ,
チンルイ クオ,
プラケット ピー. ジャー,
プラケット ピー. ジャー,
チュン チャン リー,
チュン チャン リー,
ツァ−ジン グン,
ツァ−ジン グン,
ムクン スリニバサン,
ムクン スリニバサン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020520120A publication Critical patent/JP2020520120A/en
Priority to JP2022171995A priority Critical patent/JP7458457B2/en
Application granted granted Critical
Publication of JP7168586B2 publication Critical patent/JP7168586B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Abstract

本書に開示の実行形態は、基板にトレンチを形成し、流動性誘電体材料を用いてトレンチを充填するための方法に関するものである。一実行形態では、本方法は、規定の堆積厚さに達するまで、流動性層を前記トレンチの底面及び側壁面の上にボトムアップで形成するために、少なくとも1つのトレンチを有する基板に堆積処理を行うことと、流動性層に、UV硬化処理である第1の硬化処理を行うことと、UV硬化された流動性層に、プラズマ処理またはプラズマ支援処理である第2の硬化処理を行うことと、プラズマ硬化された流動性層が、トレンチに充填され、トレンチの上面を超える規定の高さに達するまで、堆積処理と第1の硬化処理と第2の硬化処理とを連続的に繰り返し実施することとを含む。【選択図】図1Embodiments disclosed herein relate to a method for forming a trench in a substrate and filling the trench with a flowable dielectric material. In one implementation, the method comprises depositing a substrate having at least one trench to form a flowable layer bottom-up on the bottom and sidewall surfaces of the trench until a defined deposition thickness is reached. And performing a first curing treatment that is a UV curing treatment on the fluidized layer, and performing a second curing treatment that is a plasma treatment or a plasma-assisted treatment on the UV cured fluidized layer. And the plasma-cured fluidized layer is filled in the trench, and the deposition process, the first curing process, and the second curing process are continuously repeated until a predetermined height above the upper surface of the trench is reached. Including doing. [Selection diagram] Figure 1

Description

[0001]本開示の実行形態は概して、基板のトレンチを形成し、流動性誘電体材料を用いて充填するための方法に関するものである。 [0001] Implementations of the present disclosure generally relate to a method for forming a trench in a substrate and filling with a flowable dielectric material.

[0002]近代のデバイスのトレンチ幅は、誘電体材料を用いてトレンチを充填することが困難になるくらいトレンチの深さと幅のアスペクト比が高くなるほど、細くなってきている。誘電体材料を堆積させると、トレンチが完全に充填される前に上部で詰まってしまい、トレンチの真中にボイド又は継ぎ目ができやすい。この問題は特に、トレンチの上部と底部が異なる直径を有するトレンチを形成するときに、パターンローディング効果に起因して更に悪化する。 [0002] The trench widths of modern devices have become narrower as the trench depth and width aspect ratios have increased such that it is difficult to fill the trenches with dielectric materials. Depositing the dielectric material tends to clog the top of the trench before it is completely filled, creating a void or seam in the middle of the trench. This problem is further exacerbated due to pattern loading effects, especially when forming trenches where the top and bottom of the trench have different diameters.

[0003]したがって、当技術分野において、上述した課題に対処する新たな堆積処理が必要である。 [0003] Therefore, there is a need in the art for new deposition processes that address the aforementioned challenges.

[0007]本書に開示の実行形態は、基板のトレンチを形成し、流動性誘電体材料を用いて充填するための方法に関するものである。ある実行形態では、本方法は、規定の堆積厚さに達するまで、流動性層をトレンチの底面及び側壁面の上にボトムアップで形成するために、少なくとも1つのトレンチを有する基板に堆積処理を行うことと、流動性層に、UV硬化処理である第1の硬化処理を行うことと、UV硬化された流動性層に、プラズマ処理又はプラズマ支援処理である第2の硬化処理を行うことと、プラズマ硬化された流動性層が、トレンチに充填され、トレンチの上面を超える規定の高さに達するまで、堆積処理と第1の硬化処理と第2の硬化処理とを連続的に繰り返し実施することとを含む。 [0007] Embodiments disclosed herein relate to a method for forming a trench in a substrate and filling with a flowable dielectric material. In one implementation, the method performs a deposition process on a substrate having at least one trench to form a flowable layer bottom-up on the bottom and sidewall surfaces of the trench until a prescribed deposition thickness is reached. And performing a first curing treatment that is a UV curing treatment on the fluidized layer, and performing a second curing treatment that is a plasma treatment or a plasma assisted treatment on the UV cured fluidized layer. The plasma-cured fluidized layer is filled in the trench, and the deposition process, the first curing process, and the second curing process are continuously repeated until a predetermined height above the upper surface of the trench is reached. Including and.

[0008]別の実行形態では、本方法は、シリコン含有前駆体を酸素系ラジカル前駆体と窒素系ラジカル前駆体とに反応させて、基板のトレンチ内に流動性層を形成することによって、堆積処理を実施することと、プラズマチャンバで流動性層を硬化させることであって、第2の処理チャンバが酸素含有雰囲気又は窒素含有雰囲気を有する、流動性層を硬化させることと、硬化された流動性層が、トレンチに充填され、トレンチの上面を超える規定の高さに達するまで、堆積処理と硬化処理とを連続的に繰り返し実施することとを含む。 [0008] In another implementation, the method deposits by reacting a silicon-containing precursor with an oxygen-based radical precursor and a nitrogen-based radical precursor to form a fluidizable layer within a trench of a substrate. Performing a treatment and curing the fluidized layer in a plasma chamber, wherein the second treatment chamber has an oxygen-containing atmosphere or a nitrogen-containing atmosphere, and curing the fluidized layer; The conductive layer fills the trench and continuously repeats the deposition process and the curing process until it reaches a defined height above the upper surface of the trench.

[0009]更に別の実行形態では、基板を処理するためのクラスタツールが提供される。クラスタツールは、ロードロックチャンバと、ロードロックチャンバの第1の側面に連結された移送チャンバと、移送チャンバに連結された複数の第1の処理チャンバであって、各々が流動性層の堆積を実施することができる堆積チャンバである、複数の第1の処理チャンバと、移送チャンバに連結された複数の第2の処理チャンバであって、各々が硬化処理を実施することができる硬化チャンバである、複数の第2の処理チャンバと、移送チャンバに連結された複数の第3の処理チャンバであって、各々がプラズマ硬化処理を実施することができるプラズマチャンバである、複数の第3の処理チャンバと、ロードチャンバの第2の側面に連結されたファクトリインターフェースとを含む。 [0009] In yet another implementation, a cluster tool for processing a substrate is provided. The cluster tool includes a load lock chamber, a transfer chamber connected to a first side of the load lock chamber, and a plurality of first processing chambers connected to the transfer chamber, each of which is configured to deposit a fluidized layer. A plurality of first processing chambers, which are deposition chambers that can be performed, and a plurality of second processing chambers, which are connected to the transfer chamber, each of which is a curing chamber capable of performing a curing process. A plurality of third processing chambers connected to the plurality of second processing chambers and the transfer chamber, each third processing chamber being a plasma chamber capable of performing a plasma hardening process. And a factory interface coupled to the second side of the load chamber.

[0010]ある別の実行形態では、クラスタツールは、ロードロックチャンバと、ロードロックチャンバの第1の側面に連結された第1の真空移送チャンバと、第2の真空移送チャンバと、第1の真空移送チャンバと第2の真空移送チャンバとの間に配置された冷却ステーションと、ロードロックチャンバの第2の側面に連結されたファクトリインターフェースと、第1の真空移送チャンバに連結された複数の第1の処理チャンバであって、各々が流動性層の堆積を実施することができる堆積チャンバである、複数の第1の処理チャンバと、第2の真空移送チャンバに連結された複数の第2の処理チャンバであって、各々がプラズマ硬化処理を実施することができるプラズマチャンバである、複数の第2の処理チャンバとを含む。 [0010] In certain other implementations, a cluster tool includes a load lock chamber, a first vacuum transfer chamber coupled to a first side of the load lock chamber, a second vacuum transfer chamber, and a first vacuum transfer chamber. A cooling station disposed between the vacuum transfer chamber and the second vacuum transfer chamber, a factory interface connected to the second side of the load lock chamber, and a plurality of first vacuum transfer chambers connected to the first vacuum transfer chamber. A plurality of first processing chambers, each of which is a deposition chamber capable of performing a deposition of a fluidized layer, and a plurality of second processing chambers connected to a second vacuum transfer chamber. A plurality of second processing chambers, each being a plasma chamber capable of performing a plasma hardening process.

[0011]上述した本開示の特徴を詳細に理解できるように、一部が添付の図面に例示されている実行形態を参照しながら、上記に要約した本開示をより具体的に説明する。しかし、添付の図面は本開示の典型的な実行形態のみを示すものであり、したがって、実行形態の範囲を限定するものと見なすべきではなく、本開示は他の等しく有効な実行形態も許容しうることに留意されたい。 [0011] For a thorough understanding of the above-disclosed features of the present disclosure, the present disclosure summarized above will be described more specifically with reference to the exemplary embodiments, some of which are illustrated in the accompanying drawings. However, the accompanying drawings show only typical implementations of the present disclosure and therefore should not be considered as limiting the scope of the implementations, the present disclosure allowing other equally valid implementations. Note that it is possible.

トレンチの充填を促進する流動性誘電体層を形成する例示の方法の選択工程を示すフロー図である。FIG. 6 is a flow diagram showing selected steps of an exemplary method of forming a flowable dielectric layer that facilitates filling of trenches. 基板の一部を示す概略三次元図である。FIG. 3 is a schematic three-dimensional view showing a part of a substrate. 図1のフロー図による様々な製造段階中の図2Aの基板を示す図である。2A illustrates the substrate of FIG. 2A during various manufacturing stages according to the flow diagram of FIG. 図1のフロー図による様々な製造段階中の図2Aの基板を示す図である。2A illustrates the substrate of FIG. 2A during various manufacturing stages according to the flow diagram of FIG. 図1のフロー図による様々な製造段階中の図2Aの基板を示す図である。2A illustrates the substrate of FIG. 2A during various manufacturing stages according to the flow diagram of FIG. 図1のフロー図による様々な製造段階中の図2Aの基板を示す図である。2A illustrates the substrate of FIG. 2A during various manufacturing stages according to the flow diagram of FIG. 図1のフロー図による様々な製造段階中の図2Aの基板を示す図である。2A illustrates the substrate of FIG. 2A during various manufacturing stages according to the flow diagram of FIG. 図1のフロー図による様々な製造段階中の図2Aの基板を示す図である。2A illustrates the substrate of FIG. 2A during various manufacturing stages according to the flow diagram of FIG. 本開示の実行形態に係る図1に示す処理シーケンスを実施するために使用可能な処理システムの概略上面図である。FIG. 2 is a schematic top view of a processing system that can be used to implement the processing sequence shown in FIG. 1 according to implementations of the present disclosure. 本開示の実行形態に係る図1に示す処理シーケンスの処理を実施するために使用可能な処理システムの概略上面図である。FIG. 2 is a schematic top view of a processing system that can be used to perform the processing of the processing sequence shown in FIG. 1 according to the embodiment of the present disclosure.

[0017]理解しやすくするために、可能な場合は図面に共通の同一要素を記号表示するのに同一の参照番号が使われている。具体的な記載がなくても、一実行形態で開示された要素を他の実行形態に有益に用いることは可能であると考えられる。 [0017] For ease of understanding, wherever possible, identical reference numerals have been used to label identical elements that are common to the figures. It is believed that elements disclosed in one implementation may be beneficially used in other implementations without specific recitations.

[0018]図1は、トレンチの充填を促進する流動性誘電体層を形成する方法100における選択工程を示すフロー図である。図2Aに、基板200の一部の概略三次元図を示す。図2B〜2Gは、図2AのラインA−Aに沿った半導体デバイス構造の一部の概略断面図である。図2B〜2Gに、図1のフロー図による様々な製造段階中の図2Aの基板200を示す。説明をわかりやすくするために、図1及び図2A〜2Gを合わせて説明する。 [0018] FIG. 1 is a flow diagram illustrating selected steps in a method 100 of forming a flowable dielectric layer that facilitates filling of trenches. FIG. 2A shows a schematic three-dimensional view of a part of the substrate 200. 2B-2G are schematic cross-sectional views of a portion of the semiconductor device structure along line AA of FIG. 2A. 2B-2G show the substrate 200 of FIG. 2A during various manufacturing stages according to the flow diagram of FIG. 1 and 2A to 2G will be described together for the sake of clarity.

[0019]方法100は、ブロック102において、図2Aに示す基板200等の基板を堆積チャンバの基板処理領域の中へ移送することによって開始される。適切な堆積チャンバには、高密度プラズマCVDチャンバ、プラズマ強化CVDチャンバ、低大気圧CVDチャンバ等を含みうる。流動性酸化物/窒化物層を形成するように適合されうる例示の堆積チャンバは、いずれもカリフォルニア州サンタクララのアプライドマテリアルズ社から市販されているProducer(登録商標)ETERNA CVD(登録商標)システム又はUltima HDP CVD(登録商標)システムを含む。他のメーカーからの他の好適な堆積チャンバも用いることが可能だと考えられる。 [0019] The method 100 begins at block 102 by transferring a substrate, such as the substrate 200 shown in FIG. 2A, into a substrate processing region of a deposition chamber. Suitable deposition chambers may include high density plasma CVD chambers, plasma enhanced CVD chambers, low atmospheric pressure CVD chambers and the like. An exemplary deposition chamber that may be adapted to form a flowable oxide/nitride layer is the Producer® ETERNA CVD® system, both commercially available from Applied Materials, Inc. of Santa Clara, Calif. Or an Ultima HDP CVD® system. It is contemplated that other suitable deposition chambers from other manufacturers could be used.

[0020]基板200は、上に形成されたフィン202を有する。各フィン202は、一又は複数のデバイスが形成される作用面積として機能しうる。フィン202は、マスキング、フォトリソグラフィ、及び/又はエッチング処理を含む、基板200上で行われる適切な処理を使用して基板200にトレンチ204を形成し、基板200から上向きに延びるフィン202を残すことで作製される。 [0020] The substrate 200 has fins 202 formed thereon. Each fin 202 may serve as an active area where one or more devices are formed. Fins 202 form trenches 204 in substrate 200 using any suitable process performed on substrate 200, including masking, photolithography, and/or etching processes, leaving fins 202 extending upward from substrate 200. Made in.

[0021]トレンチ204のアスペクト比は、約1:1、約2:1、約3:1、約5:1、約10:1、約15:1、約20:1、約30:1、約50:1、約100:1以上であってよい。ある実行形態ではトレンチ204のアスペクト比は、約10:1〜約30:1、例えば約15:1であってよい。本書に記載の「アスペクト比」という語は、特定の特徴、例えば基板200に形成されたトレンチ204の高さ寸法と幅寸法の比率を指すものである。 [0021] The aspect ratio of the trench 204 is about 1:1, about 2:1, about 3:1, about 5:1, about 10:1, about 15:1, about 20:1, about 30:1, It may be about 50:1, about 100:1 or more. In some implementations, the aspect ratio of the trenches 204 can be about 10:1 to about 30:1, such as about 15:1. As used herein, the term "aspect ratio" refers to a particular feature, such as the ratio of the height dimension to the width dimension of trenches 204 formed in substrate 200.

[0022]基板200は、シリコン(ドープされた又はドープされていない)、結晶シリコン(例:Si<100>又はSi<111>)、酸化ケイ素、ドープされた又はドープされていないポリシリコン等のシリコン基板、ゲルマニウム基板、シリコンゲルマニウム(SiGe)基板、ヒ化ガリウム基板等のIII−V複合基板、炭化ケイ素(SiC)基板、パターン化された又はパターン化されていない絶縁層上の半導体(SOI)基板、炭素がドープされた酸化物、窒化ケイ素、液晶ディスプレイ(LCD)、プラズマディスプレイ、エレクトロルミネセント(EL)ランプディスプレイ等のディスプレイ基板、ソーラアレー、ソーラーパネル、発光ダイオード(LED)基板、ガラス、サファイア、又は金属、金属合金及び他の導電性材料等の他のいずれかの材料等の、上に堆積された材料を有することができるいずれかの基板であってよい。一又は複数の電気デバイス、例えばトランジスタ、コンデンサ、抵抗器、ダイオード、フォトダイオード、ヒューズ等の様々なN型金属酸化膜半導体(NMOS)及び/又はP型金属酸化膜半導体(PMOS)デバイスを基板200に形成することができる。基板200は、任意の特定のサイズ又は形状に限定されないと考えられる。したがって、基板200は、中でも、200mmの直径、300mmの直径、又は450mm等の他の直径を有する円形基板であってよい。基板200はまた、任意の多角形、正方形、長方形、曲線状又は他の非円形ワークピースであってもよい。 [0022] The substrate 200 may be made of silicon (doped or undoped), crystalline silicon (eg, Si<100> or Si<111>), silicon oxide, doped or undoped polysilicon, and the like. III-V composite substrates such as silicon substrates, germanium substrates, silicon germanium (SiGe) substrates, gallium arsenide substrates, silicon carbide (SiC) substrates, semiconductors (SOI) on patterned or unpatterned insulating layers Substrate, carbon-doped oxide, silicon nitride, display substrate such as liquid crystal display (LCD), plasma display, electroluminescent (EL) lamp display, solar array, solar panel, light emitting diode (LED) substrate, glass, sapphire , Or any other material that can have a material deposited on it, such as metals, metal alloys, and any other material such as other conductive materials. The substrate 200 may include one or more electrical devices, such as various N-type metal oxide semiconductor (NMOS) and/or P-type metal oxide semiconductor (PMOS) devices such as transistors, capacitors, resistors, diodes, photodiodes, fuses, and the like. Can be formed. It is contemplated that the substrate 200 is not limited to any particular size or shape. Thus, the substrate 200 may be a circular substrate having a diameter of 200 mm, a diameter of 300 mm, or other diameters such as 450 mm, among others. The substrate 200 may also be any polygonal, square, rectangular, curvilinear or other non-circular workpiece.

[0023]ブロック104において、基板200の上に流動性層206が形成される。流動性層206は、少なくともシリコンを含有する誘電体層であってよい。ある実施形態では、流動性層206は、少なくともシリコン及び酸素を含有する誘電体層である。ある実施形態では、流動性層206は、少なくともシリコンと窒素を含有する誘電体層である。ある実施形態では、流動性層206は、少なくともシリコン、酸素及び窒素を含有する誘電体層である。流動性層206がシリコン、酸素及び窒素を含有する誘電体層である場合、基板200の上に流動性層206を形成するために、堆積チャンバの中へシリコン含有前駆体、酸素系ラジカル前駆体は、及び窒素系ラジカル前駆体が導入されうる。基板200の露出した表面に流動性層206が堆積され、トレンチ204が充填されうる。一実施形態では、図2Bに示すように、トレンチ204の底面207の上、及び側壁面209に沿って流動性層206が形成される。適切な流動性層206は、非限定的に、SiC、SiO、SiCN、SiO、SiOC、SiOCN、SiON、又はSiNを含みうる。代替的に、流動性層206は、追跡可能な量の炭素を含まない(すなわち、炭素を含まない)場合がある。 [0023] At block 104, a fluid layer 206 is formed on the substrate 200. The fluid layer 206 may be a dielectric layer containing at least silicon. In some embodiments, the flowable layer 206 is a dielectric layer containing at least silicon and oxygen. In some embodiments, the flowable layer 206 is a dielectric layer containing at least silicon and nitrogen. In some embodiments, the flowable layer 206 is a dielectric layer containing at least silicon, oxygen and nitrogen. When the fluid layer 206 is a dielectric layer containing silicon, oxygen and nitrogen, a silicon-containing precursor, an oxygen-based radical precursor into the deposition chamber to form the fluid layer 206 on the substrate 200. And a nitrogen-based radical precursor can be introduced. A flowable layer 206 may be deposited on the exposed surface of the substrate 200 to fill the trenches 204. In one embodiment, a fluid layer 206 is formed over the bottom surface 207 of the trench 204 and along the sidewall surface 209, as shown in FIG. 2B. A suitable flowable layer 206 can include, but is not limited to, SiC, SiO, SiCN, SiO 2 , SiOC, SiOCN, SiON, or SiN. Alternatively, the fluent layer 206 may be free of traceable amounts of carbon (ie, free of carbon).

[0024]流動性層206は、トレンチ204を継ぎ目なしに又はボイドなしにボトムアップで(底から)充填することを可能にする流動性を提供する。流動性は少なくとも一部において、堆積された層に存在する短鎖ポリシラザンポリマーに起因しうる。例えば、堆積された層は、シラザンタイプのSi−NH−Siバックボーン(すなわち、Si−N−H層)を有しうる。短鎖ポリマーの形成と流動性を可能にする窒素は、ラジカル前駆体又はシリコン含有前駆体のいずれかから由来のものである。誘電体層は流動性であるため、誘電体層によりトレンチ204にボイドをつくらずに高アスペクト比を有するトレンチをボトムアップで充填することができる。流動性層206の堆積は、規定の堆積厚さに達したときに停止されうる。一実施形態では、規定の堆積厚さ「T1」は、約20オングストローム〜約300オングストロームの範囲である。誘電体層の流動性は、堆積が進むにつれ減少し、後続の硬化/プラズマ処理段階の間に実質的に除去される。 [0024] The flowable layer 206 provides flowability that allows the trench 204 to be filled up seamlessly or void-free, bottom-up (from the bottom). Flowability may be due, at least in part, to the short chain polysilazane polymer present in the deposited layer. For example, the deposited layer can have a Silazane-type Si-NH-Si backbone (ie, Si-N-H layer). The nitrogen, which allows the formation and flowability of short-chain polymers, comes from either radical precursors or silicon-containing precursors. Since the dielectric layer is fluid, the dielectric layer allows bottom-up filling of trenches having a high aspect ratio without creating voids in the trenches 204. The deposition of the fluidized layer 206 can be stopped when the defined deposition thickness is reached. In one embodiment, the prescribed deposition thickness “T1” is in the range of about 20 Å to about 300 Å. The fluidity of the dielectric layer decreases as the deposition progresses and is substantially removed during subsequent curing/plasma treatment steps.

[0025]適切なシリコン含有前駆体は、酸素原子とシリコン原子の比率が0〜約6の有機ケイ素化合物を含みうる。適切な有機ケイ素化合物は、シロキサン化合物、テトラクロロシラン、ジクロロジエトキシシロキサン、クロロトリエトキシシロキサン、ヘキサクロロジシロキサン、及び/又はオクタクロロトリシロキサン等の一又は複数のハロゲン部分(例:フッ化物、塩化物、臭化物、又はヨウ化物)を含むハロゲン化されたシロキサン化合物、及びトリシリルアミン(TSA)、ヘキサメチルジシラザン(HMDS)、シラトラン、テトラキス(ジメチルアミノ)シラン、ビス(ジエチルアミノ)シラン、トリス(ジメチル−アミノ)クロロシラン、及びメチルシラトラン等のアミノシランであってよい。他のシリコン含有前駆体、例えばシラン、ハロゲン化されたシラン、オルガノシラン、及びそれらいずれかの組み合わせも使用可能である。シランは、シラン(SiH)、及び実験式Si(2x+2)を有する高次シラン、例えばジシラン(Si)、トリシラン(Si)、及びテトラシラン(Si10)、又は他の高次シラン、例えばポリクロロシランを含みうる。 [0025] Suitable silicon-containing precursors may include organosilicon compounds having a ratio of oxygen atoms to silicon atoms of 0 to about 6. Suitable organosilicon compounds include one or more halogen moieties such as siloxane compounds, tetrachlorosilanes, dichlorodiethoxysiloxanes, chlorotriethoxysiloxanes, hexachlorodisiloxanes, and/or octachlorotrisiloxanes (eg, fluorides, chlorides). , Bromide, or iodide), and trisilylamine (TSA), hexamethyldisilazane (HMDS), silatrane, tetrakis(dimethylamino)silane, bis(diethylamino)silane, tris(dimethyl) -Amino)chlorosilanes, and aminosilanes such as methylsilatrane. Other silicon-containing precursors, such as silanes, halogenated silanes, organosilanes, and combinations of any of them can also be used. Silanes include silane (SiH 4 ), and higher order silanes having the empirical formula Si x H (2x+2) , such as disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), and tetrasilane (Si 4 H 10 ), Or it may include other higher order silanes, such as polychlorosilanes.

[0026]酸素系ラジカル前駆体は、酸素(O)、オゾン(O)、NO、NO、又はNO等の窒素−酸素化合物、水又は過酸化物等の水素−酸素化合物、一酸化炭素又は二酸化炭素等の炭素−酸素化合物、及び他の酸素含有前駆体、及びそれらいずれかの組み合わせから形成された酸素ラジカルを含みうる。酸素ラジカルを、離れたところで生成し、シリコン含有前駆体と共に導入することが可能である。酸素系ラジカル前駆体は、堆積チャンバに導入する前に、例えばCCP(容量結合プラズマ)又はICP(誘導結合プラズマ)構成を有しうる遠隔プラズマ源を使用して活性化させることができる。 [0026] Oxygen-based radical precursors, oxygen (O 2), ozone (O 3), NO, NO 2, or N 2 O, such as nitrogen in - oxygen compound, hydrogen such as water or peroxide - oxygen compound, It may include carbon-oxygen compounds such as carbon monoxide or carbon dioxide, and other oxygen-containing precursors, and oxygen radicals formed from any combination thereof. Oxygen radicals can be generated remotely and introduced with the silicon-containing precursor. The oxygen-based radical precursor can be activated prior to its introduction into the deposition chamber using a remote plasma source, which can have, for example, a CCP (capacitively coupled plasma) or ICP (inductively coupled plasma) configuration.

[0027]窒素系ラジカル前駆体は、窒素(N)、亜酸化窒素(NO)、一酸化窒素(NO)、二酸化窒素(NO)、アンモニア(NH)、及びそれらいずれかの組み合わせから形成される窒素ラジカルを含みうる。窒素ラジカルを離れたところで生成し、シリコン含有前駆体及び酸素系ラジカル前駆体と共に導入することができる。窒素系ラジカル前駆体は、堆積チャンバに導入する前に、例えばCCP(容量結合プラズマ)又はICP(誘導結合プラズマ)構成を有しうる遠隔プラズマ源を使用して活性化させることができる。 [0027] The nitrogen-based radical precursor is nitrogen (N 2 ), nitrous oxide (N 2 O), nitric oxide (NO), nitrogen dioxide (NO 2 ), ammonia (NH 3 ), or any one of them. It may include nitrogen radicals formed from the combination. The nitrogen radicals can be generated at a remote location and introduced with the silicon-containing precursor and the oxygen-based radical precursor. The nitrogen-based radical precursor can be activated prior to introduction into the deposition chamber using a remote plasma source, which can have, for example, a CCP (capacitively coupled plasma) or ICP (inductively coupled plasma) configuration.

[0028]ある実行形態では、酸素系ラジカル前駆体が第1の体積流量で堆積チャンバの中へ流入され、シリコン含有前駆体が第2の体積流量で堆積チャンバの中へ流入され、第1の体積流量と第2の体積流量の比率は、約0.3:1と約0.9:1、例えば約0.5:1と約0.7:1との間、例えば約0.6:1に制御されうる。 [0028] In some implementations, an oxygen-based radical precursor is flowed into the deposition chamber at a first volumetric flow rate and a silicon-containing precursor is flowed into the deposition chamber at a second volumetric flow rate. The ratio of the volumetric flow rate to the second volumetric flow rate is between about 0.3:1 and about 0.9:1, such as between about 0.5:1 and about 0.7:1, for example about 0.6:. Can be controlled to 1.

[0029]ある実行形態では、窒素系ラジカル前駆体が第1の体積流量で堆積チャンバの中へ流入され、シリコン含有前駆体が第2の体積流量で堆積チャンバの中へ流入され、第1の体積流量と第2の体積流量の比率は、約0.2:1と約0.8:1、例えば約0.4:1と約0.6:1との間、例えば約0.5:1に制御されうる。 [0029] In some implementations, a nitrogen-based radical precursor is flown into the deposition chamber at a first volume flow rate and a silicon-containing precursor is flowed into the deposition chamber at a second volume flow rate to the first volume flow rate. The ratio of the volumetric flow rate to the second volumetric flow rate is between about 0.2:1 and about 0.8:1, such as between about 0.4:1 and about 0.6:1, for example about 0.5:. Can be controlled to 1.

[0030]酸素及び窒素ラジカルの両方を含有するラジカル前駆体が使用される場合は、酸素系ラジカル前駆体、又は窒素系ラジカル前駆体を省くことができると考えられる。 [0030] If a radical precursor containing both oxygen and nitrogen radicals is used, it is believed that the oxygen-based radical precursor or the nitrogen-based radical precursor can be omitted.

[0031]シリコン含有前駆体、酸素系ラジカル前駆体、及び窒素系ラジカル前駆体は、摂氏約150以下、例えば摂氏約100度以下、例えば摂氏約65度の温度で反応しうる。流動性誘電体層の形成中、堆積チャンバのチャンバ圧力は、約0.1トール〜約10トール、例えば約0.5トール〜約6トールに維持されうる。十分に薄い堆積を制御するために、堆積速度は約50オングストローム/秒以下に制御されうる。ある実行形態では、堆積速度は、約5オングストローム/秒以下、例えば約4オングストローム/秒に制御される。堆積速度が遅い(5Å/秒以下)ことで、ある用途においては、ボイドがなく、表面粗さの滑らかな流動性層の形成が可能になるため有利になりうる。 [0031] Silicon-containing precursors, oxygen-based radical precursors, and nitrogen-based radical precursors may react at temperatures of about 150 degrees Celsius or less, such as about 100 degrees Celsius or less, for example about 65 degrees Celsius. During formation of the flowable dielectric layer, the chamber pressure of the deposition chamber can be maintained at about 0.1 Torr to about 10 Torr, such as about 0.5 Torr to about 6 Torr. To control sufficiently thin deposition, the deposition rate can be controlled below about 50 Å/sec. In some implementations, the deposition rate is controlled to about 5 Å/sec or less, for example about 4 Å/sec. A low deposition rate (5 Å/sec or less) may be advantageous in some applications as it allows the formation of void-free, surface-rough, fluid layers.

[0032]ブロック106において、流動性層が規定の堆積厚さ「T1」(例:約20〜300Å)に達したら、シリコン含有前駆体、酸素系ラジカル前駆体、及び窒素系ラジカル前駆体の流れが停止され、図2Cに示すように、硬化チャンバにおいて基板に第1の硬化処理231が行われる。硬化後の流動性層206は高い密度、良好な安定性を呈し、後続のプラズマ処理(ブロック108)において実施される高温に耐えることができる。硬化チャンバは、UV光硬化、熱硬化、マイクロ波硬化、プラズマ硬化、電子ビーム硬化、又は中性(粒子)ビーム硬化等のいずれかの好適な硬化技法を使用しうる。ある実行形態では、硬化処理はオプションであり、省略することが可能である。ある実行形態では、硬化チャンバはUV硬化チャンバである。例示の硬化チャンバは、いずれもカリフォルニア州サンタクララのアプライドマテリアルズ社から市販されているProducer(登録商標)NANOCURETM3 UV硬化チャンバを含みうる。他のメーカーからの他の好適な硬化チャンバを用いて本書に記載の処理を実施することも可能であると考えられる。 [0032] At block 106, flow of the silicon-containing precursor, the oxygen-based radical precursor, and the nitrogen-based radical precursor once the fluidized layer reaches a prescribed deposition thickness "T1" (eg, about 20-300Å). And the substrate is subjected to a first curing process 231 in the curing chamber, as shown in FIG. 2C. The cured fluid layer 206 exhibits a high density, good stability, and can withstand the high temperatures implemented in subsequent plasma treatments (block 108). The curing chamber may use any suitable curing technique such as UV light curing, heat curing, microwave curing, plasma curing, electron beam curing, or neutral (particle) beam curing. In some implementations, the curing process is optional and can be omitted. In some implementations, the cure chamber is a UV cure chamber. Exemplary cure chambers may include a Producer® NANOCURE 3 UV cure chamber, both commercially available from Applied Materials, Inc. of Santa Clara, Calif. It is contemplated that other suitable curing chambers from other manufacturers may be used to carry out the processes described herein.

[0033]硬化処理231は、酸素含有雰囲気、窒素含有雰囲気、及び/又は不活性ガス雰囲気下で実施されうる。酸素含有雰囲気は、分子状酸素(O)、オゾン(O)、水蒸気(HO)、一酸化窒素(NO)、二酸化窒素(NO)、亜酸化窒素(NO)、及びそれらいずれかの組み合わせ等の一又は複数の酸素含有ガスを硬化チャンバの中へ導入することによって作られうる。窒素含有雰囲気は、窒素(N)、アンモニア(NH)、及びそれらいずれかの組み合わせ等の一又は複数の窒素含有ガスを硬化チャンバの中へ導入することによって作られうる。不活性雰囲気は、ヘリウム、アルゴン、水素、クリプトン、キセノン、及びそれらいずれかの組み合わせを硬化チャンバの中へ導入することによって作られうる。必要に応じ、酸素/窒素原子を流動性層206の中に組み込みやすくするために、ラジカル系雰囲気下で、すなわち、酸素含有ガス、窒素含有ガス、又は不活性ガスからのラジカルを使用して硬化処理を実施することが可能である。 [0033] The curing process 231 may be performed under an oxygen-containing atmosphere, a nitrogen-containing atmosphere, and/or an inert gas atmosphere. The oxygen-containing atmosphere includes molecular oxygen (O 2 ), ozone (O 3 ), water vapor (H 2 O), nitric oxide (NO), nitrogen dioxide (NO 2 ), nitrous oxide (N 2 O), and It can be made by introducing one or more oxygen-containing gases, such as any combination thereof, into the cure chamber. Nitrogen-containing atmosphere is nitrogen (N 2), ammonia (NH 3), and can be made by introducing into them any of the cure chamber one or more nitrogen-containing gas such as a combination. The inert atmosphere can be created by introducing helium, argon, hydrogen, krypton, xenon, and any combination thereof into the cure chamber. If desired, curing under radical atmosphere, ie using radicals from oxygen-containing gas, nitrogen-containing gas or inert gas, to facilitate incorporation of oxygen/nitrogen atoms into the fluidized layer 206. It is possible to carry out the treatment.

[0034]流動性層が酸化物である幾つかの実施形態では、硬化処理231は、酸素含有雰囲気下で実施されうる。上記の場合、硬化処理は、酸素挿入及び膜架橋の目的でオゾン雰囲気下で熱又はUVを使用しうる。酸素含有雰囲気は、シリコン含有層でありうる流動性層を酸化ケイ素層に変換させる酸素を提供する。流動性層が窒化物である場合、硬化処理は、窒素含有雰囲気下で実施されうる。上記の場合、硬化処理は、窒素又はアンモニア雰囲気下でUVを使用して流動性層を窒化させ、堆積された層の窒素濃度を増加させうる。いずれの場合でも、硬化処理は、堆積された層の揮発性結合を安定化させ、これにより、プラズマ処理(ブロック108)前に熱的に安定した層を形成する助けとなりうる。通常、長いUV処理の結果、プラズマ処理後の収縮が低減し、膜応力が中立に近くなる。 [0034] In some embodiments where the fluidizable layer is an oxide, the curing process 231 can be performed under an oxygen-containing atmosphere. In the above case, the curing treatment may use heat or UV under an ozone atmosphere for the purpose of oxygen insertion and film crosslinking. The oxygen-containing atmosphere provides oxygen that transforms the fluidizable layer, which may be a silicon-containing layer, into a silicon oxide layer. If the fluid layer is a nitride, the curing process can be performed under a nitrogen-containing atmosphere. In the above case, the curing process may use UV under nitrogen or ammonia atmosphere to nitrid the flowable layer to increase the nitrogen concentration of the deposited layer. In either case, the curing process may stabilize the volatile bonds of the deposited layer, thereby helping to form a thermally stable layer prior to plasma treatment (block 108). Usually, long UV treatment results in reduced shrinkage after plasma treatment and near-neutral film stress.

[0035]一実行形態では、硬化処理231は、UV光硬化技法を使用する。硬化処理により、所望の(反応的又は不活性)雰囲気、温度及び圧力下で熱的に又はUV光子の助けで膜架橋を可能にすることができる。例示のUV光硬化技法は、基板上に光を投射する一又は複数のUV光源から光又は光子エネルギーを供給することを含みうる。これらのUV光源には、UV波長(例:220nm)にピーク強度を有する広い波長スペクトル(UV波長以外を含む)にわたって光を放出するUVランプが含まれうる。UVランプの例には、他の種類のUVランプの中でも、キセノンランプ(ピーク発光波長:172nm)、水銀ランプ(ピーク:243nm)、重水素ランプ(ピーク:140nm)、塩化クリプトン(KrCl)ランプ(ピーク:222nm)が含まれる。更なるUV光源には、流動性層にコヒーレントな狭帯域のUV光を提供するレーザが含まれうる。レーザ光源には、エキシマレーザ(例:XeCl、KrF、F等、エキシマレーザ)及び/又は固体レーザ(例:Nd−YAGレーザ)の適切な高調波が含まれうる。UV光源は、ダイオードUV光源も含みうる。 [0035] In one implementation, the curing process 231 uses UV light curing techniques. The curing treatment can allow film cross-linking under the desired (reactive or inert) atmosphere, temperature and pressure, either thermally or with the aid of UV photons. Illustrative UV light curing techniques may include providing light or photon energy from one or more UV light sources that project light onto a substrate. These UV light sources may include UV lamps that emit light over a broad wavelength spectrum (including other than UV wavelengths) having a peak intensity at UV wavelengths (eg 220 nm). Examples of UV lamps include xenon lamps (peak emission wavelength: 172 nm), mercury lamps (peak: 243 nm), deuterium lamps (peak: 140 nm), krypton chloride (KrCl 2 ) lamps, among other types of UV lamps. (Peak: 222 nm) is included. Additional UV light sources can include lasers that provide narrow band UV light that is coherent to the fluidized layer. The laser light source may include suitable harmonics of an excimer laser (eg XeCl, KrF, F 2, etc., excimer laser) and/or a solid state laser (eg Nd-YAG laser). The UV light source may also include a diode UV light source.

[0036]硬化処理231の間に、流動性層206は約10秒〜約60分の間硬化され、これは用途によって変わりうる。硬化チャンバの圧力は、約1トールから約600トールまでの範囲、例えば約10トール〜150トールであってよい。硬化温度は、摂氏約5度から摂氏約1100度の範囲、例えば摂氏約10度、摂氏約25度、摂氏約50度、摂氏約100度、摂氏約200度、摂氏約300度、摂氏約400度、摂氏約500度、摂氏約600度、摂氏約700度、摂氏約800度、摂氏約900度、摂氏約1000度であってよい。一例において、硬化処理は、約100秒の間、摂氏約350度の温度及び約500トールのオゾン雰囲気下で実施される熱硬化処理である。 [0036] During the curing process 231, the fluidizable layer 206 is cured for about 10 seconds to about 60 minutes, which may vary depending on the application. The pressure in the cure chamber may range from about 1 Torr to about 600 Torr, such as about 10 Torr to 150 Torr. Curing temperatures range from about 5 degrees Celsius to about 1100 degrees Celsius, such as about 10 degrees Celsius, about 25 degrees Celsius, about 50 degrees Celsius, about 100 degrees Celsius, about 200 degrees Celsius, about 300 degrees Celsius, about 400 degrees Celsius. Degrees, about 500 degrees Celsius, about 600 degrees Celsius, about 700 degrees Celsius, about 800 degrees Celsius, about 900 degrees Celsius, about 1000 degrees Celsius. In one example, the curing process is a heat curing process performed at a temperature of about 350 degrees Celsius and an ozone atmosphere of about 500 Torr for about 100 seconds.

[0037]熱硬化が適合される幾つかの場合では、硬化処理は、硬化温度及び圧力に依存して、流動性層206が堆積される堆積チャンバにおいてインシトゥで実施されうる、又はプラズマ処理が実施されるプラズマチャンバ(ブロック108)において実施されうる。 [0037] In some cases where thermal curing is adapted, the curing process may be performed in situ in the deposition chamber where the fluidized layer 206 is deposited, or a plasma treatment may be performed, depending on the curing temperature and pressure. Can be performed in a plasma chamber (block 108).

[0038]ブロック108において、堆積処理が完了した後(又は実施される場合はオプションの硬化処理後)に、図2Dに示すように、基板200上に形成された誘電体層を更に硬化させるために、プラズマチャンバにおいて基板200に第2の硬化処理233が行われる。一実施形態では、第2の硬化処理233は、プラズマ処理である。プラズマチャンバは、プラズマ又はプラズマ支援技術を使用するいずれかの好適なチャンバであってよい。プラズマチャンバは、高温において高密度プラズマを発生させ、高密度プラズマからのイオンを衝突させて、硬化処理する層(ブロック106)又は流動性誘電体層(ブロック104、硬化処理が実施されない場合)を高密度化し更に硬化させる。 [0038] At block 108, to further cure the dielectric layer formed on the substrate 200, as shown in FIG. 2D, after the deposition process is complete (or after an optional cure process, if implemented). Then, the substrate 200 is subjected to a second curing process 233 in the plasma chamber. In one embodiment, the second curing process 233 is a plasma process. The plasma chamber may be any suitable chamber that uses plasma or plasma assisted technology. The plasma chamber generates a high density plasma at high temperature and bombards the ions from the high density plasma to a layer to be cured (block 106) or a flowable dielectric layer (block 104, if no curing is performed). Higher density and further hardening.

[0039]材料によっては、プラズマ処理は、酸素含有雰囲気(硬化処理する層又は流動性誘電体層が酸化物である場合)、又は窒素含有雰囲気(硬化処理する層又は流動性誘電体層が窒化物である場合)下で実施されうる。酸素含有雰囲気は、分子状酸素(O)、オゾン(O)、水蒸気(HO)、一酸化窒素(NO)、二酸化窒素(NO)、亜酸化窒素(NO)、及びそれらいずれかの組み合わせ等の一又は複数の酸素含有ガスをプラズマチャンバの中へ導入することによって作られうる。窒素含有雰囲気は、窒素(N)、アンモニア(NH)、及びそれらいずれかの組み合わせ等の一又は複数の窒素含有ガスをプラズマチャンバの中へ導入することによって作られうる。いずれの場合にも、プラズマチャンバの中へアルゴン、水素、又はヘリウム等の不活性ガスが導入されうる。例えば、硬化処理する層又は流動性誘電体層が酸化物である場合、プラズマ処理は、酸素/ヘリウム雰囲気、酸素/アルゴン雰囲気、又は酸素/水素雰囲気下で実施されうる。硬化処理する層又は流動性誘電体層が窒化物である場合、プラズマ処理は、窒素/アンモニア雰囲気、窒素/水素雰囲気、又は窒素/ヘリウム雰囲気下で実施されうる。 [0039] Depending on the material, the plasma treatment may include an oxygen-containing atmosphere (when the layer to be cured or the fluid dielectric layer is an oxide) or a nitrogen-containing atmosphere (where the layer to be cured or the fluid dielectric layer is nitrided). If it is a product), it can be carried out under. The oxygen-containing atmosphere includes molecular oxygen (O 2 ), ozone (O 3 ), water vapor (H 2 O), nitric oxide (NO), nitrogen dioxide (NO 2 ), nitrous oxide (N 2 O), and It can be created by introducing one or more oxygen-containing gases, such as any combination thereof, into the plasma chamber. The nitrogen-containing atmosphere can be created by introducing one or more nitrogen-containing gases such as nitrogen (N 2 ), ammonia (NH 3 ), and any combination thereof into the plasma chamber. In either case, an inert gas such as argon, hydrogen, or helium may be introduced into the plasma chamber. For example, when the layer to be cured or the fluid dielectric layer is an oxide, the plasma treatment can be performed under an oxygen/helium atmosphere, an oxygen/argon atmosphere, or an oxygen/hydrogen atmosphere. When the layer to be cured or the fluid dielectric layer is a nitride, the plasma treatment can be performed under a nitrogen/ammonia atmosphere, a nitrogen/hydrogen atmosphere, or a nitrogen/helium atmosphere.

[0040]ある実行形態では、プラズマ処理はラジカル系処理であってよい。例えば、酸素含有雰囲気は、離れたところで生成され、プラズマチャンバの中に搬送されうるラジカル酸素核種及び/又はラジカルヒドロキシル種であってよい、又は更にそれらを含みうる。同様に、窒素含有雰囲気は、離れたところで生成され、プラズマチャンバの中に搬送されうるラジカル窒素核種であってよい、又は更にそれらを含みうる。ラジカルを使用するプラズマ処理は、高圧力(例:1トール以上、例えば約10〜40トール)下で、及び/又はパルスRF電力波形を用いて実施されうる。例えば、プラズマ処理は、標準モード(すなわち、同じRF周波数を使用し、コイルアンテナを通って流れる電流が同位相である)で動作するパルスソース電力を使用する誘導結合プラズマであってよい。 [0040] In some implementations, the plasma treatment can be a radical-based treatment. For example, the oxygen-containing atmosphere may be, or may further include, radical oxygen nuclides and/or radical hydroxyl species that may be generated remotely and transported into the plasma chamber. Similarly, the nitrogen-containing atmosphere may be, or further include, radical nitrogen nuclides that are created remotely and can be transported into the plasma chamber. Plasma treatment using radicals can be performed under high pressure (eg, 1 Torr or higher, eg, about 10-40 Torr) and/or with a pulsed RF power waveform. For example, the plasma treatment may be an inductively coupled plasma using pulsed source power operating in standard mode (ie, using the same RF frequency and the currents flowing through the coil antenna are in phase).

[0041]プラズマ処理の間、硬化処理する層又は流動性誘電体層は更に、プラズマチャンバにおいて存在する酸素又は窒素雰囲気に起因して、酸化物又は窒化物に変換される。Si−N結合エネルギー(355KJ/mol)とN−H結合エネルギー(386KJ/mol)はSi−O結合エネルギー(452KJ/mol)よりも低いために、酸素雰囲気により、硬化処理する層又は流動性誘電体層のSi−N結合又はN−H結合のSi−O結合への置換が促される。したがって、プラズマ処理が酸素含有雰囲気下で実施される場合、硬化処理する層又は流動性誘電体層(Si−NH−Siバックボーンを有する)は更に、酸化ケイ素層に変換される。プラズマ処理が窒素含有雰囲気下で実施される場合、硬化処理する層又は流動性誘電体層(Si−NH−Siバックボーンを有する)は更に、窒化ケイ素層に変換される。したがって、プラズマ処理は、1つの工程に物質の変換と高密度化を組み合わせたものであり、従来、FCVD膜を形成する硬化処理後に層の中に更に酸素又は窒素原子を組み込むために通常実施される長時間の熱アニール処理は必要ない。また、高密度プラズマにより、熱アニールに比べて低いサーマルバジェットも可能になりうる。この結果、製造処理の全体的なサーマルバジェットが削減される。 [0041] During plasma processing, the layer to be cured or the flowable dielectric layer is further converted to oxides or nitrides due to the oxygen or nitrogen atmosphere present in the plasma chamber. Since the Si—N bond energy (355 KJ/mol) and the N—H bond energy (386 KJ/mol) are lower than the Si—O bond energy (452 KJ/mol), a layer or a fluid dielectric that is cured by an oxygen atmosphere is used. Substitution of Si—N bond or N—H bond into Si—O bond of the body layer is promoted. Therefore, when the plasma treatment is carried out in an oxygen-containing atmosphere, the layer to be hardened or the flowable dielectric layer (with Si-NH-Si backbone) is further converted into a silicon oxide layer. If the plasma treatment is carried out under a nitrogen-containing atmosphere, the layer to be cured or the flowable dielectric layer (with Si-NH-Si backbone) is further converted into a silicon nitride layer. Therefore, plasma treatment is a combination of material conversion and densification in one step and is conventionally performed conventionally to incorporate more oxygen or nitrogen atoms into the layer after the curing treatment to form the FCVD film. No long-term thermal annealing is required. The high density plasma may also allow a lower thermal budget than thermal annealing. As a result, the overall thermal budget of the manufacturing process is reduced.

[0042]ある実行形態では、プラズマ処理は、酸素/ヘリウム雰囲気、酸素/アルゴン雰囲気、又は酸素/水素雰囲気(硬化処理する層又は流動性誘電体層が酸化物である場合)を使用する第1のプラズマ処理工程と、ヘリウム等の不活性ガス雰囲気を使用する第2のプラズマ処理工程を含む2つの工程処理である。硬化処理する層又は流動性誘電体層が窒化物である場合、プラズマ処理は、窒素/アンモニア雰囲気、窒素/水素雰囲気、又は窒素/ヘリウム雰囲気を使用する第1のプラズマ処理工程と、ヘリウム等の不活性環境を使用する第2のプラズマ処理工程とを含みうる。不活性環境(例:ヘリウム)下でのプラズマ処理は、高エネルギーイオンによる衝突により膜の既存の結合が外れて再構成が起き、膜応力が解放され、密度の高い網状組織が形成されうるため、膜の高密度化に効果的である。 [0042] In some implementations, the plasma treatment uses a first oxygen/helium atmosphere, an oxygen/argon atmosphere, or an oxygen/hydrogen atmosphere (where the layer to be cured or the fluid dielectric layer is an oxide). And the second plasma treatment step using an inert gas atmosphere such as helium. When the layer to be cured or the fluid dielectric layer is a nitride, the plasma treatment includes a first plasma treatment step using a nitrogen/ammonia atmosphere, a nitrogen/hydrogen atmosphere, or a nitrogen/helium atmosphere, and a helium or the like. A second plasma treatment step using an inert environment. Plasma treatment under an inert environment (eg, helium) can cause existing bonds in the film to be broken and reconstituted by collisions with high-energy ions, releasing the film stress and forming a dense network. , Effective for increasing the density of the film.

[0043]プラズマチャンバは、プラズマ源発生装置への電源入力及び基板バイアス装置への電源入力に対して別々の制御を有するいずれかの好適なプラズマリアクタであってよい。一実行形態では、プラズマチャンバは、誘導結合プラズマ(ICP)チャンバである。上記の場合、プラズマチャンバは、プラズマ密度(ソース電力)を決定する誘導結合RF電力の供給を制御するプラズマ源コントローラと、基板表面にバイアス電圧(バイアス電力)を発生させるために使用されるRF電力又はDC電力の供給を制御するバイアスコントローラとを有しうる。このバイアス電圧は、処理領域で形成されたプラズマからのイオンを基板200に引き付けるために使用される。このバイアス電圧を使用して、硬化処理する層(又は、硬化処理が実施されない場合は流動性誘電体層)へのイオン核種の衝突エネルギーを制御することができる。ソース電力及び圧力は、イオン化を制御する調整つまみ(knob)である。バイアス電力は、膜処理の深さ制御においてイオンエネルギーを調整するための追加の調整つまみを提供する。バイアス電力に加えて、低い圧力(例:約5ミリトール未満)により、長い平均自由路と、深いトレンチ層の処理が可能になる。ある好適なプラズマチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社から市販されているCentura(登録商標)AdvantedgeTM MesaTMエッチングチャンバである。 [0043] The plasma chamber may be any suitable plasma reactor having separate control over the power input to the plasma source generator and the power input to the substrate biasing device. In one implementation, the plasma chamber is an inductively coupled plasma (ICP) chamber. In the above case, the plasma chamber controls the supply of inductively coupled RF power that determines the plasma density (source power), and the RF power used to generate the bias voltage (bias power) at the substrate surface. Or a bias controller that controls the supply of DC power. This bias voltage is used to attract ions from the plasma formed in the processing region to the substrate 200. This bias voltage can be used to control the impact energy of the ionic nuclide on the layer to be cured (or the fluid dielectric layer if no curing is performed). Source power and pressure are adjusting knobs (knobs) that control ionization. The bias power provides an additional tuning knob for tuning the ion energy in the depth control of the film process. The low power (eg, less than about 5 mTorr) in addition to the bias power allows for long mean free path and deep trench layer processing. One suitable plasma chamber is the Centura® Advanced Mesa etching chamber available from Applied Materials, Inc. of Santa Clara, Calif.

[0044]本開示においてプラズマを形成するための一例としてICPチャンバが使用されているが、容量結合プラズマ(CCP)源、分離されたプラズマ源(DPS)、マグネトロンプラズマ源、電子サイクロトロン共鳴(ECR)源、又はマイクロ波プラズマ源等の他のプラズマ源も使用可能であると考えられる。 [0044] Although an ICP chamber is used in this disclosure as an example to form a plasma, a capacitively coupled plasma (CCP) source, a separated plasma source (DPS), a magnetron plasma source, an electron cyclotron resonance (ECR) Sources, or other plasma sources such as microwave plasma sources, could be used.

[0045]ICPチャンバが使用される場合、プラズマ処理を実施するために以下のチャンバ処理パラメータが使用されうる。これらのパラメータは、上述したように、硬化処理する層(ブロック106)又は流動性誘電体層(ブロック104)を処理するために使用されうる。様々な実行形態では、硬化処理する層は、酸化物又は窒化物である。チャンバの圧力は、約1ミリトール(mTorr)〜約10トール、例えば約2ミリトール〜約1トール、例えば約5ミリトール〜約88ミリトールであってよい。ソース電力は、約50ワット(W)〜約650W、例えば約100W〜約500W、例えば約250W〜約450Wであってよい。ソース電力は、約30MHz〜約60MHzの高周波(RF)帯域で印加されうる。ICPチャンバの基板支持体に供給されるバイアス電力は、約10W〜約450W、例えば約50W〜約300W、例えば100W〜約200Wであってよい。バイアス電力は、約10MHz〜約30MHzの高周波(RF)帯域で印加されうる。基板温度は、摂氏約550度以下、例えば摂氏約300度〜摂氏約500度、例えば摂氏約350度であってよい。第1のガス(例:酸素含有ガス又は窒素含有ガス)のガス流は、約60sccm〜約5000sccm、例えば約100sccm〜約2200sccm、例えば約300sccm〜約1000sccmであってよい。第2のガス(例:不活性ガス)のガス流は、約5sccm〜約250sccm、例えば約10sccm〜約150sccm、例えば約20sccm〜約100sccmであってよい。処理時間は、約10秒〜約120秒、例えば約30秒〜約90秒、例えば約45秒〜約60秒であってよい。本書に記載の処理パラメータは、300mm基板に基づくものである。これらの処理パラメータは、硬化処理する層(ブロック106)又は流動性誘電体層(ブロック104)の厚さ、トレンチ204のサイズ、基板200のサイズ、プラズマチャンバの性能、及び用途等によって変化しうると考えられる。 [0045] If an ICP chamber is used, the following chamber processing parameters may be used to perform the plasma processing. These parameters can be used to treat the layer to be cured (block 106) or the flowable dielectric layer (block 104), as described above. In various implementations, the cured layer is an oxide or nitride. The chamber pressure may be from about 1 milliTorr (mTorr) to about 10 Torr, for example about 2 milliTorr to about 1 Torr, for example about 5 milliTorr to about 88 milliTorr. Source power may be from about 50 watts (W) to about 650 W, such as from about 100 W to about 500 W, such as from about 250 W to about 450 W. Source power may be applied in the radio frequency (RF) band from about 30 MHz to about 60 MHz. The bias power supplied to the substrate support of the ICP chamber may be about 10W to about 450W, such as about 50W to about 300W, for example 100W to about 200W. Bias power may be applied in the radio frequency (RF) band from about 10 MHz to about 30 MHz. The substrate temperature may be about 550 degrees Celsius or less, such as about 300 degrees Celsius to about 500 degrees Celsius, for example about 350 degrees Celsius. The gas flow of the first gas (eg, an oxygen-containing gas or a nitrogen-containing gas) may be about 60 seem to about 5000 seem, such as about 100 seem to about 2200 seem, such as about 300 seem to about 1000 seem. The gas flow of the second gas (eg, an inert gas) may be about 5 seem to about 250 seem, such as about 10 seem to about 150 seem, such as about 20 seem to about 100 seem. The processing time may be about 10 seconds to about 120 seconds, such as about 30 seconds to about 90 seconds, such as about 45 seconds to about 60 seconds. The processing parameters described herein are based on a 300 mm substrate. These processing parameters may vary depending on the thickness of the layer to be cured (block 106) or the flowable dielectric layer (block 104), the size of the trench 204, the size of the substrate 200, the performance of the plasma chamber, the application, etc. it is conceivable that.

[0046]ブロック108の後に、図2Eに示すように、堆積された誘電体層(すなわち、硬化及び/又は処理された流動性層206)が目標の高さ「T2」に達したか否かの決定110がなされる。堆積された誘電体層の目標の高さ「T2」は、トレンチ204の底面207から堆積された誘電体層の上面211までを測って約500オングストローム〜約8000オングストローム、例えば約1000オングストローム〜約6000オングストロームであってよい。目標の高さ「T2」に達していない場合、硬化/プラズマ処理された層の厚さを再び目的の厚さと比較する前に、堆積/硬化/プラズマ処理(例:ブロック104〜108)のサイクルがもう一度実施されうる。堆積された誘電体層がターゲットの高さ「T2」に達するまで、ブロック104、106、及び108の処理が繰り返されうる。 [0046] After block 108, whether the deposited dielectric layer (ie, the cured and/or treated fluid layer 206) has reached the target height "T2", as shown in FIG. 2E. Decision 110 is made. The target height “T2” of the deposited dielectric layer is about 500 Å to about 8000 Å, for example about 1000 Å to about 6000, measured from the bottom surface 207 of the trench 204 to the top surface 211 of the deposited dielectric layer. It may be Angstrom. If the target height “T2” has not been reached, a cycle of deposition/curing/plasma treatment (eg blocks 104-108) before again comparing the thickness of the cured/plasma treated layer with the desired thickness. Can be carried out again. The process of blocks 104, 106 and 108 may be repeated until the deposited dielectric layer reaches the target height "T2".

[0047]目標の高さ「T2」に達したら、図2Cに示すように、堆積された誘電体層は、化学機械平坦化法(CMP)等によって平坦化されて、フィン202の上面208と堆積された誘電体層の上面210は、同一平面となる。次に、許容可能なエッチング処理等を使用することにより基板200に凹部が形成され、フィン202の上部213が現れうる又は露出しうる。プラズマ処理(ブロック108)が行われる同じプラズマチャンバにおいてエッチング処理が実施されうる。その後基板200は、プラズマチャンバからロードロックチャンバへ、そして次に一又は複数の前方開口型統一ポッド(FOUP)へ移送され、前方開口型統一ポッド(FOUP)において、集積回路チップを製造するのに要しうる置換ゲートの形成、エピタキシャル堆積、洗浄、アニーリング、熱、化学気相堆積、酸化又は窒化処理等の下流処理のために、他の処理システムへ移送される。 [0047] Once the target height "T2" is reached, the deposited dielectric layer is planarized by chemical mechanical planarization (CMP) or the like, as shown in FIG. The top surface 210 of the deposited dielectric layer is coplanar. Next, a recess is formed in the substrate 200, such as by using an acceptable etching process, and the tops 213 of the fins 202 may be exposed or exposed. The etching process may be performed in the same plasma chamber in which the plasma process (block 108) is performed. Substrate 200 is then transferred from the plasma chamber to the load lock chamber and then to one or more front opening unified pods (FOUPs) for manufacturing integrated circuit chips in the front opening unified pods (FOUPs). Transferred to another processing system for downstream processing, such as optional replacement gate formation, epitaxial deposition, cleaning, annealing, thermal, chemical vapor deposition, oxidation or nitridation.

[0048]図3は、本開示の実行形態に係る、図1に記載の処理シーケンスを実施するために使用されうる処理システム300の概略上面図である。処理システム300の一例は、カリフォルニア州サンタクララのアプライドマテリアルズ社から市販されているPRODUCER(登録商標)又はCENTRISTMシステムである。処理システム300は、真空気密処理プラットフォーム302と、ファクトリインターフェース304とを含む。プラットフォーム202は、真空基板移送チャンバ312に連結された複数の処理チャンバ306a〜b、308a〜b、310a〜bと、真空基板移送チャンバ312とファクトリインターフェース304との間に配置され、それらに連結されたロードロックチャンバ314とを含む。 [0048] FIG. 3 is a schematic top view of a processing system 300 that may be used to implement the processing sequences described in FIG. 1, according to implementations of the present disclosure. One example of processing system 300 is the PRODUCER® or CENTRIS system commercially available from Applied Materials, Inc. of Santa Clara, Calif. The processing system 300 includes a vacuum tight processing platform 302 and a factory interface 304. The platform 202 is disposed between and connected to the plurality of processing chambers 306a-b, 308a-b, 310a-b connected to the vacuum substrate transfer chamber 312 and between the vacuum substrate transfer chamber 312 and the factory interface 304. Load lock chamber 314.

[0049]ファクトリインターフェース304は、基板の移送を容易にする少なくとも1つのファクトリインターフェースロボット316、318を含む。ファクトリインターフェース304は、一又は複数の前方開口型統一ポッド(FOUP)320を受け入れるように構成される。一例では、3つのFOUPが適合されている。ファクトリインターフェースロボット316、318は、ファクトリインターフェース304から処理プラットフォーム302へ基板(例:ブロック102に記載の基板)を移送し、処理プラットフォーム302において少なくとも1つの移送ロボット322がファクトリインターフェースロボット316、318から基板を受け取って、次に、処理チャンバ306a〜b、308a〜b、310a〜bのいずれかへそれらを移送する。一実行形態では、処理チャンバ306a〜bは、ブロック104に記載の処理を実施するために使用されうる堆積チャンバである。処理チャンバ308a〜bは、ブロック106に記載の処理を実施するために使用されうる硬化チャンバである。処理チャンバ310a〜bは、ブロック108に記載の処理及びフィンを露出させる処理を実施するために使用されうるプラズマチャンバである。処理が完了すると、基板は移送ロボット322によってロードロックチャンバ314へ移送される。次に、ファクトリインターフェースロボット314、316はロードロックチャンバ314から基板を受け取って、それらを再びFOUP320へ搬送する。 [0049] Factory interface 304 includes at least one factory interface robot 316, 318 that facilitates transfer of substrates. The factory interface 304 is configured to receive one or more front opening unified pods (FOUPs) 320. In one example, three FOUPs are adapted. The factory interface robots 316, 318 transfer substrates (eg, substrates described in block 102) from the factory interface 304 to the processing platform 302, where at least one transfer robot 322 in the processing platform 302 transfers the substrates from the factory interface robots 316, 318. And then transfer them to any of the processing chambers 306a-b, 308a-b, 310a-b. In one implementation, process chambers 306a-b are deposition chambers that can be used to perform the process described in block 104. Process chambers 308a-b are curing chambers that may be used to perform the process described in block 106. The processing chambers 310a-b are plasma chambers that may be used to perform the process described in block 108 and the fin exposing process. Upon completion of processing, the substrate is transferred by the transfer robot 322 to the load lock chamber 314. The factory interface robots 314, 316 then receive the substrates from the load lock chamber 314 and transfer them back to the FOUP 320.

[0050]図4は、本開示の実行形態に係る、図1に示す処理シーケンスの処理を実施するために使用されうる処理システム400の概略上面図である。ある例示の実行形態では、処理システム400は、図1に示す特定の処理(例:ブロック102、104及び108)を実施するために使用されるハイボリューム製造(HVM)システムである。処理システム400は、真空気密処理プラットフォーム402と、ファクトリインターフェース404とを含む。プラットフォーム402は、それぞれ第1の真空基板移送チャンバ412と第2の真空基板移送チャンバ413とに連結された複数の処理チャンバ406a〜d、408a〜fと、第1の真空基板移送チャンバ412と第2の真空基板移送チャンバ413との間に配置された冷却ステーション415と、第1の真空基板移送チャンバ412とファクトリインターフェース404との間に配置され、それらに連結されたロードロックチャンバ414とを含む。 [0050] FIG. 4 is a schematic top view of a processing system 400 that may be used to implement the processing of the processing sequence shown in FIG. 1, according to an embodiment of the present disclosure. In one exemplary implementation, processing system 400 is a high volume manufacturing (HVM) system used to perform the particular processes (eg, blocks 102, 104 and 108) shown in FIG. The processing system 400 includes a vacuum-tight processing platform 402 and a factory interface 404. The platform 402 includes a plurality of processing chambers 406a-d, 408a-f connected to a first vacuum substrate transfer chamber 412 and a second vacuum substrate transfer chamber 413, respectively, and a first vacuum substrate transfer chamber 412 and a first vacuum substrate transfer chamber 412. A cooling station 415 disposed between the two vacuum substrate transfer chambers 413 and a load lock chamber 414 disposed between and coupled to the first vacuum substrate transfer chamber 412 and the factory interface 404. ..

[0051]ファクトリインターフェース404は、基板の移送を容易にする少なくとも1つのファクトリインターフェースロボット416、418を含む。ファクトリインターフェース404は、一又は複数の前方開口型統一ポッド(FOUP)420を受け入れるように構成される。一例では、4つのFOUPが適合されている。ファクトリインターフェースロボット416、418は、ファクトリインターフェース404から処理プラットフォーム402へ基板(例:ブロック102に記載の基板)を移送する。第1の真空基板移送チャンバ412の少なくとも1つの移送ロボット422は、ファクトリインターフェースロボット416、418から基板を受け取り、次に処理チャンバ406a〜dのいずれかへそれらを移送する。一実行形態では、処理チャンバ406a〜dはブロック108に記載の処理を実施するために使用されうるプラズマチャンバである。第1の真空基板移送チャンバ412と第2の真空基板移送チャンバ413との間で基板を移送するために、冷却ステーション415にオプションの移送ロボット417が配置されうる。第2の真空基板移送チャンバ413の少なくとも1つの移送ロボット419は冷却ステーション415から基板を受け取り、次に、処理チャンバ408a〜fのいずれかへそれらを移送する。代替的に、移送ロボット417を省略することができ、移送ロボット417、422を協働させて、第1の真空基板移送チャンバ412と第2の真空基板移送チャンバ413との間で基板を移送することができる。一実行形態では、処理チャンバ408a〜fは、ブロック104に記載の処理を実施するために使用されうる堆積チャンバである。堆積された誘電体層が目標の高さに達するまで、堆積チャンバ(すなわち、処理チャンバ408a〜f)とプラズマチャンバ(すなわち、処理チャンバ406a〜d)との間で基板が移送されうる。処理が完了すると、基板はロードロックチャンバ414へ移送される。次にファクトリインターフェースロボット414、416がロードロックチャンバ414から基板を受け取って、FOUP420へそれらを再び搬送する。 [0051] Factory interface 404 includes at least one factory interface robot 416, 418 that facilitates transfer of substrates. Factory interface 404 is configured to receive one or more front opening unified pods (FOUPs) 420. In one example, four FOUPs are adapted. Factory interface robots 416, 418 transfer substrates (eg, substrates described in block 102) from factory interface 404 to processing platform 402. At least one transfer robot 422 of the first vacuum substrate transfer chamber 412 receives substrates from the factory interface robots 416, 418 and then transfers them to any of the processing chambers 406a-d. In one implementation, the processing chambers 406a-d are plasma chambers that can be used to perform the processing described in block 108. An optional transfer robot 417 may be located at the cooling station 415 to transfer substrates between the first vacuum substrate transfer chamber 412 and the second vacuum substrate transfer chamber 413. At least one transfer robot 419 in the second vacuum substrate transfer chamber 413 receives the substrates from the cooling station 415 and then transfers them to any of the processing chambers 408a-f. Alternatively, the transfer robot 417 can be omitted and the transfer robots 417, 422 cooperate to transfer the substrate between the first vacuum substrate transfer chamber 412 and the second vacuum substrate transfer chamber 413. be able to. In one implementation, process chambers 408a-f are deposition chambers that may be used to perform the process described in block 104. The substrate can be transferred between the deposition chamber (ie, processing chambers 408a-f) and the plasma chamber (ie, processing chambers 406a-d) until the deposited dielectric layer reaches the target height. Upon completion of processing, the substrate is transferred to the load lock chamber 414. The factory interface robots 414, 416 then receive the substrates from the load lock chamber 414 and transfer them back to the FOUP 420.

[0052]概括すれば、本書に記載の実行形態は、流動性誘電体層を用いて基板にトレンチを形成し、充填するための方法に関するものである。本方法は、誘電体層をターゲット層の組成に変換し、高密度化するために、酸素含有/不活性ガス又は窒素含有/不活性ガス雰囲気下で高密度の誘導結合プラズマからのイオンを流動性誘電体層に衝突させることを含む。膜架橋と、酸素/窒素原子の流動性誘電体層への組み込みを助けるために、流動性堆積とプラズマ処理との間に硬化処理を挿入してもよい。これらの処理は、所望の厚さに達するまでサイクルとして実施される。サイクル処理により、トレンチの側壁への堆積を最小限に抑えながら、トレンチ底部の誘電体層の安定した良好な品質が可能になる。 [0052] In general, the embodiments described herein relate to methods for forming and filling trenches in a substrate using a flowable dielectric layer. The method flows ions from a high density inductively coupled plasma under an oxygen-containing/inert gas or nitrogen-containing/inert gas atmosphere to convert the dielectric layer to the composition of the target layer and densify it. Impacting the dielectric layer. A curing treatment may be inserted between the fluid deposition and the plasma treatment to aid in film cross-linking and incorporation of oxygen/nitrogen atoms into the fluid dielectric layer. These treatments are carried out as a cycle until the desired thickness is reached. Cycling allows stable and good quality of the dielectric layer at the bottom of the trench while minimizing deposition on the trench sidewalls.

[0053]以上の記述は本開示の実行形態を対象としているが、本開示の基本的な範囲から逸脱することなく本開示の他の実行形態及び更なる実行形態が考案されてよく、本開示の範囲は、下記の特許請求の範囲によって決定される。 [0053] Although the above description is directed to implementations of the disclosure, other and further implementations of the disclosure may be devised without departing from the basic scope of the disclosure. The scope of the is determined by the claims below.

Claims (15)

基板を処理する方法であって、
規定の堆積厚さに達するまで、シリコン含有誘電体層である流動性層をトレンチの底面及び側壁面の上にボトムアップで形成するために、少なくとも1つのトレンチを有する基板に堆積処理を行うことと、
前記流動性層に、UV硬化処理である第1の硬化処理を行うことと、
次いで、UV硬化された前記流動性層に、プラズマ処理又はプラズマ支援処理である第2の硬化処理を行うことと、
プラズマ硬化された前記流動性層が、前記トレンチに充填され、前記トレンチの上面を超える規定の高さに達するまで、前記堆積処理と前記第1の硬化処理と前記第2の硬化処理とを連続的に繰り返し実施することと
を含む方法。
A method of processing a substrate, the method comprising:
Subjecting a substrate having at least one trench to a bottom-up formation of a fluid layer, a silicon-containing dielectric layer, on the bottom and sidewall surfaces of the trench until a prescribed deposition thickness is reached. When,
Performing a first curing treatment, which is a UV curing treatment, on the fluid layer;
Then, subjecting the UV-cured fluidized layer to a second curing treatment which is a plasma treatment or a plasma-assisted treatment;
The deposition process, the first curing process, and the second curing process are continuously performed until the plasma-cured fluidized layer fills the trench and reaches a specified height above the upper surface of the trench. Repetitively performing.
前記第1の硬化処理が、酸素、窒素、又は不活性ガスを含むラジカル系雰囲気下で実施される、請求項1に記載の方法。 The method according to claim 1, wherein the first curing treatment is performed under a radical-based atmosphere containing oxygen, nitrogen, or an inert gas. 前記第2の硬化処理が、酸素/ヘリウム雰囲気、酸素/アルゴン雰囲気、又は酸素/水素雰囲気下で実施される、請求項1に記載の方法。 The method according to claim 1, wherein the second curing treatment is performed in an oxygen/helium atmosphere, an oxygen/argon atmosphere, or an oxygen/hydrogen atmosphere. 前記第2の硬化処理が、ラジカル系雰囲気下で実施される、請求項3に記載の方法。 The method according to claim 3, wherein the second curing treatment is performed in a radical-based atmosphere. 前記流動性層が、約5オングストローム/秒以下の堆積速度で堆積される、請求項1に記載の方法。 The method of claim 1, wherein the fluidizable layer is deposited at a deposition rate of about 5 Å/sec or less. 基板を処理する方法であって、
シリコン含有前駆体を酸素系ラジカル前駆体と窒素系ラジカル前駆体とに反応させて、基板のトレンチ内に流動性層を形成することによって、堆積処理を実施することと、
プラズマチャンバで前記流動性層を硬化させることであって、第2の処理チャンバが酸素含有雰囲気又は窒素含有雰囲気を有する、前記流動性層を硬化させることと、
硬化された前記流動性層が、前記トレンチに充填され、前記トレンチの上面を超える規定の高さに達するまで、前記堆積処理と前記硬化処理とを連続的に繰り返し実施することと
を含む方法。
A method of processing a substrate, the method comprising:
Performing a deposition process by reacting a silicon-containing precursor with an oxygen-based radical precursor and a nitrogen-based radical precursor to form a fluidized layer within a trench in the substrate;
Curing the fluid layer in a plasma chamber, wherein the second processing chamber has an oxygen-containing atmosphere or a nitrogen-containing atmosphere, and curing the fluid layer;
Filling the trench with the cured fluidized layer and continuously repeating the deposition process and the curing process until reaching a defined height above the upper surface of the trench.
前記流動性層が、SiC、SiO、SiCN、SiO、SiOC、SiOCN、SiON、又はSiNである、請求項6に記載の方法。 The flowable layer, SiC, SiO, SiCN, SiO 2, SiOC, SiOCN, SiON, or SiN, The method of claim 6. 前記シリコン含有前駆体が、シロキサン化合物、又は一若しくは複数のハロゲン部分を含むハロゲン化されたシロキサン化合物を含む、請求項6に記載の方法。 7. The method of claim 6, wherein the silicon-containing precursor comprises a siloxane compound or a halogenated siloxane compound containing one or more halogen moieties. 前記流動性層を硬化させることが更に、
酸素/ヘリウム雰囲気、酸素/アルゴン雰囲気、又は酸素/水素雰囲気下で前記流動性層にイオンを衝突させることと、
不活性ガス雰囲気下で前記流動性層にイオンを衝突させることと
を含む、請求項6に記載の方法。
Further curing the fluidizable layer further comprises:
Bombarding the fluidized layer with ions under an oxygen/helium atmosphere, an oxygen/argon atmosphere, or an oxygen/hydrogen atmosphere;
Bombarding the fluidized bed with ions under an inert gas atmosphere.
前記流動性層を硬化させることは、誘導結合プラズマ(ICP)チャンバで実施される、請求項9に記載の方法。 The method of claim 9, wherein curing the fluidizable layer is performed in an inductively coupled plasma (ICP) chamber. 前記流動性層を硬化させることは更に、
約5mTorr以下のチャンバ圧力において前記基板にバイアス電力を印加すること
を含む、請求項9に記載の方法。
Curing the fluidizable layer further comprises:
The method of claim 9, comprising applying bias power to the substrate at a chamber pressure of about 5 mTorr or less.
前記堆積処理を実施した後、且つ前記流動性層を硬化させる前に、酸素含有雰囲気、窒素含有雰囲気、又は不活性ガス雰囲気下でUVエネルギーを用いて前記流動性層を硬化させること
を更に含む、請求項6に記載の方法。
Further comprising curing the fluid layer using UV energy under an oxygen-containing atmosphere, a nitrogen-containing atmosphere, or an inert gas atmosphere after performing the deposition process and before curing the fluid layer. 7. The method according to claim 6.
UVエネルギーを用いて前記流動性層を硬化させることが、ラジカル系雰囲気下で実施される、請求項12に記載の方法。 The method of claim 12, wherein curing the fluidizable layer with UV energy is performed under a radical-based atmosphere. 基板を処理するためのクラスタツールであって、
ロードロックチャンバと、
前記ロードロックチャンバの第1の側面に連結された移送チャンバと、
前記移送チャンバに連結された複数の第1の処理チャンバであって、各々が流動性層の堆積を実施することができる堆積チャンバである、複数の第1の処理チャンバと、
前記移送チャンバに連結された複数の第2の処理チャンバであって、各々が熱硬化処理を実施することができる硬化チャンバであり、UV光硬化チャンバ、熱硬化チャンバ、マイクロ波硬化チャンバ、プラズマ硬化チャンバ、電子ビーム硬化チャンバ、及び中性ビーム硬化チャンバから構成される群から選択される、複数の第2の処理チャンバと、
前記移送チャンバに連結された複数の第3の処理チャンバであって、各々がプラズマ硬化処理を実施することができるプラズマチャンバであり、そのうちの少なくとも1つが誘導結合プラズマ(ICP)チャンバ、又は容量結合プラズマ(CCP)チャンバである、複数の第3の処理チャンバと、
ロードチャンバの第2の側面に連結されたファクトリインターフェースと
備える、クラスタツール。
A cluster tool for processing substrates,
A load lock chamber,
A transfer chamber connected to the first side of the load lock chamber;
A plurality of first processing chambers connected to the transfer chamber, each of the plurality of first processing chambers being a deposition chamber capable of performing a deposition of a fluidized layer;
A plurality of second processing chambers connected to the transfer chamber, each of which is a curing chamber capable of performing a thermal curing process, such as a UV light curing chamber, a thermal curing chamber, a microwave curing chamber, and a plasma curing. A plurality of second processing chambers selected from the group consisting of chambers, electron beam curing chambers, and neutral beam curing chambers;
A plurality of third processing chambers connected to the transfer chamber, each being a plasma chamber capable of performing a plasma hardening process, at least one of which is an inductively coupled plasma (ICP) chamber or a capacitively coupled plasma processing chamber. A plurality of third processing chambers, which are plasma (CCP) chambers;
A cluster tool comprising a factory interface coupled to a second side of a load chamber.
前記第1の処理チャンバが高密度プラズマCVDチャンバであり、前記第2の処理チャンバがUV光硬化チャンバであり、前記第3の処理チャンバが誘導結合プラズマ(ICP)チャンバである、請求項14に記載のクラスタツール。 15. The first processing chamber is a high density plasma CVD chamber, the second processing chamber is a UV light curing chamber, and the third processing chamber is an inductively coupled plasma (ICP) chamber. Cluster tool as described.
JP2019562616A 2017-05-13 2018-05-02 Fluid deposition and high density plasma process cycle for high quality void filling Active JP7168586B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022171995A JP7458457B2 (en) 2017-05-13 2022-10-27 Flowable deposition and high-density plasma processing cycle for high-quality void filling

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762505857P 2017-05-13 2017-05-13
US62/505,857 2017-05-13
PCT/US2018/030699 WO2018212999A1 (en) 2017-05-13 2018-05-02 Cyclic flowable deposition and high-density plasma treatment proceses for high quality gap fill solutions

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022171995A Division JP7458457B2 (en) 2017-05-13 2022-10-27 Flowable deposition and high-density plasma processing cycle for high-quality void filling

Publications (2)

Publication Number Publication Date
JP2020520120A true JP2020520120A (en) 2020-07-02
JP7168586B2 JP7168586B2 (en) 2022-11-09

Family

ID=64097395

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019562616A Active JP7168586B2 (en) 2017-05-13 2018-05-02 Fluid deposition and high density plasma process cycle for high quality void filling
JP2022171995A Active JP7458457B2 (en) 2017-05-13 2022-10-27 Flowable deposition and high-density plasma processing cycle for high-quality void filling

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022171995A Active JP7458457B2 (en) 2017-05-13 2022-10-27 Flowable deposition and high-density plasma processing cycle for high-quality void filling

Country Status (6)

Country Link
US (2) US10707116B2 (en)
JP (2) JP7168586B2 (en)
KR (2) KR102576563B1 (en)
CN (2) CN110622298B (en)
TW (2) TWI782410B (en)
WO (1) WO2018212999A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022158331A1 (en) * 2021-01-20 2022-07-28 東京エレクトロン株式会社 Method for forming silicon-containing film, and treatment device
WO2022230944A1 (en) * 2021-04-29 2022-11-03 東ソー株式会社 Planarizing film manufacturing method, planarizing film material, and planarizing film
JP7372500B2 (en) 2020-09-02 2023-10-31 アプライド マテリアルズ インコーポレイテッド Systems and methods for incorporating loadlocks into a factory interface footprint space

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7168586B2 (en) 2017-05-13 2022-11-09 アプライド マテリアルズ インコーポレイテッド Fluid deposition and high density plasma process cycle for high quality void filling
KR102334832B1 (en) * 2017-07-13 2021-12-06 가부시키가이샤 코쿠사이 엘렉트릭 Semiconductor device manufacturing method, substrate processing apparatus and program
JP7085929B2 (en) * 2018-07-13 2022-06-17 東京エレクトロン株式会社 Film formation method
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
US11107674B2 (en) 2019-01-24 2021-08-31 Applied Materials, Inc. Methods for depositing silicon nitride
CN113966412A (en) * 2019-06-08 2022-01-21 应用材料公司 Low deposition rate for flowable PECVD
TW202117802A (en) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 Methods and apparatus for curing dielectric material
US11643724B2 (en) * 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI792005B (en) 2019-07-23 2023-02-11 美商應用材料股份有限公司 Surface roughness for flowable cvd film
US20210090883A1 (en) 2019-09-20 2021-03-25 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
WO2021097022A1 (en) * 2019-11-12 2021-05-20 Applied Materials, Inc. Silyl pseudohalides for silicon containing films
US20210175075A1 (en) * 2019-12-09 2021-06-10 Applied Materials, Inc. Oxygen radical assisted dielectric film densification
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11508572B2 (en) * 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11615966B2 (en) * 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
TW202221764A (en) * 2020-08-02 2022-06-01 美商應用材料股份有限公司 Integrated flowable low-k gap-fill and plasma treatment
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11862458B2 (en) * 2021-09-08 2024-01-02 Applied Materials, Inc. Directional selective deposition
US20230265562A1 (en) * 2022-02-22 2023-08-24 Applied Materials, Inc. Stable silicon oxynitride layers and processes of making them
US20230386829A1 (en) * 2022-05-27 2023-11-30 Applied Materials, Inc. Low temperature silicon oxide gap fill

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090066936A (en) * 2007-12-20 2009-06-24 주식회사 하이닉스반도체 Method for fabricating dielectric layer in semiconductor device
JP2010153859A (en) * 2008-12-15 2010-07-08 Novellus Systems Inc Filling of gap with fluid dielectric using pecvd
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
WO2016137606A1 (en) * 2015-02-23 2016-09-01 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
KR20030043724A (en) * 2001-11-27 2003-06-02 엔이씨 일렉트로닉스 코포레이션 Method of manufacturing semiconductor device
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080166498A1 (en) * 2007-01-10 2008-07-10 United Microelectronics Corp. Method of curing porous low-k layer
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8466067B2 (en) * 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
CN102714156A (en) 2010-01-07 2012-10-03 应用材料公司 In-situ ozone cure for radical-component CVD
CN102959140B (en) 2010-04-30 2016-01-20 佳能安内华股份有限公司 Epitaxial film formation method, vacuum treatment device, semiconductor light-emitting elements manufacture method, semiconductor light-emitting elements and lighting device
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP5521981B2 (en) 2010-11-08 2014-06-18 豊田合成株式会社 Manufacturing method of semiconductor light emitting device
CN102477531B (en) 2010-11-26 2015-03-25 鸿富锦精密工业(深圳)有限公司 Coating part and preparation method thereof
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
KR20120089792A (en) * 2010-12-09 2012-08-13 노벨러스 시스템즈, 인코포레이티드 Bottom up fill in high aspect ratio trenches
US9956743B2 (en) 2010-12-20 2018-05-01 The Regents Of The University Of California Superhydrophobic and superoleophobic nanosurfaces
US9512520B2 (en) 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
CN102758172A (en) 2011-04-27 2012-10-31 鸿富锦精密工业(深圳)有限公司 Iron-based alloy surface coating method and coated piece prepared by same
US8575819B1 (en) 2011-07-18 2013-11-05 Integrated Device Technology, Inc. Microelectromechanical resonators with passive frequency tuning using built-in piezoelectric-based varactors
CN102896842A (en) 2011-07-29 2013-01-30 鸿富锦精密工业(深圳)有限公司 Film-coated component and manufacturing method thereof
CN104428441B (en) * 2012-07-02 2017-04-12 应用材料公司 Aluminum-nitride buffer and active layers by physical vapor deposition
KR101942092B1 (en) 2012-07-30 2019-01-25 한국전자통신연구원 Method Of Fabricating Organic Light Emitting Device
KR102052936B1 (en) * 2012-11-13 2019-12-06 삼성전자 주식회사 Method for fabricating semiconductor device
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
FR3022070B1 (en) * 2014-06-04 2016-06-24 Univ D'aix-Marseille METHOD FOR RANDOM TEXTURING OF A SEMICONDUCTOR SUBSTRATE
TWI595296B (en) * 2014-09-23 2017-08-11 元太科技工業股份有限公司 Display
US9570287B2 (en) * 2014-10-29 2017-02-14 Applied Materials, Inc. Flowable film curing penetration depth improvement and stress tuning
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9786496B2 (en) * 2015-08-17 2017-10-10 Lam Research Corporation Method of densifying films in semiconductor device
JP7168586B2 (en) 2017-05-13 2022-11-09 アプライド マテリアルズ インコーポレイテッド Fluid deposition and high density plasma process cycle for high quality void filling

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090066936A (en) * 2007-12-20 2009-06-24 주식회사 하이닉스반도체 Method for fabricating dielectric layer in semiconductor device
JP2010153859A (en) * 2008-12-15 2010-07-08 Novellus Systems Inc Filling of gap with fluid dielectric using pecvd
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
WO2016137606A1 (en) * 2015-02-23 2016-09-01 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7372500B2 (en) 2020-09-02 2023-10-31 アプライド マテリアルズ インコーポレイテッド Systems and methods for incorporating loadlocks into a factory interface footprint space
WO2022158331A1 (en) * 2021-01-20 2022-07-28 東京エレクトロン株式会社 Method for forming silicon-containing film, and treatment device
WO2022230944A1 (en) * 2021-04-29 2022-11-03 東ソー株式会社 Planarizing film manufacturing method, planarizing film material, and planarizing film

Also Published As

Publication number Publication date
TW201909234A (en) 2019-03-01
US20200286773A1 (en) 2020-09-10
CN110622298A (en) 2019-12-27
TWI782410B (en) 2022-11-01
US20180330980A1 (en) 2018-11-15
JP7458457B2 (en) 2024-03-29
JP7168586B2 (en) 2022-11-09
TW202135136A (en) 2021-09-16
CN110622298B (en) 2023-09-22
KR102576563B1 (en) 2023-09-07
KR20230130177A (en) 2023-09-11
US11152248B2 (en) 2021-10-19
CN117524976A (en) 2024-02-06
US10707116B2 (en) 2020-07-07
TWI721270B (en) 2021-03-11
JP2023017833A (en) 2023-02-07
KR20190141786A (en) 2019-12-24
WO2018212999A1 (en) 2018-11-22

Similar Documents

Publication Publication Date Title
JP7458457B2 (en) Flowable deposition and high-density plasma processing cycle for high-quality void filling
US9396986B2 (en) Mechanism of forming a trench structure
KR100954254B1 (en) Tensile and compressive stressed materials for semiconductors
US9390914B2 (en) Wet oxidation process performed on a dielectric material formed from a flowable CVD process
CN110476222B (en) Two-step process for silicon gap filling
KR20110104482A (en) Gapfill improvement with low etch rate dielectric liners
KR102141670B1 (en) Low temperature cure modulus enhancement
US20200388483A1 (en) Methods of post treating silicon nitride based dielectric films with high energy low dose plasma
TWI754799B (en) Method of semiconductor processing and semiconductor device
US20200381248A1 (en) Methods of post treating dielectric films with microwave radiation

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210422

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220420

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220426

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220725

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220908

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220927

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221027

R150 Certificate of patent or registration of utility model

Ref document number: 7168586

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150