JP2018172640A - Acid-cleavable monomer and polymers including the same - Google Patents

Acid-cleavable monomer and polymers including the same Download PDF

Info

Publication number
JP2018172640A
JP2018172640A JP2018038262A JP2018038262A JP2018172640A JP 2018172640 A JP2018172640 A JP 2018172640A JP 2018038262 A JP2018038262 A JP 2018038262A JP 2018038262 A JP2018038262 A JP 2018038262A JP 2018172640 A JP2018172640 A JP 2018172640A
Authority
JP
Japan
Prior art keywords
group
monomer
unsubstituted
substituted
formula
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018038262A
Other languages
Japanese (ja)
Other versions
JP6697498B2 (en
Inventor
イマッド・アカッド
Emad Aqad
ジェームス・ダブリュ・サッカレー
W Thackeray James
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of JP2018172640A publication Critical patent/JP2018172640A/en
Application granted granted Critical
Publication of JP6697498B2 publication Critical patent/JP6697498B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/52Esters of acyclic unsaturated carboxylic acids having the esterified carboxyl group bound to an acyclic carbon atom
    • C07C69/533Monocarboxylic acid esters having only one carbon-to-carbon double bond
    • C07C69/54Acrylic acid esters; Methacrylic acid esters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/62Halogen-containing esters
    • C07C69/65Halogen-containing esters of unsaturated acids
    • C07C69/653Acrylic acid esters; Methacrylic acid esters; Haloacrylic acid esters; Halomethacrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • C08F220/283Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety and containing one or more carboxylic moiety in the chain, e.g. acetoacetoxyethyl(meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/30Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide copolymers derived from an iodine-containing monomer.SOLUTION: A copolymer comprises a polymerized product of a monomer having formula (I). In formula (I), "G" represents a cyclic structure, and "I" represents iodine.SELECTED DRAWING: None

Description

本開示は概して、光酸発生剤を含むポリマー組成物に関する。具体的には、本開示は、ヨウ素含有モノマーから誘導されたコポリマーを提供する。   The present disclosure generally relates to a polymer composition comprising a photoacid generator. Specifically, the present disclosure provides copolymers derived from iodine-containing monomers.

極端紫外線リソグラフィ(EUVL)は、<20nmのフィーチャサイズで、ボリューム半導体製造のための光学リソグラフィを置換するための最先端技術選択肢の1つである。非常に短い波長(13.4nm)は、複数の技術世代で要求される高解像度の鍵となる要因である。さらに、走査露光、投影光学系、マスクフォーマット、及びレジスト技術などのシステム全体のコンセプトは、現在の光学技術で使用されているものと非常によく似ている。従来のリソグラフィ世代と同様に、EUVLは、レジスト技術、露光ツール技術、及びマスク技術で構成されている。重要な課題は、EUV電源電力及びスループットである。EUV電源のいかなる改善も、現在の厳しいレジスト感度仕様に直接影響する。実際に、EUVL撮像における主要な問題は、レジスト感度であり、感度が低いほど、必要とされる電源電力がより大きいか、またはレジストを完全に露光するのに必要な露光時間がより長い。電力レベルが低いほど、ノイズは印刷ラインのラインエッジラフネス(LER)に影響する。   Extreme ultraviolet lithography (EUVL) is one of the state-of-the-art options for replacing optical lithography for volume semiconductor manufacturing with a feature size of <20 nm. The very short wavelength (13.4 nm) is a key factor in the high resolution required by multiple technology generations. Furthermore, the overall system concepts such as scanning exposure, projection optics, mask format, and resist technology are very similar to those used in current optical technology. Like the conventional lithography generation, EUVL consists of resist technology, exposure tool technology, and mask technology. An important issue is EUV power supply power and throughput. Any improvement in the EUV power supply directly affects current stringent resist sensitivity specifications. Indeed, the main problem in EUVL imaging is resist sensitivity, the lower the sensitivity, the greater the power supply power required or the longer the exposure time required to fully expose the resist. The lower the power level, the more noise affects the line edge roughness (LER) of the print line.

EUV感度を改善することが鍵となる要因である。EUV光吸収断面積及び二次電子生成収率は、EUV感度の重要な要素であることが示されている。EUVフォトレジスト感度を増加させる1つの方法は、13.5nmにおけるその吸収断面積を増加させることであり、これは、既知の原子吸光を用いて理論的に計算することができる材料の原子特性である。炭素、酸素、水素、及び窒素などのレジスト材料を構成する典型的な原子は、13.5nmで非常に弱い吸収を有する。フッ素原子は、わずかにより高い吸収を有し、高EUV吸収フォトレジストの探索に使用されている。   Improving EUV sensitivity is a key factor. EUV light absorption cross section and secondary electron production yield have been shown to be important factors in EUV sensitivity. One way to increase EUV photoresist sensitivity is to increase its absorption cross section at 13.5 nm, which is an atomic property of the material that can be theoretically calculated using known atomic absorption. is there. Typical atoms that make up resist materials such as carbon, oxygen, hydrogen, and nitrogen have very weak absorption at 13.5 nm. Fluorine atoms have slightly higher absorption and are used in the search for high EUV absorption photoresists.

ヨウ素は、EUV照射において顕著に高い吸収断面積を有する。最近の特許出願JP2015−161823は、ヨウ素含有モノマー及びリソグラフィ処理に有用な対応するポリマーを開示している。しかしながら、これらのモノマーのいずれも、酸によって容易に開裂することができなかった。したがって、リソグラフィ処理に有用であり得るヨウ素含有ポリマーを製造するための新たなヨウ素含有高吸収モノマーに対する必要性が依然として存在する。   Iodine has a significantly higher absorption cross section in EUV irradiation. Recent patent application JP2015-161823 discloses iodine-containing monomers and corresponding polymers useful for lithographic processing. However, none of these monomers could be easily cleaved by acid. Thus, there remains a need for new iodine-containing superabsorbent monomers to produce iodine-containing polymers that can be useful in lithographic processing.

実施形態は、式(I)を有するモノマーであって、   An embodiment is a monomer having the formula (I)

式(I)において、
は、H、F、−CN、C1−10アルキル基、またはC1−10フルオロアルキル基であり、
及びRは各々独立して、非置換もしくは置換C1−10直鎖もしくは分枝アルキル基、非置換もしくは置換C3−10シクロアルキル基、非置換もしくは置換C3−10アルケニルアルキル基、非置換もしくは置換C3−10アルキニルアルキル基、または非置換もしくは置換のC6−30アリール基であり、R及びRは、O及びSから選択される少なくとも1つの結合基を任意に含み、R及びRは、一緒になって環を任意に形成し、
In formula (I):
R a is H, F, —CN, a C 1-10 alkyl group, or a C 1-10 fluoroalkyl group,
R 1 and R 2 are each independently an unsubstituted or substituted C 1-10 linear or branched alkyl group, an unsubstituted or substituted C 3-10 cycloalkyl group, an unsubstituted or substituted C 3-10 alkenylalkyl group. , An unsubstituted or substituted C 3-10 alkynylalkyl group, or an unsubstituted or substituted C 6-30 aryl group, wherein R 1 and R 2 optionally represent at least one linking group selected from O and S R 1 and R 2 together optionally form a ring;

は、単環もしくは多環式非置換もしくは置換C6−30アリーレン基、または単環もしくは多環式非置換もしくは置換C3−30ヘテロアリーレン基を表し、「*」及び「*’」は、隣接する基または原子への結合点を示し、
「I」は、ヨウ素を表し、
nは、1、2、3、4、5、6、7、8、及び9である、モノマーを提供する。
Represents a monocyclic or polycyclic unsubstituted or substituted C 6-30 arylene group, or a monocyclic or polycyclic unsubstituted or substituted C 3-30 heteroarylene group, “*” and “* ′” Indicate the point of attachment to an adjacent group or atom;
“I” represents iodine;
n provides a monomer that is 1, 2, 3, 4, 5, 6, 7, 8, and 9.

別の実施形態は、式(I)を有するモノマーと、式(I)を有するモノマーとは異なる少なくとも1つの不飽和モノマーとの重合生成物を含む、コポリマーを提供する。   Another embodiment provides a copolymer comprising the polymerization product of a monomer having formula (I) and at least one unsaturated monomer different from the monomer having formula (I).

さらに別の実施形態は、重合性基を含む光酸発生剤モノマーと、式(I)を有するモノマーとの重合生成物を含む、コポリマーを提供する。   Yet another embodiment provides a copolymer comprising a polymerization product of a photoacid generator monomer comprising a polymerizable group and a monomer having formula (I).

ここで、例示的な実施形態を詳細に参照し、その実施形態の例は、添付の図面に示されており、全体を通じて同様の参照符号は同様の要素を示す。これに関して、本例示的な実施形態は、異なる形態を有してもよく、本明細書に記載された説明に限定されると解釈されるべきではない。したがって、例示的な実施形態は、本明細書の態様を説明するために、図面を参照して以下で単に説明される。本明細書で使用される場合、「及び/または」という用語は、関連する列挙された項目のうちの1つ以上の任意の及び全ての組み合わせを含む。「少なくとも1つ」のような表現は、要素のリストの前にあるとき、要素のリスト全体を修飾し、リストの個々の要素を変更しない。   DETAILED DESCRIPTION Reference will now be made in detail to exemplary embodiments, examples of which are illustrated in the accompanying drawings, wherein like reference numerals refer to like elements throughout. In this regard, the exemplary embodiments may have different forms and should not be construed as limited to the description set forth herein. Accordingly, the exemplary embodiments are merely described below with reference to the drawings to illustrate aspects herein. As used herein, the term “and / or” includes any and all combinations of one or more of the associated listed items. When an expression such as “at least one” precedes a list of elements, it modifies the entire list of elements and does not change the individual elements of the list.

ある要素が別の要素の「上に」あると言及される場合、それは他の要素と直接接触することができ、またはその間に介在要素が存在し得ることが理解される。対照的に、ある要素が別の要素の「直接上に」あると言及されている場合、介在要素は存在しない。   When an element is referred to as being “on” another element, it is understood that it can be in direct contact with the other element or there can be intervening elements therebetween. In contrast, when an element is referred to as being “directly on” another element, there are no intervening elements present.

第1、第2、第3などの用語は、様々な要素、構成要素、領域、層、及び/またはセクションを説明するために本明細書で使用することができるが、これらの要素、構成要素、領域、層及び/セクションはこれらの用語によって限定されるべきではない。これらの用語は、ある要素、構成要素、領域、層、またはセクションを別の要素、構成要素、領域、層、またはセクションと区別するためにのみ使用される。したがって、以下に説明する第1の要素、構成要素、領域、層、またはセクションは、本実施形態の教示から逸脱することなく、第2の要素、構成要素、領域、層、またはセクションと呼ぶことができる。   Although terms such as first, second, third, etc. may be used herein to describe various elements, components, regions, layers, and / or sections, these elements, components , Regions, layers and / or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Accordingly, a first element, component, region, layer, or section described below is referred to as a second element, component, region, layer, or section without departing from the teachings of this embodiment. Can do.

本明細書で使用する用語は、特定の実施形態のみを説明するためのものであり、限定することを意図するものではない。本明細書で使用されるように、単数形「a」、「an」及び「the」は、文脈上他に明白に示されていない限り、複数形も含むことが意図される。   The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting. As used herein, the singular forms “a”, “an”, and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise.

本明細書で使用される場合、用語「含む(comprises)」及び/もしくは「含む(comprising)」、または「含む(includes)」及び/もしくは「含む(including)」は、記載された特徴、領域、整数、工程、操作、要素及び/または構成要素の存在を特定するが、1つ以上の他の特徴、領域、整数、工程、操作、要素、構成要素、及び/またはそれらの群の存在または追加を排除するものではないことがさらに理解されよう。   As used herein, the terms “comprises” and / or “comprising”, or “includes” and / or “including” are described features, regions Identifying the presence of an integer, step, operation, element and / or component, but the presence or presence of one or more other features, regions, integers, steps, operations, elements, components, and / or groups thereof It will be further understood that this does not exclude additions.

本明細書で使用される「約」または「およそ」は、記載された値を含み、問題の測定値及び特定の量の測定に関連する誤差(すなわち、測定システムの限界)を考慮して、当業者によって決定されるような特定の値に対する許容可能な偏差範囲内を意味する。例えば、「約」は、1つ以上の標準偏差内、または示された値の±30%、20%、10%、5%内を意味し得る。   As used herein, “about” or “approximately” includes the stated value and takes into account the measurement in question and the error associated with the measurement of the particular quantity (ie the limitations of the measurement system) It means within an acceptable deviation range for a particular value as determined by one skilled in the art. For example, “about” can mean within one or more standard deviations, or within ± 30%, 20%, 10%, 5% of the indicated value.

他に定義されない限り、本明細書で使用される全ての用語(技術用語及び科学用語を含む)は、本発明が属する技術分野の当業者によって一般的に理解されるものと同じ意味を有する。一般的に使用される辞書に定義されているような用語は、関連する技術及び本開示の文脈における意味と一致する意味を有すると解釈されるべきであり、本明細書において明確に定義されていない限り、理想化されたまたは過度に正式な意味で解釈されないことがさらに理解されよう。   Unless defined otherwise, all terms used herein (including technical and scientific terms) have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. Terms as defined in commonly used dictionaries should be construed as having a meaning consistent with the meaning in the context of the related art and this disclosure, and are clearly defined herein. It will be further understood that unless otherwise interpreted in an idealized or overly formal sense.

本明細書で使用されるように、別途定義がない場合、「アルキル基」という用語は、指定された数の炭素原子を有し、少なくとも1価の原子価を有する直鎖もしくは分枝鎖飽和脂肪族炭化水素から誘導される基を指す。   As used herein, unless otherwise defined, the term “alkyl group” is a straight or branched chain saturated compound having the specified number of carbon atoms and having at least a monovalent valence. Refers to a group derived from an aliphatic hydrocarbon.

本明細書で使用されるように、別途定義がない場合、「フルオロアルキル基」という用語は、1個以上の水素原子がフッ素原子で置換されたアルキル基を指す。   As used herein, unless otherwise defined, the term “fluoroalkyl group” refers to an alkyl group in which one or more hydrogen atoms are replaced with fluorine atoms.

本明細書で使用されるように、別途定義がない場合、「アルコキシ基」という用語は、「アルキル−O−」を指し、用語「アルキル」は上記と同じ意味を有する。   As used herein, unless otherwise defined, the term “alkoxy group” refers to “alkyl-O—”, where the term “alkyl” has the same meaning as above.

本明細書で使用されるように、別途定義がない場合、「フルオロアルコキシ基」という用語は、1個以上の水素原子がフッ素原子で置換されたアルコキシ基を指す。   As used herein, unless otherwise defined, the term “fluoroalkoxy group” refers to an alkoxy group in which one or more hydrogen atoms have been replaced with fluorine atoms.

本明細書で使用されるように、別途定義がない場合、用語「シクロアルキル基」は、全ての環員が炭素である1つ以上の飽和環を有する1価の基を指す。   As used herein, unless otherwise defined, the term “cycloalkyl group” refers to a monovalent group having one or more saturated rings in which all ring members are carbon.

本明細書で使用されるように、別途定義がない場合、用語「アルケニル基」は、少なくとも1つの炭素−炭素二重結合を有する直鎖もしくは分枝鎖の一価の炭化水素基を指す。   As used herein, unless otherwise defined, the term “alkenyl group” refers to a straight or branched monovalent hydrocarbon group having at least one carbon-carbon double bond.

本明細書で使用されるように、別途定義がない場合、「アルケニルアルキル基」という用語は、「アルケニル−アルキル−」を指し、用語「アルケニル」及び「アルキル」は上記と同じ意味を有する。   As used herein, unless otherwise defined, the term “alkenylalkyl group” refers to “alkenyl-alkyl-”, where the terms “alkenyl” and “alkyl” have the same meanings as described above.

本明細書で使用されるように、別途定義がない場合、用語「アルキニル基」は、少なくとも1つの炭素−炭素三重結合を有する直鎖もしくは分枝鎖の一価の炭化水素基を指す。   As used herein, unless otherwise defined, the term “alkynyl group” refers to a straight or branched monovalent hydrocarbon group having at least one carbon-carbon triple bond.

本明細書で使用されるように、別途定義がない場合、「アルキニルアルキル基」という用語は、「アルキニル−アルキル−」を指し、用語「アルキニル」及び「アルキル」は上記と同じ意味を有する。   As used herein, unless otherwise defined, the term “alkynylalkyl group” refers to “alkynyl-alkyl-”, where the terms “alkynyl” and “alkyl” have the same meanings as described above.

本明細書で使用されるように、別途定義がない場合、用語「アリール」は、単独でまたは組み合わせて使用され、少なくとも1つの環を含み、特定の数の炭素原子を有する芳香族またはヘテロ芳香族炭化水素を指す。用語「アリール」は、少なくとも1つのシクロアルキルまたはヘテロシクロアルキル環に縮合した芳香族またはヘテロ芳香環を有する基を含むと解釈され得る。「アリール」基は、窒素(N)、酸素(O)、P(リン)及び硫黄(S)から独立して選択される1つ以上のヘテロ原子を含み得る。   As used herein, unless otherwise defined, the term “aryl”, used alone or in combination, includes aromatic or heteroaromatic compounds containing at least one ring and having a specified number of carbon atoms. Refers to a group hydrocarbon. The term “aryl” can be taken to include groups having an aromatic or heteroaromatic ring fused to at least one cycloalkyl or heterocycloalkyl ring. An “aryl” group may contain one or more heteroatoms independently selected from nitrogen (N), oxygen (O), P (phosphorus) and sulfur (S).

本明細書で使用されるように、別途定義がない場合、「アリールオキシ基」という用語は、「アリール−O−」を指し、用語「アリール」は上記と同じ意味を有する。   As used herein, unless otherwise defined, the term “aryloxy group” refers to “aryl-O—”, wherein the term “aryl” has the same meaning as described above.

本明細書で使用されるように、別途定義がない場合、用語「アラルキル基」は、化合物に結合しているアルキル基に共有結合した置換または非置換アリール基を指す。   As used herein, unless otherwise defined, the term “aralkyl group” refers to a substituted or unsubstituted aryl group covalently bonded to an alkyl group that is bonded to the compound.

本明細書で使用されるように、別途定義がない場合、用語「アルキレン基」は、少なくとも2つの原子価を有する直鎖もしくは分枝の飽和脂肪族炭化水素基を指し、任意に、アルキレン基の原子価が超えないならば、示されている場合には1個以上の置換基で置換されていてもよい。   As used herein, unless otherwise defined, the term “alkylene group” refers to a straight or branched saturated aliphatic hydrocarbon group having at least two valences, optionally an alkylene group. May be substituted with one or more substituents where indicated.

本明細書で使用されるように、別途定義がない場合、用語「シクロアルキレン基」は、少なくとも2つの原子価を有する環式炭化水素基を指し、任意に、シクロアルキレン基の原子価が超えないならば、示されている場合には1個以上の置換基で置換されていてもよい。   As used herein, unless otherwise defined, the term “cycloalkylene group” refers to a cyclic hydrocarbon group having at least two valences, optionally exceeding the valence of the cycloalkylene group. If not, it may be substituted with one or more substituents where indicated.

本明細書で使用されるように、別途定義がない場合、用語「アリーレン基」は、芳香族環中の2個の水素を除去することによって得られる原子価が少なくとも2である官能基を指し、任意に、アリーレン基の原子価が超えないならば、示されている場合には1個以上の置換基で置換されていてもよい。   As used herein, unless otherwise defined, the term “arylene group” refers to a functional group having a valence of at least 2 obtained by removing two hydrogens in an aromatic ring. Optionally, if the valence of the arylene group does not exceed, it may be substituted with one or more substituents where indicated.

本明細書で使用されるように、別途定義がない場合、用語「アラルキレン基」は、アルキル置換芳香族化合物から2つの水素を除去して得られる原子価が少なくとも2である官能基を指し、任意に、アラルキレン基の原子価が超えないならば、示されている場合には1個以上の置換基で置換されていてもよい。   As used herein, unless otherwise defined, the term “aralkylene group” refers to a functional group having a valence of at least 2 obtained by removing two hydrogens from an alkyl-substituted aromatic compound; Optionally, provided that the valence of the aralkylene group does not exceed, where indicated, it may be substituted with one or more substituents.

本明細書で使用されるように、別途定義がない場合、用語「ヘテロアリーレン基」は、ヘテロ芳香族環中の2個の水素を除去することによって得られる原子価が少なくとも2である官能基を指し、任意に、ヘテロアリーレン基の原子価が超えないならば、示されている場合には1個以上の置換基で置換されていてもよい。   As used herein, unless otherwise defined, the term “heteroarylene group” refers to a functional group having a valence of at least 2 obtained by removing two hydrogens in a heteroaromatic ring. Optionally, if the valence of the heteroarylene group does not exceed, it may be substituted with one or more substituents where indicated.

本開示の実施形態は、式(I)を有するモノマーを提供する。   Embodiments of the present disclosure provide a monomer having formula (I).

式(I)において、
は、H、F、−CN、C1−10アルキル基、またはC1−10フルオロアルキル基であり得、
及びRは各々独立して、非置換もしくは置換C1−10直鎖もしくは分枝アルキル基、非置換もしくは置換C3−10シクロアルキル基、非置換もしくは置換C3−10アルケニルアルキル基、非置換もしくは置換C3−10アルキニルアルキル基、または非置換もしくは置換のC6−30アリール基であり得、R及びRは、O及びSから選択される少なくとも1つの結合基を任意に含み、R及びRは、一緒になって環を任意に形成し得、
In formula (I):
R a can be H, F, —CN, a C 1-10 alkyl group, or a C 1-10 fluoroalkyl group,
R 1 and R 2 are each independently an unsubstituted or substituted C 1-10 linear or branched alkyl group, an unsubstituted or substituted C 3-10 cycloalkyl group, an unsubstituted or substituted C 3-10 alkenylalkyl group. , An unsubstituted or substituted C 3-10 alkynylalkyl group, or an unsubstituted or substituted C 6-30 aryl group, wherein R 1 and R 2 are optionally at least one linking group selected from O and S R 1 and R 2 together can optionally form a ring,

は、単環もしくは多環式非置換もしくは置換C6−30アリーレン基、または単環もしくは多環式非置換もしくは置換C3−30ヘテロアリーレン基を表し、「*」及び「*’」は、隣接する基または原子への結合点を示し得、
「I」は、ヨウ素を表し、
nは、1、2、3、4、5、6、7、8、及び9であり得る。
Represents a monocyclic or polycyclic unsubstituted or substituted C 6-30 arylene group, or a monocyclic or polycyclic unsubstituted or substituted C 3-30 heteroarylene group, “*” and “* ′” Can indicate the point of attachment to an adjacent group or atom;
“I” represents iodine;
n can be 1, 2, 3, 4, 5, 6, 7, 8, and 9.

上記モノマーにおいて、C6−30アリーレン基が、単環式C6−30アリーレン基、縮合二環式C6−30アリーレン基、または単結合C6−30アリーレン基であり得る。C6−30アリーレン基は、1,2−フェニレン基、1,3−フェニレン基、及び1,4−フェニレン基であり得る。縮合二環式C6−30アリーレン基は、二置換ナフタレン基、二置換アントラセン基、または二置換フェナントレン基であり得る。単結合C6−30アリーレン基は、二置換ビフェニレン基または二置換テルフェニレン基であり得る。C3−30ヘテロアリーレン基は、単環式C3−30ヘテロアリーレン基、縮合二環式C3−30ヘテロアリーレン基、または単結合C3−30ヘテロアリーレン基であり得る。 In the above monomer, the C 6-30 arylene group may be a monocyclic C 6-30 arylene group, a condensed bicyclic C 6-30 arylene group, or a single bond C 6-30 arylene group. The C 6-30 arylene group can be a 1,2-phenylene group, a 1,3-phenylene group, and a 1,4-phenylene group. The fused bicyclic C 6-30 arylene group can be a disubstituted naphthalene group, a disubstituted anthracene group, or a disubstituted phenanthrene group. The single bond C 6-30 arylene group can be a disubstituted biphenylene group or a disubstituted terphenylene group. The C 3-30 heteroarylene group can be a monocyclic C 3-30 heteroarylene group, a fused bicyclic C 3-30 heteroarylene group, or a single bond C 3-30 heteroarylene group.

一実施形態において、R及びRの各々は、非置換もしくは置換C1−10直鎖もしくは分枝アルキル基であり得る。例えば、R及びRの両方が非置換もしくは置換の直鎖C1−10アルキル基であり得、R及びRの一方が非置換もしくは置換の直鎖C1−10アルキル基であり得、R及びRの他方が非置換もしくは置換の分枝C1−10アルキル基であり得、またはR及びRの両方が非置換もしくは置換の分枝C1−10アルキル基であり得る。実施例において、R及びRの一方が非置換C1−10直鎖もしくは分枝アルキル基であり得、R及びRの他方が少なくとも1個のフッ素原子で置換されたC1−10直鎖もしくは分枝アルキル基であり得る。 In one embodiment, each of R 1 and R 2 can be an unsubstituted or substituted C 1-10 linear or branched alkyl group. For example, both R 1 and R 2 can be an unsubstituted or substituted linear C 1-10 alkyl group, and one of R 1 and R 2 is an unsubstituted or substituted linear C 1-10 alkyl group Or the other of R 1 and R 2 can be an unsubstituted or substituted branched C 1-10 alkyl group, or both R 1 and R 2 can be an unsubstituted or substituted branched C 1-10 alkyl group possible. In an embodiment, one of R 1 and R 2 can be an unsubstituted C 1-10 linear or branched alkyl group, and the other of R 1 and R 2 is C 1 -substituted with at least one fluorine atom. It can be 10 straight chain or branched alkyl groups.

及びRの各々は、O及びSから選択される結合基を含み得る。結合基は、R及びRの内部に存在してもよく、または隣接する基との結合部位に存在してもよい。結合基が内部に存在する場合、基R及びRの例は、CHOCH−、CHOCHCH−、CHCHOCH−である。結合基がそれらの結合部位に存在する場合、基R及びRの例は、CHO−、CHCHO−、及びCHCHCHO−である。 Each of R 1 and R 2 can include a linking group selected from O and S. The linking group may be present inside R 1 and R 2 or may be present at the site of binding with the adjacent group. When a linking group is present inside, examples of groups R 1 and R 2 are CH 3 OCH 2 —, CH 3 OCH 2 CH 2 —, CH 3 CH 2 OCH 2 —. When linking groups are present at their binding sites, examples of groups R 1 and R 2 are CH 3 O—, CH 3 CH 2 O—, and CH 3 CH 2 CH 2 O—.

一実施形態において、基R及びRは一緒になって、任意に環を形成してもよい。例えば、Rがメチルであり、Rがn−プロピルである場合、R及びRはシクロペンタン環を形成してもよい。別の実施例では、Rがエチルであり、Rがn−プロピルである場合、R及びRはシクロヘキサン環を形成してもよい。 In one embodiment, the groups R 1 and R 2 may together form an optional ring. For example, when R 1 is methyl and R 2 is n-propyl, R 1 and R 2 may form a cyclopentane ring. In another example, when R 1 is ethyl and R 2 is n-propyl, R 1 and R 2 may form a cyclohexane ring.

式(I)において、変数nは、二価基に結合したヨウ素原子の数を表す。   In the formula (I), the variable n represents the number of iodine atoms bonded to the divalent group.

ヨウ素原子の数nは、この基の性質に依存して変化することができ、1、2、3、4、5、6、7、8、または9であり得る。例えば、nは、1、2、または3であり得る。   The number n of iodine atoms can vary depending on the nature of the group and can be 1, 2, 3, 4, 5, 6, 7, 8, or 9. For example, n can be 1, 2, or 3.

式(I)を有するモノマーの具体例は、以下の化学式で表すことができる。   Specific examples of monomers having the formula (I) can be represented by the following chemical formula.

別の実施形態は、式(I)を有するモノマーと、式(I)を有するモノマーとは異なる少なくとも1つの不飽和モノマーとの重合生成物を含む、コポリマーを提供する。   Another embodiment provides a copolymer comprising the polymerization product of a monomer having formula (I) and at least one unsaturated monomer different from the monomer having formula (I).

式(I)において、R、R、RIn formula (I), R a , R 1 , R 2 ,

「I」、及びnは、上記のものと同じである。   “I” and n are the same as described above.

式(I)を有するモノマーとは異なる不飽和モノマーは、塩基可溶性モノマー、ラクトン含有モノマー、またはそれらの組み合わせであり得る。   The unsaturated monomer different from the monomer having formula (I) can be a base-soluble monomer, a lactone-containing monomer, or a combination thereof.

例えば、不飽和モノマーは、式(II)の塩基可溶性モノマーであってもよい。   For example, the unsaturated monomer may be a base soluble monomer of formula (II).

式(II)において、Qは、C1−20アルキル、C3−20シクロアルキル、C6−20アリール及びC7−20アラルキルから選択されるエステル含有基または非エステル含有基であり得る。一実施形態において、エステルが含まれる場合、エステルは、Qと二重結合への結合点との間に結合的連結を形成し得る。このように、Qがエステル基である場合、式(II)は、(メタ)アクリレートモノマーであり得る。別の実施形態では、エステルが含まれない場合、Qは芳香族であり得、その結果、式(II)は、例えばスチレン系モノマーまたはビニルナフトエ酸モノマーであり得る。Qは、フッ素化されていてもよいし、フッ素化されていなくてもよい。また、式(II)において、aは、1〜3の整数であってもよく、例えばaは、1または2であってもよい。 In formula (II), Q 1 can be an ester-containing group or a non-ester-containing group selected from C 1-20 alkyl, C 3-20 cycloalkyl, C 6-20 aryl and C 7-20 aralkyl. In one embodiment, if it contains an ester, the ester can form bonds coupling between the coupling point for Q 1 and to a double bond. Thus, when Q 1 is an ester group, formula (II) may be a (meth) acrylate monomer. In another embodiment, when no ester is included, Q 1 can be aromatic so that formula (II) can be, for example, a styrenic monomer or a vinyl naphthoic acid monomer. Q 1 may be fluorinated or may not be fluorinated. In the formula (II), a may be an integer of 1 to 3, for example, a may be 1 or 2.

また、式(II)において、Wは、−C(=O)−OH、−C(CFOH、−NH−SO−Y(式中、Yは、FまたはC1−4ペルフルオロアルキルであり)、芳香族−OH、または上記のいずれかとビニルエーテルとの付加物を含む塩基反応性基であり得る。実施形態において、Qが非芳香族である場合(例えば、式(II)がエステル結合アルキルまたはシクロアルキル基Qを有する(メタ)アクリレート構造を含む場合)、Wは−C(CFOHであり得る。別の実施形態において、Qが芳香族である場合(例えば、Qがエステル結合または非エステル結合のいずれかであり、フェニルまたはナフチルなどの芳香族基である)、WはOHまたは−C(CFOHであり得る。塩基反応性基のいずれかは、酸分解性アセタール脱離基(例えば、一般構造−O−CH(R’)−OR’’を有し、式中、R’は、メチル、エチル、または他のアルキル基である)によってさらに保護され得ることが意図される。そのような基は、例えば、エチルビニルエーテル、プロピルビニルエーテル、t−ブチルビニルエーテル、シクロヘキシルビニルエーテル、1−アダマンタンカルボン酸の2−ビニルオキシエチルエステル、2−ナフトイルエチルビニルエーテル、または他のそのようなビニルエーテルのようなビニルエーテルの付加物である。 In Formula (II), W represents —C (═O) —OH, —C (CF 3 ) 2 OH, —NH—SO 2 —Y 1 (wherein Y 1 represents F or C 1- 4 perfluoroalkyl), aromatic-OH, or base-reactive groups including adducts of any of the above with vinyl ethers. In embodiments, when Q is non-aromatic (eg, when Formula (II) includes a (meth) acrylate structure having an ester linked alkyl or cycloalkyl group Q), W is —C (CF 3 ) 2 OH. It can be. In another embodiment, when Q is aromatic (eg, Q is either an ester bond or a non-ester bond and is an aromatic group such as phenyl or naphthyl), W is OH or —C (CF 3 ) Can be 2 OH. Any of the base-reactive groups has an acid-decomposable acetal leaving group (eg, the general structure —O—CH (R ′) — OR ″, where R ′ is methyl, ethyl, or other It is contemplated that it may be further protected by an alkyl group of Such groups include, for example, ethyl vinyl ether, propyl vinyl ether, t-butyl vinyl ether, cyclohexyl vinyl ether, 2-vinyloxyethyl ester of 1-adamantanecarboxylic acid, 2-naphthoyl ethyl vinyl ether, or other such vinyl ethers. Such an adduct of vinyl ether.

Wは、−C(=O)−OCFRまたは−OC(=O)CFRの形態のフッ素化エステルを含む塩基反応基であってもよく、式中、Rは、C1−10アルキル基またはC1−10フルオロアルキル基である。 W may be a base reactive group comprising a fluorinated ester in the form of —C (═O) —OCF 2 R or —OC (═O) CF 2 R, where R is C 1-10 An alkyl group or a C 1-10 fluoroalkyl group;

式(II)を有する例示的な塩基可溶性モノマーは、   Exemplary base soluble monomers having the formula (II) are:

または上記のうちの少なくとも1つを含む組み合わせを含み得、式中、Rは、H、F、C1−6アルキル、またはC1−6フルオロアルキルである。 Or a combination comprising at least one of the above, wherein R a is H, F, C 1-6 alkyl, or C 1-6 fluoroalkyl.

不飽和モノマーは、式(III)のラクトン含有モノマーであり得る。   The unsaturated monomer can be a lactone-containing monomer of formula (III).

式(III)において、Lは、単環式、多環式、または縮合多環式C4−20ラクトン含有基であり得る。そのようなラクトン基は、基板へのポリマーの接着性、及び塩基性現像剤中のポリマーの溶解を緩和することを改善するために含まれ得る。一実施形態において、Lは、単環式環炭素を介して(メタ)アクリレート部分に結合した単環式C4−6ラクトンであってもよく、またはLは、ノルボルナン型構造に基づくC6−10縮合多環式ラクトンであってもよい。 In formula (III), L can be a monocyclic, polycyclic, or fused polycyclic C 4-20 lactone-containing group. Such lactone groups can be included to improve the adhesion of the polymer to the substrate and the dissolution of the polymer in the basic developer. In one embodiment, L may be a monocyclic C 4-6 lactone attached to the (meth) acrylate moiety via a monocyclic ring carbon, or L is a C 6− based on a norbornane-type structure. It may be a 10- fused polycyclic lactone.

一実施形態において、ラクトン含有モノマーは、式(IIIa)を有し得、   In one embodiment, the lactone-containing monomer can have the formula (IIIa)

式中、
は、H、F、C1−6アルキル、またはC1−6フルオロアルキルであり、Rは、C1−10アルキル、シクロアルキル、またはヘテロシクロアルキルであり、
wは、0〜6の整数である。
Where
R a is H, F, C 1-6 alkyl, or C 1-6 fluoroalkyl, R is C 1-10 alkyl, cycloalkyl, or heterocycloalkyl,
w is an integer of 0-6.

式(IIIa)において、Rは、分個であってもよく、またはラクトン環及び/もしくは1つ以上のR基に結合していてもよく、メタクリレート部分はラクトン環に直接またはRを介して間接的に結合していてもよいことが理解されよう。   In formula (IIIa), R may be a fraction, or may be bonded to a lactone ring and / or one or more R groups, and the methacrylate moiety is directly or indirectly through the lactone ring. It will be understood that they may be combined.

式(III)及び(IIIa)の例示的なラクトン含有モノマーは、   Exemplary lactone-containing monomers of formulas (III) and (IIIa) are:

または上記のうちの少なくとも1つを含む組み合わせを含み得、式中、Rは、H、F、C1−6アルキル、またはC1−6フルオロアルキルである。 Or a combination comprising at least one of the above, wherein R a is H, F, C 1-6 alkyl, or C 1-6 fluoroalkyl.

一実施形態において、コポリマーは、以下の構造を有する重合生成物を含むことができ、   In one embodiment, the copolymer can comprise a polymerization product having the following structure:

式中、
k、l、m、及びqは、対応する繰り返し単位のモル分率を表し、
「I」は、ヨウ素であり、変数nは、上記と同じである。
Where
k, l, m, and q represent the mole fraction of the corresponding repeating unit;
“I” is iodine, and the variable n is the same as above.

別の実施形態は、上記コポリマー及び式Gを有する非重合性光酸発生剤モノマーを含むフォトレジスト組成物をさらに提供し、式中、Aは、非重合性有機アニオンであり、Gは、式(IV)を有する。 Another embodiment further provides a photoresist composition comprising the copolymer and a non-polymerizable photoacid generator monomer having the formula G + A , wherein A is a non-polymerizable organic anion; G + has the formula (IV).

式(IV)において、
Xは、SまたはIであり得、
各Rは、ハロゲン化されていても非ハロゲン化されていてもよく、かつ独立してC1−30アルキル基、多環式もしくは単環式C3−30シクロアルキル基、多環式もしくは単環式C4−30アリール基であり、
XがSである場合、R基のうちの1つは、任意に、1つの隣接するR基に単結合により結合し、zは、2または3であり、
XがIである場合、zは2であり、またはXがSである場合、zは3である。
In formula (IV):
X can be S or I;
Each R c may be halogenated or non-halogenated and is independently a C 1-30 alkyl group, polycyclic or monocyclic C 3-30 cycloalkyl group, polycyclic or A monocyclic C 4-30 aryl group,
When X is S, one of the R c groups is optionally bonded to one adjacent R c group by a single bond, z is 2 or 3,
When X is I, z is 2, or when X is S, z is 3.

例えば、カチオンGは、式(V)、(VI)、または(VII)を有し得、 For example, the cation G + can have the formula (V), (VI), or (VII)

式中、
Xは、IまたはSであり、
、R、R、及びRは、非置換もしくは置換され、かつ各々独立して、ヒドロキシ、ニトリル、ハロゲン、C1−30アルキル、C1−30フルオロアルキル、C3−30シクロアルキル、C1−30フルオロシクロアルキル、C1−30アルコキシ、C3−30アルコキシカルボニルアルキル、C3−30アルコキシカルボニルアルコキシ、C3−30シクロアルコキシ、C5−30シクロアルコキシカルボニルアルキル、C5−30シクロアルコキシカルボニルアルコキシ、C1−30フルオロアルコキシ、C3−30フルオロアルコキシカルボニルアルキル、C3−30フルオロアルコキシカルボニルアルコキシ、C3−30フルオロシクロアルコキシ、C5−30フルオロシクロアルコキシカルボニルアルキル、C5−30フルオロシクロアルコキシカルボニルアルコキシ、C6−30アリール、C6−30フルオロアリール、C6−30アリールオキシ、またはC6−30フルオロアリールオキシであり、各々は非置換であるか、もしくは置換され、
Ar及びArは独立して、C10−30縮合もしくは単結合した多環式アリール基であり、
は、XがIである場合、孤立電子対であり、またはXがSである場合、C6−20アリール基であり、
pは、2または3の整数であり、XがIである場合、pが2であり、XがSである場合、pが3であり、
q及びrは、各々独立して0〜5の整数であり、
s及びtは、各々独立して0〜4の整数である。
Where
X is I or S;
R h , R i , R j , and R k are unsubstituted or substituted and are each independently hydroxy, nitrile, halogen, C 1-30 alkyl, C 1-30 fluoroalkyl, C 3-30 cyclo Alkyl, C 1-30 fluorocycloalkyl, C 1-30 alkoxy, C 3-30 alkoxycarbonylalkyl, C 3-30 alkoxycarbonylalkoxy, C 3-30 cycloalkoxy, C 5-30 cycloalkoxycarbonylalkyl, C 5 -30 cycloalkoxycarbonylalkoxy, C1-30 fluoroalkoxy, C3-30 fluoroalkoxycarbonylalkyl, C3-30 fluoroalkoxycarbonylalkoxy, C3-30 fluorocycloalkoxy, C5-30 fluorocycloalkoxycarbonylalkyl , C 5-30 fluorocycloalkoxycarbonylalkoxy, C 6-30 aryl, C 6-30 fluoroaryl, C 6-30 aryloxy, or C 6-30 fluoroaryloxy, each of which is unsubstituted Or substituted
Ar 1 and Ar 2 are independently a C 10-30 condensed or single-bonded polycyclic aryl group,
R 1 is a lone pair when X is I, or a C 6-20 aryl group when X is S;
p is an integer of 2 or 3, and when X is I, p is 2, when X is S, p is 3.
q and r are each independently an integer of 0 to 5;
s and t are each independently an integer of 0 to 4.

式(V)、(VI)、または(VII)において、R、R、R、及びRのうちの少なくとも1つは、酸開裂性基であり得る。実施形態において、酸開裂性基は、(i)第三級C1−30アルコキシ(例えば、tert−ブトキシ基)、第三級C3−30シクロアルコキシ基、第三級C1−30フルオロアルコキシ基、(ii)第三級C3−30アルコキシカルボニルアルキル基、第三級C5−30シクロアルコキシカルボニルアルキル基、第三級C3−30フルオロアルコキシカルボニルアルキル基、(iii)第三級C3−30アルコキシカルボニルアルコキシ基、第三級C5−30シクロアルコキシカルボニルアルコキシ基、第三級C3−30フルオロアルコキシカルボニルアルコキシ基、または(iv)部分−O−C(R1112)−O−(式中、R1112は、各々独立して水素またはC1−30アルキル基である)を含むC2−30アセタール基であり得る。 In formula (V), (VI), or (VII), at least one of R h , R i , R j , and R k can be an acid-cleavable group. In embodiments, acid-cleavable group, (i) a tertiary C 1-30 alkoxy (e.g., tert- butoxy group), a tertiary C 3-30 cycloalkoxy group, a tertiary C 1-30 Full Oroalkoxy group, (ii) tertiary C 3-30 alkoxycarbonylalkyl group, tertiary C 5-30 cycloalkoxycarbonylalkyl group, tertiary C 3-30 fluoroalkoxycarbonylalkyl group, (iii) third A tertiary C 3-30 alkoxycarbonylalkoxy group, a tertiary C 5-30 cycloalkoxycarbonylalkoxy group, a tertiary C 3-30 fluoroalkoxycarbonylalkoxy group, or (iv) a moiety —O—C (R 11 R 12 ) -O- (wherein, R 11 R 12 are each independently C 2-30 acetate containing hydrogen or a C 1-30 alkyl group) It may be Lumpur group.

本明細書に開示されるコポリマー及び非重合性光酸発生剤モノマーを含むフォトレジスト組成物は、フォトレジストを含む層を提供するために使用され得る。コーティング基板は、フォトレジスト組成物から形成されてもよい。そのようなコーティング基板は、(a)その表面上にパターン化される1つ以上の層を有する基板と、(b)パターン化される1つ以上の層の上のフォトレジスト組成物の層と、を含む。   Photoresist compositions comprising the copolymers and non-polymerizable photoacid generator monomers disclosed herein can be used to provide a layer comprising a photoresist. The coated substrate may be formed from a photoresist composition. Such a coated substrate comprises: (a) a substrate having one or more layers patterned on its surface; and (b) a layer of a photoresist composition over the one or more layers to be patterned. ,including.

基板は、任意の寸法及び形状であってもよく、フォトリソグラフィに有用なもの、例えば、シリコン、二酸化シリコン、シリコンオンインシュレータ(SOI)、ストレインドシリコン、ヒ化ガリウム、窒化シリコン、酸窒化シリコン、窒化チタン、窒化タンタル、酸化ハフニウムのような極薄ゲート酸化物で被覆された基板を含むコーティング基板、金属またはチタン、タンタル、銅、アルミニウム、タングステン、それらの合金、及びそれらの組み合わせで被覆された基板を含む金属コーティング基板が好ましい。好ましくは、本明細書の基板の表面は、例えば、半導体製造のための基板上の1つ以上のゲートレベル層または他の臨界寸法層を含む、パターン化される臨界寸法層を含む。そのような基板は好ましくは、例えば20cm、30cm以上の直径のような寸法、またはウェハ組み立て製造に有用な他の寸法を有する円形ウェハとして形成される、シリコン、SOI、ストレインドシリコン及び他のそのような基板材料を含み得る。   The substrate may be of any size and shape and is useful for photolithography, such as silicon, silicon dioxide, silicon on insulator (SOI), strained silicon, gallium arsenide, silicon nitride, silicon oxynitride, Coated substrates, including substrates coated with ultra-thin gate oxides such as titanium nitride, tantalum nitride, hafnium oxide, coated with metal or titanium, tantalum, copper, aluminum, tungsten, alloys thereof, and combinations thereof A metal coated substrate including the substrate is preferred. Preferably, the surface of the substrate herein includes a critical dimension layer to be patterned, including, for example, one or more gate level layers or other critical dimension layers on a substrate for semiconductor manufacturing. Such a substrate is preferably formed as a circular wafer having dimensions such as 20 cm, diameters of 30 cm or more, or other dimensions useful for wafer assembly manufacturing, silicon, SOI, strained silicon and other Such a substrate material may be included.

また、電子デバイスの形成方法は、(a)上記フォトレジスト組成物の層を基板の表面に塗布(キャスティング)することと、(b)フォトレジスト組成物層を活性化放射線でパターン露光させることと、(c)露光されたフォトレジスト組成物層を現像して、レジストレリーフ画像を提供することと、を含む。   Also, the method for forming an electronic device includes (a) applying (casting) the layer of the photoresist composition to the surface of the substrate, and (b) pattern exposing the photoresist composition layer with activating radiation, (C) developing the exposed photoresist composition layer to provide a resist relief image.

塗布は、スピンコーティング、スプレーコーティング、浸漬コーティング、ドクターブレードなどを含む任意の適切な方法によって行われ得る。フォトレジストの層を塗布することは、フォトレジストがスピンしているウェハ上に分注されるコーティングトラックを使用して溶媒中のフォトレジストをスピンコートすることによって好ましくは達成される。分注の間、ウェハは、4,000rpmまで、好ましくは約200〜3,000rpm、より好ましくは1,000〜2,500rpmの速度で回転させることができる。コーティングウェハを回転させて溶媒を除去し、ホットプレート上でベークしてフィルムから残留溶媒及び自由体積を除去し、均一に緻密させる。   Application can be done by any suitable method including spin coating, spray coating, dip coating, doctor blade, and the like. Applying a layer of photoresist is preferably accomplished by spin coating the photoresist in a solvent using a coating track that is dispensed onto the wafer on which the photoresist is spinning. During dispensing, the wafer can be rotated at a speed of up to 4,000 rpm, preferably about 200-3,000 rpm, more preferably 1,000-2,500 rpm. The coated wafer is rotated to remove the solvent and baked on a hot plate to remove residual solvent and free volume from the film, and densify uniformly.

キャスティング溶媒は、当業者に公知の任意の適切な溶媒であり得る。例えば、キャスティング溶媒は、脂肪族炭化水素(例えば、ヘキサン、ヘプタンなど)、芳香族炭化水素(例えば、トルエン、キシレンなど)、ハロゲン化炭化水素(例えば、ジクロロメタン、1,2−ジクロロエタン、1−クロロヘキサンなど)、アルコール(メタノール、エタノール、1−プロパノール、イソ−プロパノール、tert−ブタノール、2−メチル−2−ブタノール、4−メチル−2−ペンタノールなど)、水、エーテル(例えば、ジエチルエーテル、テトラヒドロフラン、1,4−ジオキサン、アニソールなど)、ケトン(例えば、アセトン、メチルエチルケトン、メチルイソブチルケトン、2−ヘプタノン、シクロヘキサノンなど)、エステル(例えば、酢酸エチル、酢酸n−ブチル、プロピレングリコールモノメチルエーテルアセテート(「PGMEA」)、乳酸エチル、アセト酢酸エチルなど)、ラクトン(例えばγ−ブチロラクトン、ε−カプロラクトンなど)、ニトリル(例えば、アセトニトリル、プロピオニトリルなど)、非プロトン性双極性溶媒(例えば、ジメチルスルホキシド、ジメチルホルムアミドなど)、またはそれらの組み合わせであり得る。キャスティング溶媒の選択は、特定のフォトレジスト組成物に依存し、知識及び経験に基づいて当業者によって容易に作製され得る。   The casting solvent can be any suitable solvent known to those skilled in the art. For example, casting solvents include aliphatic hydrocarbons (eg, hexane, heptane, etc.), aromatic hydrocarbons (eg, toluene, xylene, etc.), halogenated hydrocarbons (eg, dichloromethane, 1,2-dichloroethane, 1-chloro Hexane), alcohol (methanol, ethanol, 1-propanol, iso-propanol, tert-butanol, 2-methyl-2-butanol, 4-methyl-2-pentanol, etc.), water, ether (eg, diethyl ether, Tetrahydrofuran, 1,4-dioxane, anisole, etc.), ketones (eg, acetone, methyl ethyl ketone, methyl isobutyl ketone, 2-heptanone, cyclohexanone, etc.), esters (eg, ethyl acetate, n-butyl acetate, propylene glycol monomethyl) Ether acetate (“PGMEA”), ethyl lactate, ethyl acetoacetate, etc., lactone (eg, γ-butyrolactone, ε-caprolactone, etc.), nitrile (eg, acetonitrile, propionitrile, etc.), aprotic dipolar solvent (eg, , Dimethyl sulfoxide, dimethylformamide, etc.), or combinations thereof. The choice of casting solvent depends on the particular photoresist composition and can be readily made by one skilled in the art based on knowledge and experience.

次いで、ステッパなどの露光装置を用いてパターンマスクを介して膜を照射することによりパターン露光を行い、パターン露光が達成される。本方法は、極端紫外線(「EUV」)または電子ビーム照射を含む高分解能が可能な波長で活性化放射線を生成する高度な露光ツールを使用することが好ましい。活性化放射線を用いた露光は、露光された領域のPAGを分解し、酸及び分解副生成物を生成し、次いで、酸または副生成物がポリマー及びナノ粒子の化学変化をもたらすことが理解されよう(酸感受性基を脱ブロック化して塩基可溶性基を生成するか、または代替的に、露光領域で架橋反応を触媒する)。そのような露光ツールの解像度は、30nm未満であり得る。   Next, pattern exposure is achieved by irradiating the film through a pattern mask using an exposure apparatus such as a stepper to achieve pattern exposure. The method preferably uses an advanced exposure tool that generates activating radiation at wavelengths capable of high resolution, including extreme ultraviolet ("EUV") or electron beam irradiation. It is understood that exposure with activating radiation decomposes the PAG in the exposed areas to produce acid and decomposition byproducts, which then cause chemical changes in the polymer and nanoparticles. As such (deblocking acid sensitive groups to produce base soluble groups, or alternatively catalyzing the crosslinking reaction in the exposed areas). The resolution of such an exposure tool can be less than 30 nm.

次いで、露光されたフォトレジスト層を現像することは、露光された層を膜の露光された部分を選択的に除去(ここで、フォトレジストはポジティブトーンである)、または膜の未露光部分を除去(ここで、フォトレジストは露光される領域で架橋可能である、つまりネガティブトーン)し得る適切な現像剤で処理することによって達成される。好ましくは、フォトレジストは、ナノ粒子の溶解を阻害するペンダント及び/もしくは遊離酸基または副生成物(照射後の結合PAGまたは遊離PAGに由来する)を有するポリマーに基づくネガティブトーンであり、現像剤は溶媒系であることが好ましい。現像によってパターンが形成される。溶媒現像剤は、本分野において公知の任意の適切な現像剤であり得る。例えば、溶媒現像剤は、脂肪族炭化水素(例えば、ヘキサン、ヘプタンなど)、芳香族炭化水素(例えば、トルエン、キシレンなど)、ハロゲン化炭化水素(例えば、ジクロロメタン、1,2−ジクロロエタン、1−クロロヘキサンなど)、アルコール(メタノール、エタノール、1−プロパノール、イソ−プロパノール、tert−ブタノール、2−メチル−2−ブタノール、4−メチル−2−ペンタノールなど)、水、エーテル(例えば、ジエチルエーテル、テトラヒドロフラン、1,4−ジオキサン、アニソールなど)、ケトン(例えば、アセトン、メチルエチルケトン、メチルイソブチルケトン、2−ヘプタノン、シクロヘキサノンなど)、エステル(例えば、酢酸エチル、酢酸n−ブチル、プロピレングリコールモノメチルエーテルアセテート(「PGMEA」)、乳酸エチル、アセト酢酸エチルなど)、ラクトン(例えばγ−ブチロラクトン、ε−カプロラクトンなど)、ニトリル(例えば、アセトニトリル、プロピオニトリルなど)、非プロトン性双極性溶媒(例えば、ジメチルスルホキシド、ジメチルホルムアミドなど)、またはそれらの組み合わせであり得る。一実施形態において、溶媒現像剤は、溶媒の混和性混合物、例えばアルコール(イソ−プロパノール)とケトン(アセトン)との混合物であってもよい。現像剤溶媒の選択は、特定のフォトレジスト組成物に依存し、知識及び経験に基づいて当業者によって容易に作製され得る。   Then developing the exposed photoresist layer selectively removes the exposed layer of the exposed layer of the film (where the photoresist is positive tone) or removes the unexposed part of the film. This is accomplished by treatment with a suitable developer that can be removed (where the photoresist is crosslinkable in the exposed areas, ie negative tone). Preferably, the photoresist is a negative tone based on a polymer having pendant and / or free acid groups or byproducts (derived from bound PAG or free PAG after irradiation) that inhibit dissolution of the nanoparticles, Is preferably a solvent system. A pattern is formed by development. The solvent developer can be any suitable developer known in the art. For example, solvent developers include aliphatic hydrocarbons (eg, hexane, heptane, etc.), aromatic hydrocarbons (eg, toluene, xylene, etc.), halogenated hydrocarbons (eg, dichloromethane, 1,2-dichloroethane, 1- Chlorohexane, etc.), alcohol (methanol, ethanol, 1-propanol, iso-propanol, tert-butanol, 2-methyl-2-butanol, 4-methyl-2-pentanol, etc.), water, ether (eg, diethyl ether) , Tetrahydrofuran, 1,4-dioxane, anisole, etc.), ketones (eg, acetone, methyl ethyl ketone, methyl isobutyl ketone, 2-heptanone, cyclohexanone, etc.), esters (eg, ethyl acetate, n-butyl acetate, propylene glycol monomethyl ether) Acetate (“PGMEA”), ethyl lactate, ethyl acetoacetate, etc.), lactone (eg, γ-butyrolactone, ε-caprolactone, etc.), nitrile (eg, acetonitrile, propionitrile, etc.), aprotic dipolar solvent (eg, Dimethyl sulfoxide, dimethylformamide, etc.), or combinations thereof. In one embodiment, the solvent developer may be a miscible mixture of solvents, such as a mixture of alcohol (iso-propanol) and ketone (acetone). The choice of developer solvent depends on the particular photoresist composition and can be readily made by one skilled in the art based on knowledge and experience.

フォトレジストは、1つ以上のこのようなパターン形成プロセスで使用される場合、メモリデバイス、プロセッサチップ(CPU)、グラフィックスチップ、及び他のそのようなデバイスなどの電子及びオプトエレクトロニクスデバイスを製造するために使用され得る。   Photoresist, when used in one or more such patterning processes, produces electronic and optoelectronic devices such as memory devices, processor chips (CPUs), graphics chips, and other such devices. Can be used for.

さらに別の実施形態は、重合性基を含む光酸発生剤モノマーと式(I)を有するモノマーとの重合生成物を含むコポリマーを提供する。   Yet another embodiment provides a copolymer comprising a polymerization product of a photoacid generator monomer comprising a polymerizable group and a monomer having formula (I).

式(I)において、R、R、RIn formula (I), R a , R 1 , R 2 ,

「I」及びnは、上記のものと同じである。   “I” and n are the same as described above.

重合性基を含む光酸発生剤モノマーは、式(VIII)で表され得る。   The photoacid generator monomer containing a polymerizable group can be represented by the formula (VIII).

式(VIII)において、各Rは独立して、H、F、C1−10アルキル基、またはC1−10フルオロアルキル基であり得る。本明細書を通して使用される「フルオロ」または「フッ素化」は、1つ以上のフッ素基が関連する基に結合していることを意味する。例えば、この定義により、特に指定しない限り、「フルオロアルキル」は、モノフルオロアルキル、ジフルオロアルキルなど、及びアルキル基の実質的に全ての炭素原子がフッ素原子で置換されたペルフルオロアルキルを包含し、同様に、「フルオロアリール」は、モノフルオロアリール、ペルフルオロアリールなどを意味する。これに関連して「実質的に全て」は、炭素に結合した全ての原子の90%以上、好ましくは95%以上、さらにより好ましくは98%以上がフッ素原子であることを意味する。 In formula (VIII), each R a can independently be H, F, a C 1-10 alkyl group, or a C 1-10 fluoroalkyl group. “Fluoro” or “fluorinated” as used throughout this specification means that one or more fluorine groups are attached to the relevant group. For example, unless otherwise specified, by this definition, “fluoroalkyl” includes monofluoroalkyl, difluoroalkyl, and the like, and perfluoroalkyl in which substantially all of the carbon atoms of the alkyl group are substituted with fluorine atoms, and the like In addition, “fluoroaryl” means monofluoroaryl, perfluoroaryl and the like. “Substantially all” in this context means that 90% or more, preferably 95% or more, even more preferably 98% or more of all atoms bonded to carbon are fluorine atoms.

式(VIII)において、Qは、単結合またはC1−20アルキル、C3−20シクロアルキル、C6−20アリール、及びC7−20アラルキルから選択されるエステル含有または非エステル含有フッ素化または非フッ素化基である。例えば、エステルが含まれる場合、エステルは、Qと二重結合への結合点との間に結合的連結を形成する。このように、Qがエステル基である場合、式(VIII)は(メタ)アクリレートモノマーであり得る。エステルが含まれない場合、Qは芳香族であり得、その結果、式(VIII)は、例えばスチレン系モノマーまたはビニルナフトエ酸モノマーであり得る。 In formula (VIII), Q 2 is a single bond or an ester-containing or non-ester-containing fluorination selected from C 1-20 alkyl, C 3-20 cycloalkyl, C 6-20 aryl, and C 7-20 aralkyl. Or it is a non-fluorinated group. For example, if it contains an ester, the ester forms a bond linkage between the point of attachment to Q 2 with the double bond. Thus, when Q 2 is an ester group of the formula (VIII) may be (meth) acrylate monomer. If not include esters, Q 2 is obtained is aromatic, the result of the formula (VIII) may be, for example, styrene monomer or vinyl naphthoic acid monomers.

また、式(VIII)において、Aは、C1−20アルキル、C3−20シクロアルキル、C6−20アリール、またはC7−20アラルキルから選択されるエステル含有または非エステル含有フッ素化または非フッ素化基である。有用なA基は、フッ素化芳香族部分、直鎖フルオロアルキル、または分枝フルオロアルキルエステルを含み得る。例えば、Aは、−[(C(R(=O)O]−(C(R(CF−基、またはo−、m−、もしくはp−置換−C −基であり得、式中、各R、R及びRは各々独立して、H、F、C1−6フルオロアルキル、またはC1−6アルキルであり、cは、0または1であり、xは、1〜10の整数であり、y及びzは、独立して0〜10の整数であり、y+zの合計は、少なくとも1である。 In the formula (VIII), A is an ester-containing or non-ester-containing fluorinated or non-ester selected from C 1-20 alkyl, C 3-20 cycloalkyl, C 6-20 aryl, or C 7-20 aralkyl. It is a fluorinated group. Useful A groups can include fluorinated aromatic moieties, linear fluoroalkyl, or branched fluoroalkyl esters. For example, A is a — [(C (R e ) 2 ) x (═O) O] c — (C (R f ) 2 ) y (CF 2 ) z — group, or o-, m-, or p. -Substituted -C 6 R g 4 -group, wherein each R e , R f and R g are each independently H, F, C 1-6 fluoroalkyl, or C 1-6 alkyl. And c is 0 or 1, x is an integer of 1 to 10, y and z are independently an integer of 0 to 10, and the sum of y + z is at least 1.

また、式(VIII)において、Zは、スルホンアミド(−SO(N−)R’のアニオンである、スルホネート(−SO )を含むアニオン性基であり、式中、R’は、C1−10アルキルもしくはC6−20アリール、またはスルホンイミドのアニオンである。Zがスルホンイミドである場合、スルホンイミドは、一般構造A−SO−(N−)−SO−Yを有する非対称スルホンイミドであり得、式中、Aは上記の通りであり、Yは直鎖もしくは分枝C1−10フルオロアルキル基である。例えば、Y基は、トリフルオロメタンスルホン酸またはペルフルオロブタンスルホン酸などの対応するペルフルオロアルカンスルホン酸に由来し得るC1−4ペルフルオロアルキル基であり得る。 Further, in the equation (VIII), Z - is a sulfonamide (-SO 2 (N-) R 'is an anion of a sulfonate (-SO 3 - is an anionic group containing a) wherein, R' is , C 1-10 alkyl or C 6-20 aryl, or an anion of a sulfonimide When Z is a sulfonimide, the sulfonimide has the general structure A—SO 2 — (N —) — SO 2 —Y. Can be an asymmetric sulfonimide having 2 wherein A is as described above and Y 2 is a linear or branched C 1-10 fluoroalkyl group, eg, Y 2 group is trifluoromethanesulfonic acid Alternatively, it can be a C 1-4 perfluoroalkyl group that can be derived from the corresponding perfluoroalkanesulfonic acid such as perfluorobutanesulfonic acid.

一実施形態において、式(VIII)のモノマーは、式(VIIIa)または(VIIIb)の構造を有し得、   In one embodiment, the monomer of formula (VIII) may have the structure of formula (VIIIa) or (VIIIb)

式中、A及びRaは、式(VIII)について定義した通りである。   In the formula, A and Ra are as defined for formula (VIII).

式(VIII)、(VIIIa)、及び(VIIIb)において、Gは、式(IV)を有し得、 In formulas (VIII), (VIIIa), and (VIIIb), G + can have formula (IV)

式中、X、R、及びzは、上の実施形態に記載されているものと同じである。 Wherein X, R c and z are the same as described in the above embodiment.

重合生成物は、塩基可溶性モノマー、ラクトン含有モノマー、またはそれらの組み合わせをさらに含み得る。一実施形態において、塩基可溶性モノマーは、上記式(II)で表され得、ラクトン含有モノマーは、上記式(III)で表され得る。   The polymerization product may further comprise base soluble monomers, lactone containing monomers, or combinations thereof. In one embodiment, the base-soluble monomer can be represented by the above formula (II), and the lactone-containing monomer can be represented by the above formula (III).

一実施形態において、コポリマーは、以下の構造のいずれかを有する重合生成物を含むことができ、   In one embodiment, the copolymer can comprise a polymerization product having any of the following structures:

式中、
k、l、m、及びqは、対応する繰り返し単位のモル分率を表し、
「I」は、ヨウ素であり、変数nは、上記と同じである。
Where
k, l, m, and q represent the mole fraction of the corresponding repeating unit;
“I” is iodine, and the variable n is the same as above.

別の実施形態は、上記コポリマーを含むフォトレジスト組成物及び(a)その表面上にパターン化される1つ以上の層を有する基板と、(b)パターン化される1つ以上の層の上の上記フォトレジスト組成物の層と、を含むコーティング基板を提供する。   Another embodiment includes a photoresist composition comprising the copolymer and (a) a substrate having one or more layers patterned on the surface thereof; and (b) on the one or more layers patterned. And a layer of the above photoresist composition.

さらに別の実施形態は、
(a)基板の表面に上記フォトレジスト組成物の層を塗布することと、
(b)フォトレジスト組成物層を活性化放射線でパターン露光させることと、
(c)露光された該フォトレジスト組成物層を現像して、レジストレリーフ画像を提供することと、を含む電子デバイスを形成する方法を提供する。
Yet another embodiment is
(A) applying a layer of the photoresist composition to the surface of the substrate;
(B) pattern exposing the photoresist composition layer with activating radiation;
(C) developing the exposed photoresist composition layer to provide a resist relief image; and providing a method of forming an electronic device.

以下、本発明を実施例によってさらに詳細に説明する。ただし、これらの実施例は例示であり、本開示はこれに限定されない。   Hereinafter, the present invention will be described in more detail by way of examples. However, these examples are illustrative and the present disclosure is not limited thereto.

これらの実施例で使用されるモノマーの略語及び化学構造を表1に示す。ECPPDBT F2と称するモノマーの合成は、米国特許公開第2014/0080058A1号に記載されている。   Table 1 shows the abbreviations and chemical structures of the monomers used in these examples. The synthesis of a monomer designated ECPPDBT F2 is described in US Patent Publication No. 2014 / 0080058A1.

モノマー合成
2つのモノマーの合成が記載されている。本明細書で使用されるグリニャール試薬溶液は、Aldrichから購入し、受け取ったものを使用した。4−IPBMAと称するモノマーの合成スキームを図1に要約する。窒素雰囲気下、オーブン乾燥フラスコに、100mLのTHF及び100mLの0.3Mの臭化エチルマグネシウムのTHF溶液を入れた。グリニャール溶液を0℃に冷却し、窒素雰囲気下で、200mLのTHF中の4−ヨードベンゾフェノン(1,50.0g、0.2mol)の溶液を滴下して添加した。反応混合物を室温まで加温し、撹拌を4時間継続した。反応混合物に塩化アンモニウム水溶液(100mL、1M)を添加した。得られた混合物を100mLの塩化メチレンで2回抽出した。抽出物からの合わせた有機相を減圧下で濃縮して、油状の粗生成物2−(4−ヨードフェニル)ブタン−2−オール(2)を得、これをさらに精製することなく次の工程で使用した。収量:61g。
Monomer synthesis The synthesis of two monomers has been described. The Grignard reagent solution used herein was purchased from Aldrich and received. The synthesis scheme for the monomer designated 4-IPBMA is summarized in FIG. Under a nitrogen atmosphere, an oven-dried flask was charged with 100 mL of THF and 100 mL of 0.3 M ethylmagnesium bromide in THF. The Grignard solution was cooled to 0 ° C. and a solution of 4-iodobenzophenone (1,50.0 g, 0.2 mol) in 200 mL THF was added dropwise under a nitrogen atmosphere. The reaction mixture was warmed to room temperature and stirring was continued for 4 hours. To the reaction mixture was added aqueous ammonium chloride solution (100 mL, 1M). The resulting mixture was extracted twice with 100 mL of methylene chloride. The combined organic phases from the extract are concentrated under reduced pressure to give the oily crude product 2- (4-iodophenyl) butan-2-ol (2), which is used in the next step without further purification. Used in. Yield: 61 g.

次の工程で、メタクリロイルクロリド(6.20g、59.3mol)の100mLの塩化メチレン溶液を、0℃の2−(4−ヨードフェニル)ブタン−2−オール(15.0g、54.2mol)及びトリエチルアミン(6.2g、61.3mol)からなる100mLの塩化メチレン溶液に滴下して添加した。添加が完了した後、混合物を室温まで加温し、16時間撹拌した。薄層クロマトグラフィー(TLC)試験は、不完全な転化を示した。さらに0.3当量の塩化メタクロリル及び0.3当量のトリエチルアミンを添加し、混合物をさらに12時間撹拌した。反応混合物を水(3×150mL)で洗浄し、有機相を濃縮した。得られた残渣を10mLの塩化メチレンに溶解し、溶離液として最初にヘプタンを用いてシリカゲルの短いプラグに通し、次いで塩化メチレンを用いて生成物を含む画分を回収した。純生成物の合わせた画分に、100mgのジブチルヒドロキシトルエン(BHT)阻害剤を添加し、溶媒を減圧下で完全に除去して、10.5gのモノマー4−IPBMA(3)を生成し、生成物を乾燥させた。収量:10.5g。   In the next step, a solution of methacryloyl chloride (6.20 g, 59.3 mol) in 100 mL of methylene chloride was added to 2- (4-iodophenyl) butan-2-ol (15.0 g, 54.2 mol) and 0 ° C. To the 100 mL methylene chloride solution consisting of triethylamine (6.2 g, 61.3 mol) was added dropwise. After the addition was complete, the mixture was warmed to room temperature and stirred for 16 hours. Thin layer chromatography (TLC) tests showed incomplete conversion. An additional 0.3 equivalents of methacrylyl chloride and 0.3 equivalents of triethylamine were added and the mixture was stirred for an additional 12 hours. The reaction mixture was washed with water (3 × 150 mL) and the organic phase was concentrated. The resulting residue was dissolved in 10 mL of methylene chloride and first passed through a short plug of silica gel using heptane as the eluent and then the fraction containing the product was collected using methylene chloride. To the combined fractions of pure product, 100 mg of dibutylhydroxytoluene (BHT) inhibitor was added and the solvent was completely removed under reduced pressure to produce 10.5 g of monomer 4-IPBMA (3). The product was dried. Yield: 10.5g.

H NMR(CDCl),δ:7.75(d,2H,ArH),7.25(d,2H,ArH),6.20(s,1H,CH=CH),5.70(s,1H,CH=CH),2.01(s,3H,CH),1.84−1.54(m,5H,CHCH),0.8(t,3H,CH)。 1 H NMR (CDCl 3 ), δ: 7.75 (d, 2H, ArH), 7.25 (d, 2H, ArH), 6.20 (s, 1H, CH═CH), 5.70 (s , 1H, CH = CH), 2.01 (s, 3H, CH 3), 1.84-1.54 (m, 5H, CH 3 CH 2), 0.8 (t, 3H, CH 3).

3−IPPMAと称するモノマーの合成スキームを図2に要約する。   A synthesis scheme for the monomer designated 3-IPPMA is summarized in FIG.

0℃及び窒素雰囲気下で250mLの乾燥THF中の3−ヨード安息香酸メチル(4,30g、0.122mol)の溶液に、100mLの0.3Mの臭化メチルマグネシウムのエーテル溶液をゆっくり添加した。グリニャール試薬の添加が完了した後、混合物をゆっくりと室温に加温し、撹拌をさらに3時間継続した。次に、塩化アンモニウム水溶液(50mL、0.5M)を反応混合物に添加した。有機溶媒を蒸留により除去し、生成物を150mLの塩化メチレンで抽出した。塩化メチレン溶液を100mLの水で2回洗浄した。有機相からの溶媒を蒸留により完全に除去して、生成物2−(3−ヨードフェニル)プロパン−2−オール5を無色油状物として得、これをさらに精製することなく次の工程で使用した。収量:32g(95%)。   To a solution of methyl 3-iodobenzoate (4,30 g, 0.122 mol) in 250 mL of dry THF at 0 ° C. and nitrogen atmosphere was slowly added 100 mL of 0.3 M methylmagnesium bromide in ether. After complete addition of the Grignard reagent, the mixture was slowly warmed to room temperature and stirring was continued for an additional 3 hours. Next, aqueous ammonium chloride (50 mL, 0.5 M) was added to the reaction mixture. The organic solvent was removed by distillation and the product was extracted with 150 mL methylene chloride. The methylene chloride solution was washed twice with 100 mL water. The solvent from the organic phase was completely removed by distillation to give the product 2- (3-iodophenyl) propan-2-ol 5 as a colorless oil that was used in the next step without further purification. . Yield: 32 g (95%).

次の工程において、反応フラスコに、150mLの2−(3−ヨードフェニル)プロパン−2−オール(5,32g、0.115モル)の塩化メチレン溶液を入れた。溶液を0℃に冷却した。反応フラスコに塩化メタクリロイル(18.0g、0.17mol)及びトリエチルアミン(20g、0.20mol)を添加し、混合物を室温で16時間撹拌した。有機相を水(3×100mL)で洗浄し、溶媒を減圧下で完全に除去した。粗物質を150mLの塩化メチレンに溶解し、100mLの0.5Mの炭酸ナトリウム水溶液で2回洗浄した。有機相を濃縮し、溶出液として塩化メチレン/ヘプタンを用いて塩基性酸化アルミニウムの短いパッドに通した。生成物を含む溶媒画分を集め、溶媒を減圧下で完全に除去して、生成物を無色油状物として得た。収量:34g(90%)。   In the next step, the reaction flask was charged with 150 mL of 2- (3-iodophenyl) propan-2-ol (5,32 g, 0.115 mol) in methylene chloride. The solution was cooled to 0 ° C. To the reaction flask was added methacryloyl chloride (18.0 g, 0.17 mol) and triethylamine (20 g, 0.20 mol) and the mixture was stirred at room temperature for 16 hours. The organic phase was washed with water (3 × 100 mL) and the solvent was completely removed under reduced pressure. The crude material was dissolved in 150 mL of methylene chloride and washed twice with 100 mL of 0.5 M aqueous sodium carbonate. The organic phase was concentrated and passed through a short pad of basic aluminum oxide using methylene chloride / heptane as the eluent. The solvent fractions containing the product were collected and the solvent was completely removed under reduced pressure to give the product as a colorless oil. Yield: 34 g (90%).

H NMR(CDCl),δ:7.80(s,1H,ArH),7.65(d,1H,ArH),7.46(d,1H,ArH),7.18(T,1H,ArH),6.12(S,1H,CH=CH),5.65(s,1H,CH=CH),2.10(s,3H,CH),1.70(s,6H,2CH)。 1 H NMR (CDCl 3 ), δ: 7.80 (s, 1H, ArH), 7.65 (d, 1H, ArH), 7.46 (d, 1H, ArH), 7.18 (T, 1H) , ArH), 6.12 (S, 1H, CH = CH), 5.65 (s, 1H, CH = CH), 2.10 (s, 3H, CH 3), 1.70 (s, 6H, 2CH 3).

コポリマー合成
この実施例は、3つの本発明のコポリマー及び2つの比較コポリマーの合成を説明する。コポリマー1は、モノマー4−IPBMA、α−GBLMA、DiHFAからモル供給比38.5/49.5/12で調製した。45.92gのプロピレングリコールモノメチルエーテルアセテート(「PGMEA」)に、4−IPBMA(7.97g、24.13mmol)、α−GBLMA(5.21g、31.0mmol)及びDiHFA(3.32g、7.0mmol)を溶解して供給溶液を作製した。1.68gのアゾ開始剤ジメチル2,2’−アゾビス(2−メチルプロピオネート)(Wako Pure Chemical Industries,Ltd.からV−601として得た)を10.8gのPGMEAに溶解して開始剤溶液を調製した。
Copolymer synthesis This example illustrates the synthesis of three inventive copolymers and two comparative copolymers. Copolymer 1 was prepared from monomers 4-IPBMA, α-GBLMA, DiHFA at a molar feed ratio of 38.5 / 49.5 / 12. To 45.92 g of propylene glycol monomethyl ether acetate (“PGMEA”), 4-IPBMA (7.97 g, 24.13 mmol), α-GBMMA (5.21 g, 31.0 mmol) and DiHFA (3.32 g, 7. 0 mmol) was dissolved to make a feed solution. 1.68 g of azo initiator dimethyl 2,2′-azobis (2-methylpropionate) (obtained as V-601 from Wako Pure Chemical Industries, Ltd.) in 10.8 g of PGMEA A solution was prepared.

重合は、水凝縮器及び温度計を備えた3つ口丸底フラスコ中で行い、フラスコ内の反応を監視した。反応器に4−IPBMA(0.46g、1.40mmol)、α−GBLMA(0.38g、2.23mmol)、DiHFA(0.67g、1.33mmol)及び17.52gのプロピレングリコールモノメチルエーテルアセテート(「PGMEA」)を入れ、内容物を75℃に加熱した。供給溶液及び開始剤溶液をシリンジポンプを用いて4時間にわたって反応器に供給した。次いで内容物をさらに2時間撹拌した。内容物を室温に冷却し、テトラヒドロフラン(「THF」)で25重量パーセントに希釈し、10倍量(重量)のヘプタンとイソプロパノールの7:3(w/w)混合物に沈殿させた。得られたコポリマー1を濾過により単離し、50℃で24時間真空乾燥した。   The polymerization was carried out in a three neck round bottom flask equipped with a water condenser and a thermometer, and the reaction in the flask was monitored. The reactor was charged with 4-IPBMA (0.46 g, 1.40 mmol), α-GBLMA (0.38 g, 2.23 mmol), DiHFA (0.67 g, 1.33 mmol) and 17.52 g of propylene glycol monomethyl ether acetate ( “PGMEA”) was added and the contents were heated to 75 ° C. Feed solution and initiator solution were fed to the reactor using a syringe pump over 4 hours. The contents were then stirred for an additional 2 hours. The contents were cooled to room temperature, diluted to 25 weight percent with tetrahydrofuran (“THF”), and precipitated into a 7: 3 (w / w) mixture of 10 volumes (weight) of heptane and isopropanol. The resulting copolymer 1 was isolated by filtration and vacuum dried at 50 ° C. for 24 hours.

表2に記載のポリマーは、表2に指定されているようなモノマータイプ及びモル供給比を使用したことを除いて、コポリマー1を製造するために用いたものと同様の手順を用いて調製した。   The polymers listed in Table 2 were prepared using a procedure similar to that used to make Copolymer 1 except that the monomer types and molar feed ratios as specified in Table 2 were used. .

フォトレジストの調製と処理
コポリマー1〜3を含有するフォトレジスト組成物は、各々独立して表3にまとめたように配合した。表3中の成分量は、溶媒を除いた全固形分に基づく。非重合性光酸発生剤は、以下の化学構造を有するECPPDBT AdOH−TFBSであった。
Photoresist Preparation and Processing Photoresist compositions containing Copolymers 1-3 were each independently formulated as summarized in Table 3. The amount of components in Table 3 is based on the total solid content excluding the solvent. The non-polymerizable photoacid generator was ECPPDBT AdOH-TFBS having the following chemical structure.

クエンチャーはトリオクチルアミン(TOA)であった。界面活性剤は、POLYFOX(商標)PF−656として得られたフッ素化界面活性剤であった。   The quencher was trioctylamine (TOA). The surfactant was a fluorinated surfactant obtained as POLYFOX ™ PF-656.

2つの本発明の組成物と1つの比較用フォトレジスト組成物の組成を表3にまとめる。ここで、成分量は、溶媒を除いた全固形分に基づく重量パーセントとして表される。   The compositions of two inventive compositions and one comparative photoresist composition are summarized in Table 3. Here, the component amount is expressed as a weight percent based on the total solid content excluding the solvent.

表3の全ての配合物は、プロピレングリコールモノメチルエーテルアセテートを溶媒として使用した。レジストを110℃で90秒間ソフトベークし、100℃で60秒間露光後ベースで処理した。厚い有機反射防止層上にレジストを塗布することにより、248ナノメートルのコントラスト曲線が生成された。レジストをCanon TELACTツールで248ナノメートルで露光した。露光後ベークの後、0.26Nテトラメチルアンモニウムヒドロキシド溶液を用いてレジストを60秒間現像した。膜厚の値は、KLA Tencore OPTIPROBE(商標)7341熱波ツールを用いて測定した。この評価の結果を表4に示す。ここで、「248nm E」はクリアになるまでの248ナノメートルの露光量であり、ミリジュール/センチメートルで表す。 All formulations in Table 3 used propylene glycol monomethyl ether acetate as a solvent. The resist was soft baked at 110 ° C. for 90 seconds and processed on an after-exposure base at 100 ° C. for 60 seconds. By applying a resist on the thick organic antireflective layer, a 248 nanometer contrast curve was generated. The resist was exposed at 248 nanometers with a Canon TELACT tool. After post-exposure baking, the resist was developed for 60 seconds using a 0.26N tetramethylammonium hydroxide solution. Film thickness values were measured using a KLA Tencore OPTPROBE ™ 7341 heat wave tool. The results of this evaluation are shown in Table 4. Here, “248 nm E 0 ” is an exposure amount of 248 nanometers until clear, and is expressed in millijoules / centimeter 2 .

コントラスト曲線測定
EUV露光源(13.5nm)を用いたコントラスト曲線測定は、LithoTech Japan EUVES−9000フラッド露光ツールを用いて行った。レジストを有機下層またはシリコンウェーハのいずれかにスピンコートし、110℃で90秒間ベークして40〜50nm厚のフォトレジスト膜を形成した。レジストを段階的に増加させた13.5nm照射線の照射量で露光し、100℃で60秒間の露光後ベークを行い、0.26Nの水酸化テトラメチルアンモニウム水溶液で60秒間現像して、露光領域と非露光領域とのレリーフ画像パターンを形成した。KLA Thermawave−7エリプソメータを用いて、各露光領域での厚さを測定し、対露光量でプロットした。露光量対クリア値(E)は、残ったフィルムの厚さの10%以下で計算した。分かるように、「ヨード不含」PPMA酸開裂可能な繰り返し単位を有するターポリマーを含む比較フォトレジスト4と比較して、4−IPBMAまたは3−IPPMA酸開裂可能繰り返し単位を有するターポリマーを含有するフォトレジスト1及び2は、EUV露光下でより高い光感度を有する。「ヨード不含」PPMA酸開裂可能な繰り返し単位を含むポリマー結合PAGを含む比較フォトレジスト5と比較して、3−IPPMAを含むポリマー結合PAGを含むフォトレジスト3は、EUV露光下でより高い感光速度を有する。
Contrast Curve Measurement Contrast curve measurement using an EUV exposure source (13.5 nm) was performed using a LithoTech Japan EUVES-9000 flood exposure tool. The resist was spin-coated on either the organic lower layer or the silicon wafer, and baked at 110 ° C. for 90 seconds to form a 40-50 nm thick photoresist film. The resist is exposed with a dose of 13.5 nm irradiation with a stepwise increase, post-exposure baking is performed at 100 ° C. for 60 seconds, and development is performed with a 0.26N tetramethylammonium hydroxide aqueous solution for 60 seconds. A relief image pattern of areas and non-exposed areas was formed. Using a KLA Thermowave-7 ellipsometer, the thickness at each exposure area was measured and plotted against exposure. The exposure amount versus the clear value (E 0 ) was calculated at 10% or less of the remaining film thickness. As can be seen, it contains a terpolymer having 4-IPBMA or 3-IPPMA acid cleavable repeat units as compared to Comparative Photoresist 4 containing terpolymers having “iodo-free” PPMA acid cleavable repeat units. Photoresists 1 and 2 have higher photosensitivity under EUV exposure. Photoresist 3 containing polymer-bound PAG containing 3-IPPMA is more sensitive under EUV exposure compared to Comparative Photoresist 5 containing polymer-bound PAG containing “iodo-free” PPMA acid cleavable repeat units. Have speed.

本開示は、現時点で実用的な例示的実施形態と考えられるものに関連して記載されているが、本発明は開示された実施形態に限定されるものではなく、むしろ添付の請求項の精神及び範囲内に含まれる様々な修正及び同等の構成を包含することが意図されることを理解されたい。   While this disclosure has been described in connection with what are presently considered to be practical exemplary embodiments, it is not intended that the invention be limited to the disclosed embodiments, but rather the spirit of the appended claims. It should be understood that various modifications and equivalent arrangements included within the scope and range are intended to be included.

Claims (15)

式(I)を有するモノマーであって、
式(I)において、
は、H、F、−CN、C1−10アルキル基、またはC1−10フルオロアルキル基であり、
及びRは各々独立して、非置換もしくは置換C1−10直鎖もしくは分枝アルキル基、非置換もしくは置換C3−10シクロアルキル基、非置換もしくは置換C3−10アルケニルアルキル基、非置換もしくは置換C3−10アルキニルアルキル基、または非置換もしくは置換のC6−30アリール基であり、R及びRは、O及びSから選択される少なくとも1つの結合基を任意に含み、R及びRは、一緒になって環を任意に形成し、
は、単環もしくは多環式非置換もしくは置換C6−30アリーレン基、または単環もしくは多環式非置換もしくは置換C3−30ヘテロアリーレン基を表し、「*」及び「*’」は、隣接する基または原子への結合点を示し、
「I」は、ヨウ素を表し、
nは、1、2、3、4、5、6、7、8、及び9である、モノマー。
A monomer having the formula (I),
In formula (I):
R a is H, F, —CN, a C 1-10 alkyl group, or a C 1-10 fluoroalkyl group,
R 1 and R 2 are each independently an unsubstituted or substituted C 1-10 linear or branched alkyl group, an unsubstituted or substituted C 3-10 cycloalkyl group, an unsubstituted or substituted C 3-10 alkenylalkyl group. , An unsubstituted or substituted C 3-10 alkynylalkyl group, or an unsubstituted or substituted C 6-30 aryl group, wherein R 1 and R 2 optionally represent at least one linking group selected from O and S R 1 and R 2 together optionally form a ring;
Represents a monocyclic or polycyclic unsubstituted or substituted C 6-30 arylene group, or a monocyclic or polycyclic unsubstituted or substituted C 3-30 heteroarylene group, “*” and “* ′” Indicate the point of attachment to an adjacent group or atom;
“I” represents iodine;
a monomer wherein n is 1, 2, 3, 4, 5, 6, 7, 8, and 9.
前記C6−30アリーレン基が、単環式C6−30アリーレン基、縮合二環式C6−30アリーレン基、または単結合C6−30アリーレン基である、請求項1に記載のモノマー。 The monomer according to claim 1, wherein the C 6-30 arylene group is a monocyclic C 6-30 arylene group, a condensed bicyclic C 6-30 arylene group, or a single-bonded C 6-30 arylene group. 及びRの各々が、非置換C1−10直鎖もしくは分枝アルキル基である、請求項1または2に記載のモノマー。 The monomer according to claim 1 or 2, wherein each of R 1 and R 2 is an unsubstituted C 1-10 linear or branched alkyl group. 及びRの一方が、非置換C1−10直鎖もしくは分枝アルキル基であり、R及びRの他方が、少なくとも1個のフッ素原子で置換されたC1−10直鎖もしくは分枝アルキル基である、請求項3に記載のモノマー。 One of R 1 and R 2 is an unsubstituted C 1-10 straight chain or branched alkyl group, and the other of R 1 and R 2 is a C 1-10 straight chain substituted with at least one fluorine atom Or the monomer of Claim 3 which is a branched alkyl group. nが、1、2、または3である、請求項1〜4のいずれか1項に記載のモノマー。   The monomer according to claim 1, wherein n is 1, 2 or 3. 請求項1〜5のいずれか1項に記載のモノマーの重合生成物を含むコポリマー。   A copolymer comprising a polymerization product of the monomer according to any one of claims 1 to 5. 塩基可溶性モノマー、ラクトン含有モノマー、またはそれらの組み合わせの重合生成物をさらに含む、請求項6に記載のコポリマー。   The copolymer of claim 6 further comprising a polymerization product of a base soluble monomer, a lactone-containing monomer, or a combination thereof. 前記塩基可溶性モノマーが、式(II)で表され、前記ラクトン含有モノマーが、式(III)で表され、
式中、
各Rは独立して、H、F、C1−10アルキル基、またはC1−10フルオロアルキル基であり、
は、C1−20アルキル、C3−20シクロアルキル、C6−20アリール及びC7−20アラルキルから選択されるエステル含有基または非エステル含有基であり、
Wは、−C(=O)−OH、−C(CFOH、−NH−SO−Y(式中、Yは、FまたはC1−4ペルフルオロアルキルである)、芳香族−OH、または上記のいずれかとビニルエーテルとの付加物を含む塩基反応性基であり、
aは、1〜3の整数であり、
Lは、単環式、多環式、または縮合多環式C4−20ラクトン含有基である、請求項7に記載のコポリマー。
The base-soluble monomer is represented by the formula (II), the lactone-containing monomer is represented by the formula (III),
Where
Each R a is independently H, F, a C 1-10 alkyl group, or a C 1-10 fluoroalkyl group;
Q 1 is an ester-containing group or a non-ester-containing group selected from C 1-20 alkyl, C 3-20 cycloalkyl, C 6-20 aryl and C 7-20 aralkyl,
W is —C (═O) —OH, —C (CF 3 ) 2 OH, —NH—SO 2 —Y 1 (where Y 1 is F or C 1-4 perfluoroalkyl), aromatic A group-OH, or a base-reactive group comprising an adduct of any of the above and a vinyl ether;
a is an integer of 1 to 3,
The copolymer of claim 7, wherein L is a monocyclic, polycyclic, or fused polycyclic C 4-20 lactone-containing group.
光酸発生剤を含むモノマーの重合生成物をさらに含む、請求項6〜8のいずれか1項に記載のコポリマー。   The copolymer according to any one of claims 6 to 8, further comprising a polymerization product of a monomer containing a photoacid generator. 重合可能な基を含む前記光酸発生剤モノマーが、式(VIII)で表され、
式中、
は独立して、H、F、C1−10アルキル基、またはC1−10フルオロアルキル基であり、
は、単結合またはC1−20アルキレン、C3−20シクロアルキレン、C6−20アリーレン、及びC7−20アラルキレン基から選択されるエステル含有または非エステル含有フッ素化または非フッ素化基であり、
Aは、C1−20アルキレン、C3−20シクロアルキレン、C6−20アリーレン、及びC7−20アラルキレンから選択されるエステル含有または非エステル含有、フッ素化または非フッ素化基であり、
Zは、スルホネート、スルホンアミドのアニオン、またはスルホンイミドのアニオンを含むアニオン性部分であり、
は、式(IV)を有し、
式中、
Xは、SまたはIであり、
各Rは、非置換もしくは置換、ハロゲン化または非ハロゲン化であり、かつ独立してC1−30アルキル、多環式もしくは単環式C3−30シクロアルキル、多環式もしくは単環式C4−30アリールであり、XがSである場合、前記Rのうちの1つは、1つの隣接するRに単結合によって任意に結合し、
zは、2または3であり、XがIである場合、zは2であり、またはXがSである場合、zは3である、請求項9に記載のコポリマー。
The photoacid generator monomer containing a polymerizable group is represented by the formula (VIII):
Where
R a is independently H, F, a C 1-10 alkyl group, or a C 1-10 fluoroalkyl group,
Q 2 is a single bond or an ester-containing or non-ester-containing fluorinated or non-fluorinated group selected from C 1-20 alkylene, C 3-20 cycloalkylene, C 6-20 arylene, and C 7-20 aralkylene groups And
A is an ester-containing or non-ester-containing, fluorinated or non-fluorinated group selected from C 1-20 alkylene, C 3-20 cycloalkylene, C 6-20 arylene, and C 7-20 aralkylene,
Z is an anionic moiety comprising a sulfonate, a sulfonamide anion, or a sulfonimide anion;
G + has the formula (IV)
Where
X is S or I;
Each R c is unsubstituted or substituted, halogenated or non-halogenated and is independently C 1-30 alkyl, polycyclic or monocyclic C 3-30 cycloalkyl, polycyclic or monocyclic When C 4-30 aryl and X is S, then one of said R c is optionally linked to one adjacent R c by a single bond;
10. The copolymer of claim 9, wherein z is 2 or 3, and when X is I, z is 2 or when X is S, z is 3.
請求項6〜10のいずれか1項に記載のコポリマーを含むフォトレジスト組成物。   A photoresist composition comprising the copolymer of any one of claims 6-10. 式Gを有する非重合性光酸発生剤モノマーをさらに含み、
式中、Gは、式(IV)を有し、
式中、
Xは、SまたはIであり、
各Rは、非置換もしくは置換、ハロゲン化または非ハロゲン化であり、かつ独立してC1−30アルキル、多環式もしくは単環式C3−30シクロアルキル、多環式もしくは単環式C4−30アリールであり、XがSである場合、前記Rのうちの1つは、1つの隣接するRに単結合によって任意に結合し、
zは、2または3であり、XがIである場合、zは2であり、またはXがSである場合、zは3であり、
は、非重合性有機アニオンである、請求項11に記載のフォトレジスト組成物。
Further comprising a non-polymerizable photoacid generator monomer having, - wherein G + A
Wherein G + has the formula (IV)
Where
X is S or I;
Each R c is unsubstituted or substituted, halogenated or non-halogenated and is independently C 1-30 alkyl, polycyclic or monocyclic C 3-30 cycloalkyl, polycyclic or monocyclic When C 4-30 aryl and X is S, then one of said R c is optionally linked to one adjacent R c by a single bond;
z is 2 or 3, z is 2 when X is I, or z is 3 when X is S;
The photoresist composition according to claim 11, wherein A is a non-polymerizable organic anion.
が、式(V)、(VI)、または(VII)を有し、
式中、
Xは、IまたはSであり、
、R、R、及びRは、非置換もしくは置換され、かつ各々独立して、ヒドロキシ、ニトリル、ハロゲン、C1−30アルキル、C1−30フルオロアルキル、C3−30シクロアルキル、C1−30フルオロシクロアルキル、C1−30アルコキシ、C3−30アルコキシカルボニルアルキル、C3−30アルコキシカルボニルアルコキシ、C3−30シクロアルコキシ、C5−30シクロアルコキシカルボニルアルキル、C5−30シクロアルコキシカルボニルアルコキシ、C1−30フルオロアルコキシ、C3−30フルオロアルコキシカルボニルアルキル、C3−30フルオロアルコキシカルボニルアルコキシ、C3−30フルオロシクロアルコキシ、C5−30フルオロシクロアルコキシカルボニルアルキル、C5−30フルオロシクロアルコキシカルボニルアルコキシ、C6−30アリール、C6−30フルオロアリール、C6−30アリールオキシ、C6−30フルオロアリールオキシ、または−O−C(R1112)−O−(式中、R11及びR12は各々独立して、水素またはC1−30アルキル基である)を含むC2−30アセタール基であり、各々は非置換であるか、もしくは置換され、
Ar及びArは独立して、C10−30縮合もしくは単結合した多環式アリール基であり、
は、XがIである場合、孤立電子対であり、またはXがSである場合、C6−20アリール基であり、pは、2または3の整数であり、XがIである場合、pは2であり、XがSである場合、pは3であり、
q及びrは、各々独立して0〜5の整数であり、
s及びtは、各々独立して0〜4の整数である、請求項12に記載のフォトレジスト組成物。
G + has the formula (V), (VI), or (VII);
Where
X is I or S;
R h , R i , R j , and R k are unsubstituted or substituted and are each independently hydroxy, nitrile, halogen, C 1-30 alkyl, C 1-30 fluoroalkyl, C 3-30 cyclo Alkyl, C 1-30 fluorocycloalkyl, C 1-30 alkoxy, C 3-30 alkoxycarbonylalkyl, C 3-30 alkoxycarbonylalkoxy, C 3-30 cycloalkoxy, C 5-30 cycloalkoxycarbonylalkyl, C 5 -30 cycloalkoxycarbonylalkoxy, C1-30 fluoroalkoxy, C3-30 fluoroalkoxycarbonylalkyl, C3-30 fluoroalkoxycarbonylalkoxy, C3-30 fluorocycloalkoxy, C5-30 fluorocycloalkoxycarbonylalkyl , C 5-30 fluorocycloalkoxycarbonylalkoxy, C 6-30 aryl, C 6-30 fluoroaryl, C 6-30 aryloxy, C 6-30 fluoroaryloxy, or —O—C (R 11 R 12 ) -O- (wherein, R 11 and R 12 are each independently a C 2-30 acetal group containing a hydrogen) or C 1-30 alkyl group, or each of which is unsubstituted, or Replaced by
Ar 1 and Ar 2 are independently a C 10-30 condensed or single-bonded polycyclic aryl group,
R 1 is a lone pair when X is I, or a C 6-20 aryl group when X is S, p is an integer of 2 or 3, and X is I P is 2, and when X is S, p is 3,
q and r are each independently an integer of 0 to 5;
The photoresist composition according to claim 12, wherein s and t are each independently an integer of 0 to 4.
コーティング基板であって、(a)その表面上にパターン化される1つ以上の層を有する基板と、(b)前記パターン化される1つ以上の層上の請求項11〜13のいずれか1項に記載のフォトレジスト組成物の層と、を含む、コーティング基板。   14. A coated substrate comprising: (a) a substrate having one or more layers patterned on its surface; and (b) any of the patterned one or more layers. A coated substrate comprising: a layer of the photoresist composition according to claim 1. 電子デバイスを形成する方法であって、
(a)基板の表面上に、請求項11〜13のいずれか1項に記載のフォトレジスト組成物の層を塗布することと、
(b)前記フォトレジスト組成物層を活性化放射線でパターン露光させることと、
(c)前記露光されたフォトレジスト組成物層を現像して、レジストレリーフ画像を提供することと、を含む、方法。
A method of forming an electronic device comprising:
(A) On the surface of a board | substrate, apply | coating the layer of the photoresist composition of any one of Claims 11-13,
(B) pattern exposing the photoresist composition layer with activating radiation;
(C) developing the exposed photoresist composition layer to provide a resist relief image.
JP2018038262A 2017-03-31 2018-03-05 Acid-cleavable monomer and polymer containing the same Active JP6697498B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/475,630 2017-03-31
US15/475,630 US10095109B1 (en) 2017-03-31 2017-03-31 Acid-cleavable monomer and polymers including the same

Publications (2)

Publication Number Publication Date
JP2018172640A true JP2018172640A (en) 2018-11-08
JP6697498B2 JP6697498B2 (en) 2020-05-20

Family

ID=63668804

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018038262A Active JP6697498B2 (en) 2017-03-31 2018-03-05 Acid-cleavable monomer and polymer containing the same

Country Status (5)

Country Link
US (1) US10095109B1 (en)
JP (1) JP6697498B2 (en)
KR (3) KR20180111531A (en)
CN (1) CN108690164B (en)
TW (1) TWI674267B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020137935A1 (en) * 2018-12-27 2020-07-02 三菱瓦斯化学株式会社 Compound, (co)polymer, composition, pattern forming method, and compound production method
WO2021157551A1 (en) * 2020-02-06 2021-08-12 三菱瓦斯化学株式会社 Composition for lithography and pattern-forming method
KR20210148936A (en) 2020-06-01 2021-12-08 스미또모 가가꾸 가부시키가이샤 Compound, resin, resist composition and method for producing resist pattern
KR20210148934A (en) 2020-06-01 2021-12-08 스미또모 가가꾸 가부시키가이샤 Compound, resin, resist composition and method for producing resist pattern
WO2023195407A1 (en) * 2022-04-06 2023-10-12 東京応化工業株式会社 Resist composition and method for forming resist pattern

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10274847B2 (en) 2017-09-19 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control in EUV lithography

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015161823A (en) * 2014-02-27 2015-09-07 富士フイルム株式会社 Pattern forming method, method for manufacturing electronic device, electronic device, actinic ray-sensitive or radiation-sensitive resin composition, and resist film

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009539501A (en) * 2006-06-06 2009-11-19 ラトガーズ, ザ ステイト ユニバーシティ オブ ニュー ジャージー Iodinated polymer
JP5548487B2 (en) * 2009-03-25 2014-07-16 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, and resist film and pattern forming method using the composition
JP5836299B2 (en) * 2012-08-20 2015-12-24 富士フイルム株式会社 PATTERN FORMATION METHOD, ELECTRON-SENSITIVE OR EXTREME UV-SENSITIVE RESIN COMPOSITION, RESIST FILM, AND METHOD FOR PRODUCING ELECTRONIC DEVICE USING THEM
JP6031420B2 (en) * 2012-08-31 2016-11-24 ダウ グローバル テクノロジーズ エルエルシー Polymer containing terminal group containing photoacid generator, photoresist containing said polymer and device manufacturing method
US8945814B2 (en) 2012-09-15 2015-02-03 Rohm And Haas Electronic Materials Llc Acid generators and photoresists comprising same
JP6118586B2 (en) * 2013-02-28 2017-04-19 富士フイルム株式会社 Pattern forming method and electronic device manufacturing method
WO2015046021A1 (en) * 2013-09-26 2015-04-02 Jsr株式会社 Radiation-sensitive resin composition and resist pattern forming method
US9696624B2 (en) * 2015-07-29 2017-07-04 Rohm And Haas Electronic Materials Llc Nanoparticle-polymer resists

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015161823A (en) * 2014-02-27 2015-09-07 富士フイルム株式会社 Pattern forming method, method for manufacturing electronic device, electronic device, actinic ray-sensitive or radiation-sensitive resin composition, and resist film

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020137935A1 (en) * 2018-12-27 2020-07-02 三菱瓦斯化学株式会社 Compound, (co)polymer, composition, pattern forming method, and compound production method
WO2021157551A1 (en) * 2020-02-06 2021-08-12 三菱瓦斯化学株式会社 Composition for lithography and pattern-forming method
KR20210148936A (en) 2020-06-01 2021-12-08 스미또모 가가꾸 가부시키가이샤 Compound, resin, resist composition and method for producing resist pattern
KR20210148934A (en) 2020-06-01 2021-12-08 스미또모 가가꾸 가부시키가이샤 Compound, resin, resist composition and method for producing resist pattern
BE1028306A1 (en) 2020-06-01 2021-12-09 Sumitomo Chemical Co COMPOUND, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN
BE1028305A1 (en) 2020-06-01 2021-12-09 Sumitomo Chemical Co COMPOUND, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN
US11815813B2 (en) 2020-06-01 2023-11-14 Sumitomo Chemical Company, Limited Compound, resin, resist composition and method for producing resist pattern
US11822244B2 (en) 2020-06-01 2023-11-21 Sumitomo Chemical Company, Limited Compound, resin, resist composition and method for producing resist pattern
WO2023195407A1 (en) * 2022-04-06 2023-10-12 東京応化工業株式会社 Resist composition and method for forming resist pattern

Also Published As

Publication number Publication date
KR20200090702A (en) 2020-07-29
JP6697498B2 (en) 2020-05-20
KR20200032069A (en) 2020-03-25
TW201837048A (en) 2018-10-16
KR20180111531A (en) 2018-10-11
US10095109B1 (en) 2018-10-09
CN108690164A (en) 2018-10-23
KR102162032B1 (en) 2020-10-06
TWI674267B (en) 2019-10-11
US20180284605A1 (en) 2018-10-04
CN108690164B (en) 2021-05-11

Similar Documents

Publication Publication Date Title
JP6697498B2 (en) Acid-cleavable monomer and polymer containing the same
KR102290635B1 (en) Iodine-containing photoacid generators and compositions comprising the same
KR102127645B1 (en) Iodine-containing polymers for chemically amplified resist compositions
JP5839019B2 (en) Polymer compound, chemically amplified positive resist material, and pattern forming method
KR102014600B1 (en) Sulfonium salt, resist composition, and patterning process
TWI501029B (en) Method of producing polymeric compound
TWI489211B (en) Positive resist composition and patterning process using same
TWI406094B (en) Positive resist composition for immersion exposure, method of forming resist pattern, and fluorine-containing polymeric compound
CN112979458A (en) Onium salt compound, chemically amplified resist composition, and pattern forming method
KR20120052884A (en) Base reactive photoacid generators and photoresists comprising the same
TWI522740B (en) Resist composition, patterning process, monomer, and copolymer
JP7101541B2 (en) Resist composition and resist pattern forming method
TWI791661B (en) Resist composition, method of forming resist pattern, polymeric compound, and compound
JP7054654B2 (en) Resist composition and resist pattern forming method
JP2021081476A (en) Resist composition and resist pattern forming method
US20180284611A1 (en) Resist composition and method of forming resist pattern
TWI498369B (en) Acid quencher for resist and resist composition comprising same
JP2020042108A (en) Resist pattern forming method
JP2021004992A (en) Resist composition and resist pattern forming method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180308

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20180312

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20180611

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200401

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200424

R150 Certificate of patent or registration of utility model

Ref document number: 6697498

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250