CN112979458A - Onium salt compound, chemically amplified resist composition, and pattern forming method - Google Patents

Onium salt compound, chemically amplified resist composition, and pattern forming method Download PDF

Info

Publication number
CN112979458A
CN112979458A CN202011462505.1A CN202011462505A CN112979458A CN 112979458 A CN112979458 A CN 112979458A CN 202011462505 A CN202011462505 A CN 202011462505A CN 112979458 A CN112979458 A CN 112979458A
Authority
CN
China
Prior art keywords
group
atom
formula
bonded
hydrocarbon group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202011462505.1A
Other languages
Chinese (zh)
Other versions
CN112979458B (en
Inventor
藤原敬之
渡边朝美
片山和弘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Publication of CN112979458A publication Critical patent/CN112979458A/en
Application granted granted Critical
Publication of CN112979458B publication Critical patent/CN112979458B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C59/00Compounds having carboxyl groups bound to acyclic carbon atoms and containing any of the groups OH, O—metal, —CHO, keto, ether, groups, groups, or groups
    • C07C59/40Unsaturated compounds
    • C07C59/58Unsaturated compounds containing ether groups, groups, groups, or groups
    • C07C59/64Unsaturated compounds containing ether groups, groups, groups, or groups containing six-membered aromatic rings
    • C07C59/66Unsaturated compounds containing ether groups, groups, groups, or groups containing six-membered aromatic rings the non-carboxylic part of the ether containing six-membered aromatic rings
    • C07C59/68Unsaturated compounds containing ether groups, groups, groups, or groups containing six-membered aromatic rings the non-carboxylic part of the ether containing six-membered aromatic rings the oxygen atom of the ether group being bound to a non-condensed six-membered aromatic ring
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C43/00Ethers; Compounds having groups, groups or groups
    • C07C43/02Ethers
    • C07C43/20Ethers having an ether-oxygen atom bound to a carbon atom of a six-membered aromatic ring
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/63Esters of sulfonic acids
    • C07C309/64Esters of sulfonic acids having sulfur atoms of esterified sulfo groups bound to acyclic carbon atoms
    • C07C309/65Esters of sulfonic acids having sulfur atoms of esterified sulfo groups bound to acyclic carbon atoms of a saturated carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/63Esters of sulfonic acids
    • C07C309/72Esters of sulfonic acids having sulfur atoms of esterified sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton
    • C07C309/75Esters of sulfonic acids having sulfur atoms of esterified sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton containing singly-bound oxygen atoms bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C317/00Sulfones; Sulfoxides
    • C07C317/16Sulfones; Sulfoxides having sulfone or sulfoxide groups and singly-bound oxygen atoms bound to the same carbon skeleton
    • C07C317/22Sulfones; Sulfoxides having sulfone or sulfoxide groups and singly-bound oxygen atoms bound to the same carbon skeleton with sulfone or sulfoxide groups bound to carbon atoms of six-membered aromatic rings of the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C317/00Sulfones; Sulfoxides
    • C07C317/44Sulfones; Sulfoxides having sulfone or sulfoxide groups and carboxyl groups bound to the same carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C50/00Quinones
    • C07C50/16Quinones the quinoid structure being part of a condensed ring system containing three rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C50/00Quinones
    • C07C50/26Quinones containing groups having oxygen atoms singly bound to carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C59/00Compounds having carboxyl groups bound to acyclic carbon atoms and containing any of the groups OH, O—metal, —CHO, keto, ether, groups, groups, or groups
    • C07C59/40Unsaturated compounds
    • C07C59/58Unsaturated compounds containing ether groups, groups, groups, or groups
    • C07C59/64Unsaturated compounds containing ether groups, groups, groups, or groups containing six-membered aromatic rings
    • C07C59/66Unsaturated compounds containing ether groups, groups, groups, or groups containing six-membered aromatic rings the non-carboxylic part of the ether containing six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C59/00Compounds having carboxyl groups bound to acyclic carbon atoms and containing any of the groups OH, O—metal, —CHO, keto, ether, groups, groups, or groups
    • C07C59/40Unsaturated compounds
    • C07C59/76Unsaturated compounds containing keto groups
    • C07C59/90Unsaturated compounds containing keto groups containing singly bound oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C65/00Compounds having carboxyl groups bound to carbon atoms of six—membered aromatic rings and containing any of the groups OH, O—metal, —CHO, keto, ether, groups, groups, or groups
    • C07C65/21Compounds having carboxyl groups bound to carbon atoms of six—membered aromatic rings and containing any of the groups OH, O—metal, —CHO, keto, ether, groups, groups, or groups containing ether groups, groups, groups, or groups
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/62Halogen-containing esters
    • C07C69/63Halogen-containing esters of saturated acids
    • C07C69/635Halogen-containing esters of saturated acids containing rings in the acid moiety
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/66Esters of carboxylic acids having esterified carboxylic groups bound to acyclic carbon atoms and having any of the groups OH, O—metal, —CHO, keto, ether, acyloxy, groups, groups, or in the acid moiety
    • C07C69/67Esters of carboxylic acids having esterified carboxylic groups bound to acyclic carbon atoms and having any of the groups OH, O—metal, —CHO, keto, ether, acyloxy, groups, groups, or in the acid moiety of saturated acids
    • C07C69/708Ethers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/74Esters of carboxylic acids having an esterified carboxyl group bound to a carbon atom of a ring other than a six-membered aromatic ring
    • C07C69/757Esters of carboxylic acids having an esterified carboxyl group bound to a carbon atom of a ring other than a six-membered aromatic ring having any of the groups OH, O—metal, —CHO, keto, ether, acyloxy, groups, groups, or in the acid moiety
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/76Esters of carboxylic acids having a carboxyl group bound to a carbon atom of a six-membered aromatic ring
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/76Esters of carboxylic acids having a carboxyl group bound to a carbon atom of a six-membered aromatic ring
    • C07C69/84Esters of carboxylic acids having a carboxyl group bound to a carbon atom of a six-membered aromatic ring of monocyclic hydroxy carboxylic acids, the hydroxy groups and the carboxyl groups of which are bound to carbon atoms of a six-membered aromatic ring
    • C07C69/92Esters of carboxylic acids having a carboxyl group bound to a carbon atom of a six-membered aromatic ring of monocyclic hydroxy carboxylic acids, the hydroxy groups and the carboxyl groups of which are bound to carbon atoms of a six-membered aromatic ring with etherified hydroxyl groups
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D327/00Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms
    • C07D327/02Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms one oxygen atom and one sulfur atom
    • C07D327/06Six-membered rings
    • C07D327/08[b,e]-condensed with two six-membered carbocyclic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/50Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom condensed with carbocyclic rings or ring systems
    • C07D333/74Naphthothiophenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/50Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom condensed with carbocyclic rings or ring systems
    • C07D333/76Dibenzothiophenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D335/00Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom
    • C07D335/02Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur, or oxygen atoms in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur or oxygen atoms in addition to the carboxy oxygen
    • C09D133/16Homopolymers or copolymers of esters containing halogen atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0384Macromolecular compounds which are rendered insoluble or differentially wettable with ethylenic or acetylenic bands in the main chain of the photopolymer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/06Silver salts
    • G03F7/063Additives or means to improve the lithographic properties; Processing solutions characterised by such additives; Treatment after development or transfer, e.g. finishing, washing; Correction or deletion fluids
    • G03F7/066Organic derivatives of bivalent sulfur, e.g. onium derivatives
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/06Systems containing only non-condensed rings with a five-membered ring
    • C07C2601/08Systems containing only non-condensed rings with a five-membered ring the ring being saturated
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/12Systems containing only non-condensed rings with a six-membered ring
    • C07C2601/14The ring being saturated
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2602/00Systems containing two condensed rings
    • C07C2602/36Systems containing two condensed rings the rings having more than two atoms in common
    • C07C2602/42Systems containing two condensed rings the rings having more than two atoms in common the bicyclo ring system containing seven carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/02Ortho- or ortho- and peri-condensed systems
    • C07C2603/04Ortho- or ortho- and peri-condensed systems containing three rings
    • C07C2603/22Ortho- or ortho- and peri-condensed systems containing three rings containing only six-membered rings
    • C07C2603/24Anthracenes; Hydrogenated anthracenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/56Ring systems containing bridged rings
    • C07C2603/58Ring systems containing bridged rings containing three rings
    • C07C2603/70Ring systems containing bridged rings containing three rings containing only six-membered rings
    • C07C2603/74Adamantanes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Furan Compounds (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Steroid Compounds (AREA)

Abstract

The invention relates to an onium salt compound, a chemically amplified resist composition, and a pattern forming method. The invention provides a chemical amplification resist composition which has high sensitivity and excellent photoetching performance such as CDU, LWR and the like in optical photoetching using high-energy rays such as KrF excimer laser, ArF excimer laser, electron beam, extreme ultraviolet and the like as light sources; also provided are an acid diffusion inhibitor used for the same and a pattern forming method using the chemically amplified resist composition. The solution of the problem is an onium salt compound represented by the following formula (1), an acid diffusion inhibitor composed of the onium salt compound, and a chemically amplified resist composition containing the acid diffusion inhibitor.

Description

Onium salt compound, chemically amplified resist composition, and pattern forming method
Technical Field
The invention relates to an onium salt compound, a chemically amplified resist composition and a pattern forming method.
Background
In recent years, with the demand for finer pattern rules with the increase in integration and speed of LSIs, and with the increasing demand for high-resolution resist patterns, there is a demand for improvement in the pattern shape, contrast, Mask Error Factor (MEF), Depth of Focus (DOF), Line Width Roughness (LWR), and dimensional Uniformity (CDU) as typical photolithography characteristics, and further improvement in defects (defects) of the resist pattern after development.
LWR is also regarded as a problem particularly with the miniaturization of the pattern. The base polymer and the acid generator are not uniformly distributed, and the influence of coagulation and the influence of acid diffusion are pointed out. In addition, as the resist film is thinned, LWR tends to be large, and deterioration of LWR due to thinning with progress of miniaturization has become a serious problem.
In an Extreme Ultraviolet (EUV) resist composition, it is necessary to achieve high sensitivity, high resolution and low LWR at the same time. When the acid diffusion distance is shortened, the LWR becomes small, but the sensitivity becomes low. For example, by lowering the post-exposure bake (PEB) temperature, the LWR becomes small, but the sensitivity becomes low. Increasing the amount of acid diffusion inhibitor (quencher) added also makes LWR smaller, but results in lower sensitivity. The trade-off between sensitivity and LWR needs to be broken.
To break the trade-off between sensitivity and LWR, various additives have been investigated. High sensitivity by the addition of an acid amplifier has been studied, for example, by optimizing the structure of a photoacid generator and an acid diffusion inhibitor such as an amine or a weak acidic onium salt, and an onium salt type acid diffusion inhibitor incorporating an alkalinity reducing mechanism by an acid as described in patent document 1 has been studied.
Further, patent documents 1 and 2 disclose onium salts containing anions represented by the following formula in the acid diffusion inhibitors having excellent properties such as LWR. However, even when such an onium salt is used as an acid diffusion inhibitor, satisfactory results with respect to various lithographic performances cannot be obtained in generations requiring ultra-fine processing using ArF lithography and EUV lithography.
Figure BDA0002832264470000021
[ Prior art documents ]
[ patent document ]
[ patent document 1] International publication No. 2019/187445
[ patent document 2] Japanese patent No. 5904180 publication
Disclosure of Invention
Problems to be solved by the invention
In response to the recent demand for high-resolution resist patterns, resist compositions using known acid diffusion inhibitors may not have satisfactory sensitivity and lithographic performance such as CDU and LWR.
The present invention has been made in view of the above circumstances, and an object of the present invention is to provide a chemically amplified resist composition which is highly sensitive and excellent in lithographic performance such as CDU and LWR in optical lithography using high-energy rays such as KrF excimer laser, ArF excimer laser, Electron Beam (EB) and EUV as a light source; also provided are an acid diffusion inhibitor used for the same and a pattern forming method using the chemically amplified resist composition.
Means for solving the problems
The present inventors have made extensive studies to achieve the above object and, as a result, have found that a chemically amplified resist composition using an onium carboxylate having a predetermined structure as an acid diffusion inhibitor is highly sensitive, has excellent lithographic performance such as CDU and LWR, and is extremely effective for precise microfabrication, and have completed the present invention.
That is, the present invention provides the following onium salt compounds, chemically amplified resist compositions and pattern forming methods.
1. An onium salt compound represented by the following formula (1).
Figure BDA0002832264470000031
Wherein m, n and k are each independently 0 or a positive integer. However, 1. ltoreq. m + n + k.
R1Is a halogen atom, trifluoromethyl or trifluoromethoxy.
R2Is a hydrogen atom or a C1-15 hydrocarbon group which may contain a hetero atom.
L1are-C (═ O) -, -C (═ O) -O-, -S (═ O) -, -S (═ O)2-or-S (═ O)2-O-。
L2Is ═ C (═ O) -, — C (═ O) -O-, — S (═ O)2-or-S (═ O)2-O-。*
Is an atomic bond to the ring R.
L3Is a single bond or a C1-15 groupA hydrocarbon group in which a hydrogen atom may be substituted with a hetero atom-containing group, and-CH2Optionally via-O-, -C (O) -, -S (O)2-or-N (R)N) -substitution. However, L3When it is alkylene, with-OCF in the formula2CO2 -The bonded carbon atom is not bonded to a heteroatom other than the oxygen atom in the formula. RNHydrogen atom or C1-10 hydrocarbon group, the hydrogen atom in the hydrocarbon group can also be substituted by a hetero atom-containing group, the-CH in the hydrocarbon group2optionally-O-, -C (═ O) -or-S (═ O)2-substitution.
When k is 0, the ring R is a (m + n +1) -valent cyclic hydrocarbon group, and when k is a positive integer, the ring R contains k L1The hydrogen atom in the cyclic hydrocarbon group may be substituted with a hetero atom-containing group, and the-CH group in the cyclic hydrocarbon group2-may also be substituted by-O-or-S-.
M+Is a sulfonium cation or an iodonium cation.
2. The onium salt compound of claim 1, wherein L3Is a single bond.
3. The onium salt compound according to 1 or 2, wherein the ring R is an aromatic hydrocarbon group.
4. The onium salt compound according to any one of 1 to 3, wherein m is an integer of 1 or more.
5. The onium salt compound according to any one of items 1 to 3, which is represented by the following formula (2).
Figure BDA0002832264470000032
In the formula, R1、R2、L2And M+As before.
m ', n' and j are integers satisfying m 'not less than 0 and not more than 5, n' not less than 0 and not more than 5, j not less than 0 and not more than 4, m '+ n' not less than 1 and not more than 5, and m '+ n' + j not more than 1 and not more than 5.
R3Hydrogen atom, hydroxyl, carboxyl or C1-15 alkyl, the hydrogen atom in the alkyl can also be substituted by hetero atom-containing group, the-CH in the alkyl2-may also be substituted by-O-or-C (═ O) -. j is 2 &4 is an integer of 4, each R3May be the same or different from each other, 2R3May also be bonded to each other and form a ring together with the carbon atom to which they are bonded.
6. The onium salt compound according to claim 5, wherein m' is an integer of 1 or more.
7. The onium salt compound according to any one of 1 to 6, wherein R is1Is an iodine atom.
8. The onium salt compound according to any one of 1 to 7, wherein M is+Is a cation represented by any one of the following formulas (M-1) to (M-4).
Figure BDA0002832264470000041
In the formula, RM1、RM2、RM3、RM4And RM5Each independently represents a halogen atom, a hydroxyl group or a hydrocarbon group having 1 to 15 carbon atoms, the hydrogen atom in the hydrocarbon group may be substituted with a group containing a hetero atom, and the-CH group in the hydrocarbon group2Optionally via-O-, -C (O) -, -S (O)2-or-N (R)N) -substitution.
L4And L5Each independently is a single bond, -CH2-、-O-、-C(=O)-、-S-、-S(=O)-、-S(=O)2-or-N (R)N)-。
RNHydrogen atom or C1-10 hydrocarbon group, the hydrogen atom in the hydrocarbon group can also be substituted by a hetero atom-containing group, the-CH in the hydrocarbon group2optionally-O-, -C (═ O) -or-S (═ O)2-substitution.
p, q, r, s and t are each independently an integer of 0 to 5. When p is 2 or more, each RM1May be the same or different from each other, 2RM1May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded. When q is 2 or more, each RM2May be the same or different from each other, 2RM2May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded. When R is 2 or more, each RM3May be the same or different from each other, 2RM3May also be bonded to each other and to itThe carbon atoms of the benzene rings to which they are bonded together form a ring. When s is 2 or more, each RM4May be the same or different from each other, 2RM4May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded. When t is 2 or more, each RM5May be the same or different from each other, 2RM5May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded.
9. The onium salt compound of formula (8) is represented by the following formula (3) or (4).
Figure BDA0002832264470000051
In the formula, RM1、RM2、RM3、R3、L4P, q and r are the same as above. m ' and j are integers satisfying m ' not less than 1 and not more than 5, j not less than 0 and not more than 4, and m ' not less than 1 and not more than + j not more than 5.
10. An acid diffusion inhibitor comprising an onium salt compound as described in any one of 1 to 9.
11. A chemically amplified resist composition comprising:
(A) a base polymer whose solubility in a developer changes due to the action of an acid;
(B) a photoacid generator;
(C) an acid diffusion inhibitor comprising an onium salt compound as described in any one of 1 to 9; and
(D) an organic solvent.
12. A chemically amplified resist composition comprising:
(A') a base polymer which changes solubility in a developer due to the action of an acid and contains a repeating unit having a function of generating an acid upon exposure;
(C) an acid diffusion inhibitor comprising an onium salt compound as described in any one of 1 to 9; and
(D) an organic solvent.
13. The chemically amplified resist composition according to 11 or 12, wherein the base polymer is a polymer containing a repeating unit represented by the following formula (a) or a repeating unit represented by the following formula (b).
Figure BDA0002832264470000061
In the formula, RAIs a hydrogen atom or a methyl group.
XAIs a single bond, phenylene, naphthylene or (main chain) -C (═ O) -O-XA1-。XA1The alkylene group may contain a hydroxyl group, an ether bond, an ester bond or a lactone ring and has 1 to 15 carbon atoms.
XBIs a single bond or an ester bond.
AL1And AL2Each independently an acid labile group.
14. The chemically amplified resist composition according to claim 13, wherein the acid labile group is a group represented by the following formula (L1).
Figure BDA0002832264470000062
In the formula, R11Is a C1-7 hydrocarbon group, in which-CH is present2-may also be substituted by-O-. a is 1 or 2. The dotted line is an atomic bond.
15. The chemically amplified resist composition according to any one of 1 to 11, wherein the base polymer is a polymer containing a repeating unit represented by the following formula (c).
Figure BDA0002832264470000063
In the formula, RAIs a hydrogen atom or a methyl group.
YAIs a single bond or an ester bond.
R21Is a fluorine atom, an iodine atom or a hydrocarbon group having 1 to 10 carbon atoms, wherein-CH in the hydrocarbon group2-may also be substituted by-O-or-C (═ O) -.
b and c are integers satisfying 1. ltoreq. b.ltoreq.5, 0. ltoreq. c.ltoreq.4, and 1. ltoreq. b + c.ltoreq.5.
16. The chemically amplified resist composition as defined in claim 12, wherein the repeating unit having a function of generating an acid upon exposure to light is at least 1 selected from the group consisting of those represented by the following formulae (d1) to (d 4).
Figure BDA0002832264470000071
In the formula, RBIs a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group.
ZAIs a single bond, phenylene, -O-ZA1-、-C(=O)-O-ZA1-or-C (═ O) -NH-ZA1-。ZA1The alkylene group may contain a hetero atom and has 1 to 20 carbon atoms.
ZBAnd ZCEach independently represents a single bond or a C1-20 alkylene group which may contain a hetero atom.
ZDIs a single bond, methylene, ethylene, phenylene, fluorinated phenylene, -O-ZD1-、-C(=O)-O-ZD1or-C (═ O) -NH-ZD1-。ZD1Is phenylene which may also be substituted.
R31~R41Each independently a C1-20 hydrocarbon group which may contain a heteroatom. And, ZA、R31And R32Any 2 of them may also be bonded to each other and form a ring together with the sulfur atom to which they are bonded, R33、R34And R35Any 2 of (1), R36、R37And R38Any 2 or R in (1)39、R40And R41Any 2 of them may also be bonded to each other and form a ring together with the sulfur atom to which they are bonded.
RHFIs a hydrogen atom or a trifluoromethyl group.
n1Is 0 or 1, ZBWhen it is a single bond, n1Is 0. n is2Is 0 or 1, ZCWhen it is a single bond, n2Is 0.
Xa-Are non-nucleophilic counter ions.
17. A method of forming a pattern, comprising the steps of:
forming a resist film on a substrate using the chemically amplified resist composition according to any one of items 1 of 11 to 16;
exposing the resist film to light using KrF excimer laser, ArF excimer laser, EB or EUV; and
the exposed resist film is developed using a developer.
18. The pattern forming method according to the above 17, wherein an alkali aqueous solution is used as a developing solution to dissolve an exposed portion, thereby obtaining a positive pattern in which an unexposed portion is not dissolved.
19. The pattern forming method according to claim 17, wherein an unexposed portion is dissolved using an organic solvent as a developer, to obtain a negative pattern in which an exposed portion is not dissolved.
20. The method of forming a pattern according to claim 19, wherein the developer is selected from the group consisting of 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isoamyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, At least 1 of methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate and 2-phenylethyl acetate.
ADVANTAGEOUS EFFECTS OF INVENTION
The chemically amplified resist composition containing the onium salt compound of the present invention as an acid diffusion inhibitor has high sensitivity, and when a pattern is formed using the composition, a pattern having excellent lithographic performance such as CDU and LWR can be formed.
Detailed Description
The present invention will be described in detail below. In the following description, asymmetric carbons, and enantiomers and diastereomers may be present depending on the structure represented by the chemical formula, but these isomers are represented by 1 formula in this case. These isomers may be used alone in 1 kind, or 2 or more kinds may be used in combination.
[ onium salt Compound ]
The onium salt compound of the present invention is represented by the following formula (1).
Figure BDA0002832264470000091
In formula (1), m, n and k are each independently 0 or a positive integer. However, 1. ltoreq. m + n + k. Particularly preferably 1. ltoreq. m + n, more preferably 1. ltoreq. m. m, n and k are preferably integers in the range of 0. ltoreq. m.ltoreq.4, 0. ltoreq. n.ltoreq.4, 0. ltoreq. k.ltoreq.3, 1. ltoreq. m + n + k.ltoreq.5.
In the formula (1), R1Is a halogen atom, trifluoromethyl or trifluoromethoxy. Among these, a fluorine atom, an iodine atom, a trifluoromethyl group or a trifluoromethoxy group is preferable, and an iodine atom is more preferable.
In the formula (1), R2Is a hydrogen atom or a C1-15 hydrocarbon group which may contain a hetero atom. The hydrocarbon group having 1 to 15 carbon atoms may be either saturated or unsaturated, and may be either straight, branched or cyclic. Specific examples thereof include: an alkyl group such as a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, an n-pentyl group, an n-hexyl group, an n-octyl group, an n-nonyl group, an n-decyl group, or a 2-ethylhexyl group; cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo [5.2.1.02,6]A cyclic saturated hydrocarbon group such as a decyl group, an adamantyl group, or an adamantylmethyl group; alkenyl groups such as vinyl, allyl, propenyl, butenyl, hexenyl, and the like; a cyclic unsaturated aliphatic hydrocarbon group such as a cyclohexenyl group; phenyl, naphthyl, thienyl, 4-hydroxyphenyl, 4-methoxyphenyl, 3-methoxyphenyl, 2-methoxyphenyl, 4-ethoxyphenyl, 4-tert-butoxyphenyl, 3-tert-butoxyphenyl, 2-methylphenyl, 3-methylphenyl, 4-ethylphenyl, 4-tert-butylphenyl, 4-n-butylphenyl,aryl groups such as 2, 4-dimethylphenyl, 2,4, 6-triisopropylphenyl, methylnaphthyl, ethylnaphthyl, methoxynaphthyl, ethoxynaphthyl, n-propoxytenyl, n-butoxynaphthyl, dimethylnaphthyl, diethylnaphthyl, dimethoxynaphthyl and diethoxynaphthyl; aralkyl groups such as a benzyl group, 1-phenylethyl group and 2-phenylethyl group; groups obtained by combining these, and the like. Further, some of the hydrogen atoms in the hydrocarbon group may be substituted with a group containing a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom, or a halogen atom, or a group containing a heteroatom such as an oxygen atom, a sulfur atom, or a nitrogen atom may be inserted between carbon atoms and carbon atoms in the hydrocarbon group, and as a result, a hydroxyl group, a cyano group, a carbonyl group, an ether bond, an ester bond, a sulfonate bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, or the like may be contained.
In the formula (1), L1are-C (═ O) -, -C (═ O) -O-, -S (═ O) -, -S (═ O)2-or-S (═ O)2-O-, is preferably-C (═ O) -or-C (═ O) -O-.
In the formula (1), L2Is ═ C (═ O) -, — C (═ O) -O-, — S (═ O)2-or-S (═ O)2-O-, preferably ═ C (═ O) -or ═ C (═ O) -O-. Is an atomic bond to the ring R.
In the formula (1), L3A single bond or a C1-15 alkylene group, wherein the hydrogen atom in the alkylene group may be substituted by a group containing a hetero atom, and the-CH group in the alkylene group2Optionally via-O-, -C (O) -, -S (O)2-or-N (R)N) -substitution. RNHydrogen atom or C1-10 hydrocarbon group, the hydrogen atom in the hydrocarbon group can also be substituted by a hetero atom-containing group, the-CH in the hydrocarbon group2optionally-O-, -C (═ O) -or-S (═ O)2-substitution. Further, the-CH in the aforementioned hydrocarbon group2-may also be bonded to the ring R in formula (1). However, L3When it is alkylene, with-OCF in the formula2CO2 -The bonded carbon atom is not bonded to a heteroatom other than the oxygen atom in the formula. That is, in the formula*Atom (R) bonded thereto*1、R*2And R*3) Is a hydrogen atom or a carbon atom.
Figure BDA0002832264470000101
L3The alkylene group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include: alkanediyl groups such as methylene, ethylene, propane-1, 3-diyl, butane-1, 4-diyl, pentane-1, 5-diyl, hexane-1, 6-diyl, heptane-1, 7-diyl, octane-1, 8-diyl, nonane-1, 9-diyl, decane-1, 10-diyl, undecane-1, 11-diyl, dodecane-1, 12-diyl, tridecane-1, 13-diyl and tetradecane-1, 14-diyl; cyclic saturated alkylene groups such as cyclopentanediyl, cyclohexanediyl, norbornanediyl and adamantanediyl groups; arylene groups such as phenylene, methylphenyl, ethylphenylene, n-propylphenylene, isopropylphenylene, n-butylphenyl, isobutylphenylene, sec-butylphenyl, tert-butylphenyl, dimethylphenylene, diethylphenylene, naphthylene, methylnaphthylene, ethylnaphthylene, n-propylnaphthylene, isopropylnaphthylene, n-butylnaphthylene, isobutylnaphthylene, sec-butylnaphthylene, tert-butylnaphthylene, dimethylnaphthylene, and diethylnaphthylene; groups obtained by combining these, and the like. Further, a part of the hydrogen atoms in the alkylene group may be substituted with a hetero atom containing oxygen atom, sulfur atom, nitrogen atom, halogen atom or the like, or-CH in the alkylene group2Optionally via-O-, -C (O) -, -S (O)2-or-N (R)N) And (ii) a substituent, and may have a hydroxyl group, a cyano group, a carbonyl group, an ether bond, an ester bond, an amide bond, a thioether bond, a sulfinyl (sulfinyl) group, a sulfonyl group, a sulfonate bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, or the like. RNAs before.
L3Preferably a single bond.
R2-L2Examples of the group represented by-are as follows, but not limited thereto.
Figure BDA0002832264470000121
Wherein the dotted line is an atomic bond to the ring R.
In the formula (1), when k is 0, the ring R is a (m + n +1) -valent cyclic hydrocarbon group, and when k is a positive integer, the ring R contains k L1The (m + n +1) -valent cyclic hydrocarbon group of (2). That is, the cyclic hydrocarbon group is selected from cyclic hydrocarbons or compounds containing k L1A group obtained by removing (m + n +1) ring hydrogen atoms from the cyclic hydrocarbon(s).
The cyclic hydrocarbon may be a compound consisting of only a ring, or a compound in which a part or all of hydrogen atoms in the ring are substituted with a hydrocarbon group. The number of carbon atoms forming the ring is preferably 3 to 15. The hydrocarbon group preferably has 1 to 15 carbon atoms. When there are a plurality of the above-mentioned hydrocarbon groups, these groups may be the same or different from each other, or may be bonded to each other to form a ring together with the carbon atom to which they are bonded. In addition, some or all of the hydrogen atoms in the ring and/or the hydrocarbon group may be substituted with a hetero atom such as an oxygen atom, a sulfur atom, a nitrogen atom, a halogen atom, or the like, or-CH in the ring and/or the hydrocarbon group2-may also be substituted by-O-or-C (═ O) -.
When k is 0, the cyclic hydrocarbon providing ring R is exemplified by, but not limited to, those shown below.
Figure BDA0002832264470000131
When k.gtoreq.1, the cyclic hydrocarbon providing the ring R may be, but is not limited to, those listed below.
Figure BDA0002832264470000141
The ring R is preferably a benzene ring, an adamantane ring, a fluorene ring, a 1, 9-dihydroanthracene ring, -CH in these groups2-via-C (═ O) -, -S (═ O) -, or-S (═ O)2The substituted group or the one containing a norbornane lactone ring is more preferably one having an aromatic ring, and still more preferably a benzene ring.
The onium salt compound represented by the formula (1) is preferably represented by the following formula (2).
Figure BDA0002832264470000142
In the formula, R1、R2、L2And M+As before.
In the formula (2), R3Is hydrogen atom, hydroxyl, carboxyl or alkyl with 1-15 carbon atoms. The hydrocarbon group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include: an alkyl group such as a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, an n-pentyl group, an n-hexyl group, an n-octyl group, a 2-ethylhexyl group, an n-nonyl group, or an n-decyl group; cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo [5.2.1.02,6]A cyclic saturated hydrocarbon group such as a decyl group, an adamantyl group, or an adamantylmethyl group; alkenyl groups such as vinyl, allyl, propenyl, butenyl, hexenyl, and the like; a cyclic unsaturated aliphatic hydrocarbon group such as a cyclohexenyl group; aryl groups such as phenyl, naphthyl, thienyl, 4-hydroxyphenyl, 4-methoxyphenyl, 3-methoxyphenyl, 2-methoxyphenyl, 4-ethoxyphenyl, 4-tert-butoxyphenyl, 3-tert-butoxyphenyl, 2-methylphenyl, 3-methylphenyl, 4-ethylphenyl, 4-tert-butylphenyl, 4-n-butylphenyl, 2, 4-dimethylphenyl, 2,4, 6-triisopropylphenyl, methylnaphthyl, ethylnaphthyl, methoxynaphthyl, ethoxynaphthyl, n-propoxytenyl, n-butoxynaphthyl, dimethylnaphthyl, diethylnaphthyl, dimethoxynaphthyl, and diethoxynaphthyl; aralkyl groups such as benzyl, 1-phenylethyl and 2-phenylethyl; groups obtained by combining these, and the like.
In addition, some or all of the hydrogen atoms in the hydrocarbon group may be substituted with a hetero atom containing oxygen atom, sulfur atom, nitrogen atom, halogen atom, etc. -CH in the hydrocarbon group2-may also be substituted by-O-or-C (═ O) -, which may also contain, as a result, a hydroxyl group, a cyano group, a carbonyl group, an ether bond, an ester bond, a carbonate bond, a lactone ring, a carboxyl groupAcid anhydrides, haloalkyl groups, and the like. Further, the-CH in the aforementioned hydrocarbon group2-may also be bonded to a carbon atom of the benzene ring in formula (2). At this time, R3It may be hydrocarbyloxy, hydrocarbylcarbonyl, hydrocarbylcarbonyloxy, hydrocarbyloxycarbonyl, or the like.
Among these, R3Alkyl groups such as a hydrogen atom, methyl group and t-butyl group; a hydroxyl group; a carboxyl group; alkoxy groups such as methoxy, 2-methoxyethoxy, and tert-butoxy; alkoxyalkoxy groups such as methoxymethoxy groups; an alkoxycarbonyloxy group such as a tert-butoxycarbonyloxy group; alkylcarbonyloxy such as acetoxy and trifluoroacetyloxy; alkoxycarbonyl such as tert-butoxycarbonyl is preferably a hydrogen atom, hydroxyl, carboxyl, alkoxy, alkoxycarbonyl, alkylcarbonyloxy, etc.
In the formula (2), m ', n' and j are integers in the range of 0. ltoreq. m '. ltoreq.5, 0. ltoreq. n'. ltoreq.5, 0. ltoreq. j.ltoreq.4, 1. ltoreq. m '+ n'. ltoreq.5 and 1. ltoreq. m '+ n' + j.ltoreq.5, preferably integers in the range of 0. ltoreq. m '. ltoreq.3, 0. ltoreq. n'. ltoreq.2, 0. ltoreq. j.4, 1. ltoreq. m '+ n'. ltoreq.4 and 1. ltoreq. m '+ n' + j.ltoreq.5, more preferably integers in the range of 1. ltoreq. m '. ltoreq.3, 0. ltoreq. n'. ltoreq.2, 0. ltoreq. j.ltoreq.4, 1. lto.
When j is an integer of 2 to 4, each R3May be the same or different from each other, 2R3May also be bonded to each other and form a ring together with the carbon atom to which they are bonded. In this case, the ring may be, but not limited to, those described below.
Figure BDA0002832264470000161
Wherein the dotted line is an and-OCF2CO2 -The atomic bond of (1).
In the formulae (1) and (2), M+Is a sulfonium cation or an iodonium cation. The aforementioned sulfonium cation or iodonium cation is preferably one having at least 1 aromatic ring.
The sulfonium cation or iodonium cation is particularly preferably a cation represented by any of the following formulae (M-1) to (M-4).
Figure BDA0002832264470000162
In the formulae (M-1) to (M-4), RM1、RM2、RM3、RM4And RM5Each independently represents a halogen atom, a hydroxyl group or a hydrocarbon group having 1 to 15 carbon atoms.
Examples of the halogen atom include a fluorine atom, a chlorine atom, a bromine atom and an iodine atom. The hydrocarbon group having 1 to 15 carbon atoms may be either saturated or unsaturated, and may be either straight, branched or cyclic. Specific examples thereof include: an alkyl group such as a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, an n-pentyl group, an n-hexyl group, an n-octyl group, a 2-ethylhexyl group, an n-nonyl group, or an n-decyl group; cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo [5.2.1.02,6]A cyclic saturated hydrocarbon group such as a decyl group, an adamantyl group, or an adamantylmethyl group; alkenyl groups such as vinyl, allyl, propenyl, butenyl, hexenyl, and the like; a cyclic unsaturated aliphatic hydrocarbon group such as a cyclohexenyl group; aryl groups such as phenyl, naphthyl, thienyl, 4-hydroxyphenyl, 4-methoxyphenyl, 3-methoxyphenyl, 2-methoxyphenyl, 4-ethoxyphenyl, 4-tert-butoxyphenyl, 3-tert-butoxyphenyl, 2-methylphenyl, 3-methylphenyl, 4-ethylphenyl, 4-tert-butylphenyl, 4-n-butylphenyl, 2, 4-dimethylphenyl, 2,4, 6-triisopropylphenyl, methylnaphthyl, ethylnaphthyl, methoxynaphthyl, ethoxynaphthyl, n-propoxytenyl, n-butoxynaphthyl, dimethylnaphthyl, diethylnaphthyl, dimethoxynaphthyl, and diethoxynaphthyl; aralkyl groups such as benzyl, 1-phenylethyl and 2-phenylethyl.
In addition, some or all of the hydrogen atoms in the hydrocarbon group may be substituted with a hetero atom such as an oxygen atom, a sulfur atom, a nitrogen atom, or a halogen atom, and as a result, a hydroxyl group, a cyano group, a haloalkyl group, or the like may be contained. and-CH in the above-mentioned hydrocarbon group2Optionally via-O-, -C (O) -, -S (O)2-or-N (R)N) -substitution. RNAs before. Further, the-CH in the aforementioned hydrocarbon group2Or may be bonded to a carbon atom of the benzene ring in the formulae (M-1) to (M-4). At this time, RM1~RM5Is hydrocarbyloxy, hydrocarbylcarbonyloxy, hydrocarbylthio, hydrocarbylcarbonyl, hydrocarbylsulfonyl, hydrocarbylamino, or the like.
In the formulae (M-2) and (M-4), L4And L5Each independently is a single bond, -CH2-、-O-、-C(=O)-、-S-、-S(=O)-、-S(=O)2-or-N (R)N)-。RNAs before.
In the formulae (M-1) to (M-4), p, q, r, s and t are each independently an integer of 0 to 5. When p is 2 or more, each RM1May be the same or different from each other, 2RM1May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded. When q is 2 or more, each RM2May be the same or different from each other, 2RM2May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded. When R is 2 or more, each RM3May be the same or different from each other, 2RM3May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded. When s is 2 or more, each RM4May be the same or different from each other, 2RM4May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded. When t is 2 or more, each RM5May be the same or different from each other, 2RM5May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded.
The sulfonium cation represented by the formula (M-1) is not limited to the following. In the following formula, Me is a methyl group and tBu is a tert-butyl group.
Figure BDA0002832264470000181
Figure BDA0002832264470000191
The sulfonium cation represented by the formula (M-2) is not limited to the following. In the following formula, Me is a methyl group and tBu is a tert-butyl group.
Figure BDA0002832264470000192
Figure BDA0002832264470000201
Examples of the iodonium cation represented by formula (M-3) include the following, but are not limited to these. In the following formula, Me is a methyl group and tBu is a tert-butyl group.
Figure BDA0002832264470000202
Examples of the iodonium cation represented by formula (M-4) include the following, but are not limited to these.
Figure BDA0002832264470000211
Examples of the sulfonium cation other than the sulfonium cation represented by the formula (M-1) or (M-2) include, but are not limited to, the following. In the following formula, Me is a methyl group and tBu is a tert-butyl group.
Figure BDA0002832264470000212
Figure BDA0002832264470000221
Among the compounds represented by the formula (2), those represented by the following formula (3) or (4) are preferable.
Figure BDA0002832264470000222
In the formula, RM1、RM2、RM3、R3、L4P, q and r are the same as above. m ' and j are integers satisfying m ' not less than 1 and not more than 5, j not less than 0 and not more than 4, and m ' not less than 1 and not more than + j not more than 5.
Examples of the anion of the onium salt compound represented by the formula (1) include, but are not limited to, those shown below.
Figure BDA0002832264470000231
Figure BDA0002832264470000241
Figure BDA0002832264470000251
Figure BDA0002832264470000261
Figure BDA0002832264470000271
Figure BDA0002832264470000281
Among these, the following are particularly preferred.
Figure BDA0002832264470000291
Specific structures of the onium salt compound of the present invention include combinations of the aforementioned specific examples of anions and specific examples of cations.
The onium salt compound of the present invention can be synthesized, for example, according to the following scheme.
Figure BDA0002832264470000292
In the formula, R1、R2、L1、L2、L3R, M, n, k and M+As before. X0Is a chlorine atom, a bromine atom or an iodine atom. R0Is a C1-5 hydrocarbon group. A. the-Is an anion.
First, an intermediate compound (1a) is synthesized by subjecting an α -haloacetate and an alcohol to nucleophilic substitution reaction in the presence of a base. At this time, X0Is a chlorine atom or a bromine atom and R0The methyl or ethyl group is readily available on the market.
As the base, there can be used organic bases such as triethylamine, diisopropylethylamine, pyridine, 2, 6-dimethylpyridine and diazabicycloundecene, and inorganic bases such as sodium carbonate, potassium carbonate, cesium carbonate, sodium hydroxide, potassium hydroxide, sodium hydride and potassium hydride.
The nucleophilic substitution reaction can be carried out under an appropriate condition, and an aprotic polar solvent such as dimethylsulfoxide, N-dimethylformamide or N-methylpyrrolidone is preferably used as the solvent, and the reaction is preferably carried out at a temperature ranging from 40 ℃ to the boiling point of the solvent. In the case where a functional group unstable to the reaction conditions or a reaction site other than a desired hydroxyl group is present on the alcohol, the alcohol may be etherified in a protected state and then subjected to a deprotection reaction to prepare the intermediate compound (1 a).
Then, the intermediate compound (1a) is hydrolyzed by a conventional method to convert R0After cleavage of the ester moiety of (A), the resulting carboxylate or carboxylic acid is reacted with a compound of formula M+A-The onium salt having the desired cation shown above is subjected to salt exchange, whereby the onium salt compound (1) as the object is synthesized. In addition, a-In particular, chloride ion, bromide ion, iodide ion, methyl sulfate anion or methyl sulfonate anion is preferable because the exchange reaction can be easily and quantitatively performed. The salt exchange in the final step can be easily achieved by a known method, for example, refer to Japanese patent laid-open No. 2007-145797.
The synthesis method is only an example, and the present invention is not limited to this.
The chemically amplified resist composition containing the onium salt compound of the present invention is excellent in sensitivity, LWR and CDU. The reason for this is not clear, but is presumed as follows.
The onium salt compound of the present invention has an anion of carboxylic acid substituted with fluorine atom at alpha position as an anion. The conjugate acid has high acidity and thus high sensitivity as compared with a conventional carboxylate type acid diffusion inhibitor, and has excellent quenching ability as compared with an alkanesulfonic acid type acid diffusion inhibitor having the same high acidity and thus excellent lithographic performance such as LWR and CDU.
The onium salt compound of the present invention is characterized by having a carbonyl group, an ester bond, a sulfinyl group, a sulfonyl group or a sulfonate ester bond. These groups are excellent in acid diffusion inhibitory ability as compared with ether bonds and thioether bonds. Therefore, it is presumed that the chemically amplified resist composition containing the onium salt compound of the present invention has a high contrast and is excellent in various lithographic performances. In EUV lithography, these groups inhibit the diffusion of secondary electrons compared to hydroxyl groups, ether bonds, thioether bonds, and the like, and particularly, when the carbonyl carbon or the sulfur atom of the sulfonyl group of these groups is bonded to an aromatic ring, the diffusion of secondary electrons is inhibited to a high degree by the elongation of the conjugated system. Therefore, it is considered that as a result, the diffusion of acid is suppressed, and the patterning having excellent properties can be performed.
In EUV lithography, as a result of exposure to high-energy radiation, ester bonds and sulfonate bonds may be partially cleaved. Since the onium salt compound of the present invention has an ester bond with a carbonyl carbon and a sulfonate ester bond with a sulfur atom in the ring R, when the bond is cleaved, carboxylic acid and sulfonic acid are generated on the parent nucleus side of the anion, and thus, high contrast is obtained, and improvement of each performance can be expected. In addition, when a partial hydrolysis reaction occurs during development with an alkaline developer, the onium salt compound of the present invention having an ester bond or a sulfonate ester bond generates a carboxylate or a sulfonate ester on the parent nucleus side, and thus the solubility of the developer is improved and development defects are reduced. The same effect can be expected even when ring R is a lactone ring or a sultone ring.
The onium salt compound of the present invention has an anion containing a halogen atom, a trifluoromethyl group or a trifluoromethoxy group. It is known that halogen atoms absorb EUV with good efficiency as compared with hydrogen atoms, carbon atoms, nitrogen atoms and oxygen atoms, and that chemically amplified resist compositions containing the onium salt compound of the present invention having these groups, particularly having iodine atoms, have high sensitivity in EUV lithography. Further, since the iodine atom is an atom having a large atomic size and the steric bulk is large, an acid diffusion suppressing effect can be expected. Further, since trifluoromethyl and trifluoromethoxy have a large volume and 3 fluorine atoms, EUV is absorbed with good efficiency, high sensitivity and an acid diffusion suppressing effect can be expected. Therefore, a chemically amplified resist composition using the onium salt compound of the present invention having a halogen atom, trifluoromethyl group or trifluoromethoxy group as an anion has high sensitivity in EUV lithography, and the acid diffusion is suppressed, thereby improving each lithography performance.
Patent document 1 discloses anions represented by the following formulas (a) to (e), for example. The anions represented by the formulae (a) to (d) do not have a partial structure (carbonyl group, ester bond, sulfinyl group, sulfonyl group or sulfonate ester bond) essential in the present invention, and are inferior in acid diffusion suppressing ability particularly in EUV lithography compared with those having such groups, and are lower in sensitivity compared with those having a halogen atom, trifluoromethyl group or trifluoromethoxy group. In addition, although the anion represented by the formula (e) has an ester bond, the bonding mode of the ester bond is different from that of the onium salt compound of the present invention, and when the bond is broken at the time of exposure and development, a hydroxyl group is generated, and the onium salt compound of the present invention which generates a carboxylic acid (anion) and a sulfonic acid (anion) is inferior in defects and various performances. Furthermore, since the anions represented by the formulae (a) to (e) do not have a halogen atom, a trifluoromethyl group or a trifluoromethoxy group, the sensitivity is inferior to that of the onium salt compound of the present invention particularly in EUV lithography. That is, the onium salt compound of the present invention having a specific partial structure is superior in various performances to the salt compound described in patent document 1. Such an effect is difficult to be inferred from patent document 1.
Figure BDA0002832264470000321
[ chemically amplified resist composition ]
The chemically amplified resist composition of the present invention comprises:
(A) a base polymer whose solubility in a developer changes due to the action of an acid;
(B) a photoacid generator;
(C-1) an acid diffusion inhibitor composed of the onium salt compound of the present invention; and
(D) an organic solvent is used as an essential component,
may also optionally contain:
(C-2) acid diffusion inhibitors other than the onium salt compound of the present invention;
(E) a surfactant; and
(F) and (3) other components.
Or comprises:
(A') a base polymer which changes solubility in a developer due to the action of an acid and contains a repeating unit having a function of generating an acid upon exposure;
(C-1) an acid diffusion inhibitor composed of the onium salt compound of the present invention; and
(D) an organic solvent is used as an essential component,
may also optionally contain:
(B) a photoacid generator;
(C-2) acid diffusion inhibitors other than the onium salt compound of the present invention;
(E) a surfactant; and
(F) and (3) other components.
[ (A) base Polymer ]
(A) The base polymer of component (a) is preferably a polymer containing a repeating unit represented by the following formula (a) (hereinafter, also referred to as a repeating unit a) or a repeating unit represented by the following formula (b) (hereinafter, also referred to as a repeating unit b).
Figure BDA0002832264470000331
In the formulae (a) and (b), RAIs a hydrogen atom or a methyl group. XAIs a single bond, phenylene, naphthylene or (main chain) -C (═ O) -O-XA1-。XA1The alkylene group may contain a hydroxyl group, an ether bond, an ester bond or a lactone ring and has 1 to 15 carbon atoms. XBIs a single bond or an ester bond. AL1And AL2Each independently an acid labile group. The alkylene group may be saturated or unsaturated, and may be linear, branched or cyclic.
Acid labile group AL1And AL2But are not particularly limited to, tertiary alkyl groups having 4 to 20 carbon atoms, trialkylsilyl groups in which each alkyl group is an alkyl group having 1 to 6 carbon atoms, and oxoalkyl groups having 4 to 20 carbon atoms. For a detailed description of the specific structure of these acid labile groups, see paragraph [0016 ] of Japanese patent application laid-open No. 2014-225005]~[0035]。
Acid labile group AL1And AL2A group represented by the following formula (L1) is preferable.
Figure BDA0002832264470000332
In the formula (L1), R11Is a C1-7 hydrocarbon group, in which-CH is present2-may also be substituted by-O-. a is 1 or 2. The dotted line is an atomic bond.
Acid labile group AL1And AL2The following groups are particularly preferred.
Figure BDA0002832264470000333
In the formula, the dotted line is an atomic bond.
The resist composition comprising the base polymer comprising the repeating unit a or b having an acid labile group and the onium salt compound of the present invention is excellent in various lithographic properties. The detailed reason is not clear, but is presumed as follows. When the tertiary alicyclic hydrocarbon group represented by the formula (L1) is bonded to the ester moiety, the acid decomposition ability is higher due to steric repulsion than that of other linear tertiary alkyl groups such as t-butyl group and t-amyl group. Further, the acid-labile group represented by formula (L1) is likely to have a high sensitivity because it can easily undergo an acid-elimination reaction as compared with an acid-labile group having an adamantane ring. Therefore, when the tertiary alicyclic hydrocarbon group is used for the polarity-changing unit of the base polymer of the resist composition, the solubility contrast between the exposed portion and the unexposed portion is increased. The onium salt compound of the present invention functions as an acid diffusion inhibitor, but since the degree of acidity of the carboxylic acid generated after quenching a strong acid is relatively high, it is presumed that when the onium salt compound is used in combination with a highly reactive acid labile group unit, the acid generated after quenching promotes a release reaction, although a little, and as a result, the contrast is improved, and as a result, the lithographic performance is improved. The tertiary ether acid-labile group represented by the formula (b) generally has low acid elimination reactivity, but it is presumed that the elimination reaction is accelerated in the presence of a protic hydroxyl group having a high acidity such as phenol, and as a result, the same effect as that of the tertiary ester type can be obtained.
Changing X in formula (a)AA specific example of the structure thus obtained is given in the paragraph [0015 ] of Japanese patent laid-open No. 2014-225005]The following are preferred.
Figure BDA0002832264470000341
In the formula, RAAnd AL1As before.
Examples of the repeating unit a include, but are not limited to, those shown below. In the formula, RAAs before.
Figure BDA0002832264470000351
Figure BDA0002832264470000361
Figure BDA0002832264470000371
Examples of the repeating unit b include, but are not limited to, those described below. In the formula, RAAs before.
Figure BDA0002832264470000372
Figure BDA0002832264470000381
In addition, the specific example is XAAnd XBThe same acid-labile group can be combined with either a single bond or a group other than a single bond. XASpecific examples of the case where the group is other than a single bond are as described above. XBSpecific examples of the ester bond include those obtained by replacing the single bond between the main chain and the benzene ring in the above-mentioned specific examples with an ester bond.
The base polymer preferably contains a repeating unit represented by the following formula (c) (hereinafter, also referred to as a repeating unit c).
Figure BDA0002832264470000391
In the formula (c), RAIs a hydrogen atom or a methyl group. Y isAIs a single bond or an ester bond.
In the formula (c), R21Is fluorine atom, iodine atom or C1-10 alkyl. The hydrocarbon group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include: an alkyl group such as a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, an n-pentyl group, an n-hexyl group, an n-octyl group, an n-nonyl group, or an n-decyl group; cyclic saturated hydrocarbon groups such as cyclopentyl, cyclohexyl, and adamantyl groups; aryl groups such as phenyl; groups obtained by combining these, and the like.
and-CH in the above-mentioned hydrocarbon group2-may also be substituted by-O-or-C (═ O) -. Further, the-CH in the aforementioned hydrocarbon group2May also be bonded to(c) Carbon atom of benzene ring in (1). Examples of substituted hydrocarbyl groups include: methoxy, ethoxy, propoxy, butoxy, phenoxy, 2-methoxyethoxy, acetyl, ethylcarbonyl, hexylcarbonyl, acetoxy, ethylcarbonyloxy, propylcarbonyloxy, pentylcarbonyloxy, hexylcarbonyloxy, heptylcarbonyloxy, methoxymethylcarbonyloxy, (2-methoxyethoxy) methylcarbonyloxy, methyloxycarbonyl, ethyloxycarbonyl, hexyloxycarbonyl, phenyloxycarbonyl, acetoxymethyl, phenoxymethyl, methoxycarbonyloxy and the like, but are not limited thereto. R21Preferably a fluorine atom, an iodine atom, a methyl group, an acetyl group or a methoxy group.
In the formula (c), b and c are integers satisfying 1. ltoreq. b.ltoreq.5, 0. ltoreq. c.ltoreq.4, and 1. ltoreq. b + c.ltoreq.5. b is preferably 1,2 or 3, and c is preferably 0, 1 or 2.
The repeating unit c has an effect of improving adhesion to the substrate and the underlying film. Further, since the photosensitive composition has a phenolic hydroxyl group with high acidity, the action of an acid generated by exposure is promoted, and the photosensitive composition contributes to high sensitivity, and becomes a proton supply source of the acid generated by exposure in EUV exposure, and improvement of sensitivity can be expected.
Examples of the repeating unit c include, but are not limited to, those described below. In the formula, RAMe is methyl, as before.
Figure BDA0002832264470000401
Figure BDA0002832264470000411
Among these, the repeating unit c is preferably as follows. In the formula, RAMe is methyl, as before.
Figure BDA0002832264470000421
The aforementioned base polymer may also contain a repeating unit represented by the following formula (d1), (d2), (d3) or (d 4).
Figure BDA0002832264470000422
In the formulae (d1) to (d4), RBIs a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. ZAIs a single bond, phenylene, -O-ZA1-、-C(=O)-O-ZA1-or-C (═ O) -NH-ZA1-。ZA1The alkylene group may contain a hetero atom and has 1 to 20 carbon atoms. ZBAnd ZCEach independently represents a single bond or a C1-20 alkylene group which may contain a hetero atom. ZDIs a single bond, methylene, ethylene, phenylene, fluorinated phenylene, -O-ZD1-、-C(=O)-O-ZD1or-C (═ O) -NH-ZD1-。ZD1Is phenylene which may also be substituted.
ZA1The alkylene group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include: alkanediyl groups such as methylene, ethane-1, 1-diyl, ethane-1, 2-diyl, propane-1, 3-diyl, butane-1, 4-diyl, pentane-1, 5-diyl, hexane-1, 6-diyl, heptane-1, 7-diyl, octane-1, 8-diyl, nonane-1, 9-diyl, decane-1, 10-diyl and 2, 2-dimethylpropane-1, 3-diyl; cyclic saturated alkylene groups such as cyclopentanediyl, cyclohexanediyl, norbornanediyl and adamantanediyl groups; an alkenediyl group such as ethylene-1, 2-diyl, 1-propylene-1, 3-diyl, 2-butene-1, 4-diyl and 1-methyl-1-butene-1, 4-diyl; a cyclic unsaturated aliphatic alkylene group such as 2-cyclohexene-1, 4-diyl; aromatic alkylene groups such as phenylene and naphthylene; groups obtained by combining these, and the like. In addition, some or all of the hydrogen atoms in the alkylene group may be substituted with a group containing a hetero atom such as an oxygen atom, a sulfur atom, a nitrogen atom, a halogen atom or the like, and a group containing a hetero atom such as an oxygen atom, a sulfur atom, a nitrogen atom or the like may be inserted between carbon-carbon atoms in the alkylene group, so that the alkylene group may contain a hydroxyl group, a cyano group, a carbonyl group, an ether bond, an ester bond, a sulfonate ester bond or the likeCarbonate bonds, lactone rings, sultone rings, carboxylic acid anhydrides, haloalkyl groups, and the like.
ZBAnd ZCThe alkylene group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include ZA1The alkylene groups shown are the same as those exemplified above. ZBAnd ZCPreferably a single bond, adamantyldiphenyl or phenylene.
In the formulae (d1) to (d4), R31~R41Each independently a C1-20 hydrocarbon group which may contain a heteroatom. The hydrocarbon group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include: an alkyl group such as a methyl group, an ethyl group, a n-propyl group, an isopropyl group, a n-butyl group, a sec-butyl group, a tert-butyl group, a n-pentyl group, a n-hexyl group, a n-octyl group, a 2-ethylhexyl group, a n-nonyl group, or a n-decyl group; cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo [5.2.1.02,6]A cyclic saturated hydrocarbon group such as a decyl group, an adamantyl group, or an adamantylmethyl group; alkenyl groups such as vinyl, allyl, propenyl, butenyl, hexenyl, and the like; a cyclic unsaturated aliphatic hydrocarbon group such as a cyclohexenyl group; aryl groups such as phenyl, naphthyl, thienyl, 4-hydroxyphenyl, 4-methoxyphenyl, 3-methoxyphenyl, 2-methoxyphenyl, 4-ethoxyphenyl, 4-tert-butoxyphenyl, 3-tert-butoxyphenyl, 2-methylphenyl, 3-methylphenyl, 4-ethylphenyl, 4-tert-butylphenyl, 4-n-butylphenyl, 2, 4-dimethylphenyl, 2,4, 6-triisopropylphenyl, methylnaphthyl, ethylnaphthyl, methoxynaphthyl, ethoxynaphthyl, n-propoxytenyl, n-butoxynaphthyl, dimethylnaphthyl, diethylnaphthyl, dimethoxynaphthyl, and diethoxynaphthyl; aralkyl groups such as benzyl, 1-phenylethyl and 2-phenylethyl; groups obtained by combining these, and the like. In addition, some or all of the hydrogen atoms in the hydrocarbon group may be substituted with a group containing a hetero atom such as an oxygen atom, a sulfur atom, a nitrogen atom, a halogen atom, etc., and a hetero atom such as an oxygen atom, a sulfur atom, a nitrogen atom, etc., may be inserted between carbon-carbon atoms in the hydrocarbon groupThe resultant atomic group may contain a hydroxyl group, a cyano group, a carbonyl group, an ether bond, an ester bond, a sulfonate bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, or the like.
ZAAnd R31~R41Preferably a phenyl group, and the phenyl group is in combination with S in the formula+The structure of the bond is preferred.
And, ZA、R31And R32Any 2 of them may also be bonded to each other and form a ring together with the sulfur atom to which they are bonded, R33、R34And R35Any 2 of (1), R36、R37And R38Any 2 or R in (1)39、R40And R41Any 2 of them may also be bonded to each other and form a ring together with the sulfur atom to which they are bonded.
In the formula (d2), RHFIs a hydrogen atom or a trifluoromethyl group.
In the formula (d2), n1Is 0 or 1, ZBWhen it is a single bond, n1Is 0. In the formula (d3), n2Is 0 or 1, ZCWhen it is a single bond, n2Is 0.
In the formula (d1), Xa-Are non-nucleophilic counter ions. The non-nucleophilic counter ion is not particularly limited, and examples thereof include: halide ions such as chloride ions and bromide ions; fluoroalkyl sulfonate ions such as trifluoromethanesulfonate ion, 1,1, 1-trifluoroethane sulfonate ion, and nonafluorobutane sulfonate ion; arylsulfonate ions such as a toluenesulfonate ion, a benzenesulfonate ion, a 4-fluorobenzenesulfonate ion, and a 1,2,3,4, 5-pentafluorobenzenesulfonate ion; alkylsulfonate ions such as methanesulfonate ion and butanesulfonate ion; imide ions such as bis (trifluoromethylsulfonyl) imide ion, bis (perfluoroethylsulfonyl) imide ion, and bis (perfluorobutylsulfonyl) imide ion; as the methide ion such as the tris (trifluoromethylsulfonyl) methide ion and the tris (perfluoroethylsulfonyl) methide ion, an anion represented by the following formula (d1-1) or (d1-2) is preferable.
Figure BDA0002832264470000441
In the formulae (d1-1) and (d1-2), R51And R52Each independently a C1-40 hydrocarbon group which may contain a heteroatom. RHFIs a hydrogen atom or a trifluoromethyl group.
Examples of the anion represented by the formula (d1-1) include the paragraph [0100 ] in Japanese patent laid-open publication No. 2014-177407]~[0101]The above formula is not limited to those described below. In the formula, RHFSame as the above
Figure BDA0002832264470000451
Figure BDA0002832264470000461
Figure BDA0002832264470000471
Examples of the anion represented by the formula (d1-2) include those described in paragraphs [0080] to [0081] of Japanese patent application laid-open No. 2010-215608 and those represented by the following formula, but are not limited thereto. In the following formula, Ac is an acetyl group.
Figure BDA0002832264470000481
Examples of the anion in the repeating unit d2 include the paragraph [0021 ] in Japanese unexamined patent publication No. 2014-177407]~[0026]The description is given. And R isHFA specific structure of an anion which is a hydrogen atom is described in paragraph [0021 ] of Japanese patent laid-open No. 2010-116550]~[0028]Described is, RHFSpecific structure of anion in the case of trifluoromethyl is described in paragraph [0021 ] of Japanese patent application laid-open No. 2010-77404]~[0027]The description is given.
Examples of the anion in the recurring unit d3 include-CH (R) in the specific example of the anion in the recurring unit d2HF)CF2SO3 -Is partially substituted by-C (CF)3)2CH2SO3 -And obtaining the product.
Preferable examples of the anion of the recurring units d2 to d4 include, but are not limited to, those described below.
In the formula, RBAs before.
Figure BDA0002832264470000491
Specific structures of sulfonium cations in the repeating units d2 to d4 are exemplified in the paragraph [0223 ] of Japanese patent laid-open No. 2008-158339]Described and as M in formula (1)+The same applies to the sulfonium cations shown. Among these, those shown below are preferable, but not limited thereto. In the following formula, Me is a methyl group and tBu is a tert-butyl group.
Figure BDA0002832264470000501
The repeating units d1 to d4 function as photoacid generators. When the base polymer containing the repeating units d1 to d4 is used, the blending of an additive type photoacid generator described later can be omitted.
The base polymer may further contain a repeating unit (hereinafter, also referred to as a repeating unit e.) containing a hydroxyl group other than a phenolic hydroxyl group, a lactone ring, an ether bond, an ester bond, a carbonyl group, a cyano group, or a carboxyl group as another bonding group.
Examples of the repeating unit e include, but are not limited to, those shown below. In the formula, RAMe is methyl, as before.
Figure BDA0002832264470000511
Figure BDA0002832264470000521
Figure BDA0002832264470000531
Figure BDA0002832264470000541
In addition to these, the repeating unit e may be described in paragraphs [0045] to [0053] of Japanese patent application laid-open No. 2014-225005.
Among these, the repeating unit e is preferably one having a hydroxyl group or a lactone ring, and is preferably one shown below, for example.
Figure BDA0002832264470000551
The base polymer may further contain, as another repeating unit, a repeating unit having a structure in which a hydroxyl group is protected with an acid labile group. Such a repeating unit is not particularly limited as long as it has a structure in which a hydroxyl group is protected by 1 or more acid-labile groups and the protecting group is decomposed by the action of an acid to generate a hydroxyl group, and specifically, those described in paragraphs [0055] to [0065] of Japanese patent laid-open No. 2014-225005 and those described in paragraphs [0110] to [0115] of Japanese patent laid-open No. 2015-214634 can be mentioned.
The base polymer may further contain a repeating unit other than the above. Examples of the other repeating unit include a repeating unit having an oxirane ring or an oxetane ring. By containing a repeating unit having an oxirane ring or an oxetane ring, the exposed portion is crosslinked, and thus the residual film characteristics and etching resistance of the exposed portion are improved.
The aforementioned base polymer may further contain, as other repeating units, a repeating unit obtained from: substituted acrylates such as methyl crotonate, dimethyl maleate, and dimethyl itaconate; unsaturated carboxylic acids such as maleic acid, fumaric acid, and itaconic acid; norborene, norborene derivatives, tetracyclo [6 ].2.1.13,6.02,7]Cyclic olefins such as dodecene derivatives; unsaturated acid anhydrides such as itaconic anhydride; vinyl aromatic compounds such as styrene, t-butoxystyrene, vinylnaphthalene, acetoxystyrene, vinylnaphthalene, etc.; other monomers.
The weight average molecular weight (Mw) of the base polymer is preferably 1,000 to 500,000, more preferably 3,000 to 100,000, still more preferably 4,000 to 20,000. When Mw is within the above range, the etching resistance is not extremely lowered, and the difference in dissolution rate between before and after exposure is secured, so that the resolution is good. In the present invention, Mw is a polystyrene-equivalent measured value obtained by Gel Permeation Chromatography (GPC). The dispersity (Mw/Mn) is preferably 1.20 to 2.50, more preferably 1.30 to 2.00.
As a method for synthesizing the polymer, for example, a method of polymerizing desired monomers among 1 or more kinds of monomers providing various repeating units in an organic solvent by adding a radical polymerization initiator and heating is exemplified. Such polymerization methods are described in paragraphs [0134] to [0137] in Japanese patent laid-open publication No. 2015-214634. Further, the acid-labile group may be used as it is, introduced into a monomer, or may be protected or partially protected after polymerization.
In the polymer, the preferable content ratio of each repeating unit is, for example, in the range (mol%) shown below, but is not limited thereto.
(I) The 1 or more species selected from among the repeating units a and b are preferably contained in an amount of 10 to 70 mol%, more preferably 20 to 65 mol%, further preferably 30 to 60 mol%,
(II) the repeating unit c preferably contains 1 or more kinds of repeating units (c) in an amount of 0 to 90 mol%, more preferably 15 to 80 mol%, further preferably 30 to 60 mol%,
(III) optionally, 0 to 30 mol%, more preferably 0 to 20 mol%, still more preferably 0 to 15 mol%, of 1 or more selected from the repeating units d1 to d4,
the (IV) is preferably 0 to 80 mol%, more preferably 0 to 70 mol%, further preferably 0 to 50 mol%, of 1 or more selected from the repeating unit e and other repeating units.
(A) The base polymer of component (A) may be used alone in 1 kind, or 2 or more kinds different in composition ratio, Mw and/or Mw/Mn may be used in combination. The base polymer of component (A) may contain, in addition to the above-mentioned polymer, a hydride of a ring-opening metathesis polymer. As the hydride of the ring-opening metathesis polymer, one described in Japanese patent application laid-open No. 2003-66612 can be used.
[ (B) photoacid generators ]
When the base polymer does not contain at least 1 selected from the repeating units d1 to d4, the resist composition of the present invention contains (B) a photoacid generator (hereinafter, also referred to as an additive photoacid generator) as an essential component. In addition, even if the base polymer contains at least 1 selected from the repeating units d1 to d4, an additive type photoacid generator may be contained.
The additive-type photoacid generator is not particularly limited as long as it is a compound that generates an acid upon irradiation with a high-energy ray. Preferable examples of the photoacid generator include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxycarboxydicarboximide, O-arylsulfonyloxime, O-alkylsulfonyloxime and other photoacid generators. Specifically, examples thereof include compounds described in paragraphs [0102] to [0113] of Japanese patent application laid-open No. 2007 & expense 145797, compounds described in paragraphs [0122] to [0142] of Japanese patent application laid-open No. 2008 & expense 111103, compounds described in paragraphs [0081] to [0092] of Japanese patent application laid-open No. 2014 & expense 001259, compounds described in Japanese patent application laid-open No. 2012 & expense 41320, compounds described in Japanese patent application laid-open No. 2012 & expense 153644, compounds described in Japanese patent application laid-open No. 2012 & expense 106986, and compounds described in Japanese patent application laid-open No. 2016 & expense 018007. The partially fluorinated sulfonic acid-generating photoacid generator described in these publications is preferably used, particularly, since the intensity and diffusion length of the acid generated in ArF lithography are appropriate.
(B) Preferable examples of the photoacid generator as component (a) include a sulfonium salt represented by the following formula (5A) and an iodonium salt represented by the following formula (5B).
Figure BDA0002832264470000571
In the formulae (5A) and (5B), R101、R102、R103、R104And R105Each independently a C1-20 hydrocarbon group which may contain a heteroatom. The hydrocarbon group is exemplified by the groups represented by the formulae (d1) to (d4) and R31~R41The same applies to the description given above. And R is101、R102And R103Any 2 of them may also be bonded to each other and form a ring together with the sulfur atom to which they are bonded, R104And R105May also be bonded to each other and form a ring together with the iodine atom to which they are bonded. The ring formed at this time can be exemplified by R in the description of the formula (M-1)M1、RM2And RM3Wherein 2 are bonded to each other and form a ring together with the sulfur atom to which they are bonded, and formula (M-2) wherein R is as defined inM4And RM5The same ones as exemplified for the ring bonded to each other and formed together with the iodine atom to which they are bonded. R101~R105Preferably a phenyl group bonded to S in the formula+Or I+The structure of (2) is preferred.
As for the sulfonium cation of the sulfonium salt represented by the formula (5A), it is described in detail in the paragraph [0082 ] of Japanese unexamined patent publication No. 2014-001259]~[0085]. Further, a specific example thereof is given in the paragraph [0027 ] of Japanese patent laid-open No. 2007-145797]~[0033]Japanese patent application laid-open No. 2010-113209, paragraph [0059 ]]Those described in Japanese patent laid-open Nos. 2012 and 41320, 2012 and 153644, 2012 and 106986, and M in the formula (1)+The same applies to the sulfonium cations shown.
The cation of the sulfonium salt represented by the formula (5A) is preferably as follows, but not limited thereto. In the following formula, Me is a methyl group and tBu is a tert-butyl group.
Figure BDA0002832264470000591
Particularly preferred cations of the sulfonium salt represented by the formula (5A) are triphenylsulfonium cation, S-phenyldibenzothiophenium cation, (4-tert-butylphenyl) diphenylsulfonium cation, (4-fluorophenyl) diphenylsulfonium cation, and (4-hydroxyphenyl) diphenylsulfonium cation.
Examples of the cation of the iodonium salt represented by formula (5B) include the cation represented by M in formula (1)+The same one as exemplified for the iodonium cation represented by (i) is particularly preferable for the iodonium cation of diphenyl or di-tert-butylphenyl iodonium cation.
Xb in the formulae (5A) and (5B)-Is an anion represented by the following formula (6A) or (6B).
Figure BDA0002832264470000601
In the formula (6A), RfaIs a fluorine atom, a perfluoroalkyl group having 1 to 4 carbon atoms, or a hydrocarbon group having 1 to 40 carbon atoms which may contain a hetero atom, wherein-CH in the hydrocarbon group2-may also be substituted by-O-or-C (═ O) -.
The anion represented by the formula (6A) is preferably a trifluoromethanesulfonate anion, a nonafluorobutanesulfonate anion or an anion represented by the following formula (6A').
Figure BDA0002832264470000602
In the formula (6A'), R111Is a hydrogen atom or a trifluoromethyl group, preferably a trifluoromethyl group.
R112Is a hydrocarbon group having 1 to 35 carbon atoms. The hydrocarbon group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include: an alkyl group such as a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, an n-pentyl group, an n-hexyl group, an n-octyl group, a 2-ethylhexyl group, an n-nonyl group, or an n-decyl group; cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo [5.2.1.02,6]A cyclic saturated hydrocarbon group such as a decyl group, an adamantyl group, or an adamantylmethyl group; alkenyl groups such as vinyl, allyl, propenyl, butenyl, hexenyl, and the like; cyclic unsaturated aliphatic hydrocarbon group such as cyclohexenyl group(ii) a Aryl groups such as phenyl, naphthyl, thienyl, 4-hydroxyphenyl, 4-methoxyphenyl, 3-methoxyphenyl, 2-methoxyphenyl, 4-ethoxyphenyl, 4-tert-butoxyphenyl, 3-tert-butoxyphenyl, 2-methylphenyl, 3-methylphenyl, 4-ethylphenyl, 4-tert-butylphenyl, 4-n-butylphenyl, 2, 4-dimethylphenyl, 2,4, 6-triisopropylphenyl, methylnaphthyl, ethylnaphthyl, methoxynaphthyl, ethoxynaphthyl, n-propoxytenyl, n-butoxynaphthyl, dimethylnaphthyl, diethylnaphthyl, dimethoxynaphthyl, and diethoxynaphthyl; aralkyl groups such as benzyl, 1-phenylethyl and 2-phenylethyl; groups obtained by combining these, and the like. In addition, some or all of the hydrogen atoms in the hydrocarbon group may be substituted with a hetero atom containing oxygen atom, sulfur atom, nitrogen atom, halogen atom, etc. -CH in the hydrocarbon group2The aryl group may be substituted with-O-or-C (═ O) -, and as a result, may contain a hydroxyl group, a cyano group, a carbonyl group, an ether bond, an ester bond, a carbonate bond, a lactone ring, a carboxylic anhydride, a haloalkyl group, or the like.
As to the anion represented by the formula (6A'), see, for example, Japanese patent application laid-open Nos. 2007-145797, 2008-106045, 2009-007327, 2009-258695 and 2012-181306. Examples of the anion represented by the formula (6A) include the anions described in these publications, and the same ones as exemplified as the anion represented by the formula (d 1-1).
In the formula (6B), RfbIs a C1-40 hydrocarbon group, in which some or all of the hydrogen atoms may be substituted with hetero atoms such as oxygen atom, sulfur atom, nitrogen atom, halogen atom, etc. -CH2-may also be substituted by-O-or-C (═ O) -. RfbExamples of the hydrocarbon group include the group represented by R112The same applies to the description given above.
As to the anion represented by the formula (6B), the anion can be found in Japanese patent application laid-open Nos. 2010-215608 and 2014-133723. Examples of the anion represented by the formula (6B) include the anions described in these publications, and the same ones as exemplified as the anion represented by the formula (d 1-2). Further, the photoacid generator having an anion represented by the formula (6B) has an acidity sufficient to cleave an acid labile group in the base polymer because the β position has 2 trifluoromethyl groups, although the α position of the sulfo group does not have a fluorine atom. Therefore, it can be used as a photoacid generator.
Xb-The anion is preferably the following, but not limited thereto. In addition, in the formula, RHFIs a hydrogen atom or a trifluoromethyl group.
Figure BDA0002832264470000621
Figure BDA0002832264470000631
Specific structures of the photoacid generator represented by the formula (5A) or (5B) include arbitrary combinations of the aforementioned specific examples of anions and specific examples of cations, but are not limited thereto.
(B) Another preferable example of the photoacid generator of component (B) is a compound represented by the following formula (7).
Figure BDA0002832264470000632
In the formula (7), R201And R202Each independently a C1-30 hydrocarbon group which may contain a hetero atom. R203The alkylene group may contain a hetero atom and has 1 to 30 carbon atoms. And R is201、R202And R203Any 2 of them may also be bonded to each other and form a ring together with the sulfur atom to which they are bonded.
R201And R202The hydrocarbon group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include112The same applies to the description given above.
R203The alkylene group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include: methylene ethyleneAlkanediyl such as yl, propane-1, 3-diyl, butane-1, 4-diyl, pentane-1, 5-diyl, hexane-1, 6-diyl, heptane-1, 7-diyl, octane-1, 8-diyl, nonane-1, 9-diyl, decane-1, 10-diyl, undecane-1, 11-diyl, dodecane-1, 12-diyl, tridecane-1, 13-diyl and tetradecane-1, 14-diyl; cyclic saturated alkylene groups such as cyclopentanediyl, cyclohexanediyl, norbornanediyl and adamantanediyl groups; arylene groups such as phenylene, methylphenyl, ethylphenylene, n-propylphenylene, isopropylphenylene, n-butylphenyl, isobutylphenylene, sec-butylphenyl, tert-butylphenyl, dimethylphenylene, diethylphenylene, naphthylene, methylnaphthylene, ethylnaphthylene, n-propylnaphthylene, isopropylnaphthylene, n-butylnaphthylene, isobutylnaphthylene, sec-butylnaphthylene, tert-butylnaphthylene, dimethylnaphthylene, and diethylnaphthylene; groups obtained by combining these, and the like. Further, a part of the hydrogen atoms in the alkylene group may be substituted with a group containing a hetero atom such as an oxygen atom, a sulfur atom, a nitrogen atom, or a halogen atom, or a group containing a hetero atom such as an oxygen atom, a sulfur atom, or a nitrogen atom may be inserted between carbon atoms and carbon atoms in the alkylene group, and as a result, a hydroxyl group, a cyano group, a carbonyl group, an ether bond, an ester bond, a sulfonate bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, or the like may be contained.
In the formula (7), LAIs a single bond, an ether bond, an ester bond, or a C1-20 alkylene group which may contain a hetero atom and in which-CH2-may also be substituted by-O-or-C (═ O) -. Further, the-CH group in the aforementioned alkylene group2-carbon atoms and/or R which may also be bonded to the phenyl ring in formula (7)203。LAThe alkylene group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include203The same applies to the description given above.
In the formula (7), X1、X2、X3And X4Each independently is a hydrogen atom, a fluorine atom or a trifluoromethyl group, and at least 1 is a fluorine atom or a trifluoromethyl group.
The compound represented by the formula (7) is particularly preferably represented by the following formula (7').
Figure BDA0002832264470000641
In the formula (7'), RHFIs a hydrogen atom or a trifluoromethyl group, preferably a trifluoromethyl group. R301、R302And R303Each independently a hydrocarbon group having 1 to 20 carbon atoms, wherein some or all of the hydrogen atoms in the hydrocarbon group may be substituted with a hetero atom such as an oxygen atom, a sulfur atom, a nitrogen atom, a halogen atom or the like, and-CH in the hydrocarbon group2-may also be substituted by-O-or-C (═ O) -. Further, the-CH in the aforementioned hydrocarbon group2-may also be bonded to a carbon atom of the benzene ring in formula (7'). R301、R302And R303The hydrocarbon group may be saturated or unsaturated, and may be linear, branched or cyclic. Specific examples thereof include112The same applies to the description given above. x and y are each independently an integer of 0 to 5, and z is an integer of 0 to 4.
The photoacid generator represented by the formula (7) or (7') is described in detail in Japanese patent laid-open publication No. 2011-. Further, examples of such sulfonium salts include those described in the above-mentioned publications, and those described in paragraphs [0149] to [0150] of Japanese patent laid-open publication No. 2015-214634.
The photoacid generator represented by formula (7) includes, but is not limited to, those described below. In the formula, RHFAs before, Me is methyl and tBu is tert-butyl.
Figure BDA0002832264470000661
(B) The content of the component (B) is preferably 1 to 30 parts by mass, more preferably 2 to 25 parts by mass, still more preferably 4 to 20 parts by mass, based on 100 parts by mass of the base polymer (A). If the content is within the above range, there is no risk of deterioration in resolution, and the occurrence of foreign matter problems after development or during stripping of the resist. (B) The photoacid generator of component (A) may be used alone in 1 kind, or may be used in combination of 2 or more kinds.
[ (C) acid diffusion inhibitor ]
The resist composition of the present invention contains an acid diffusion inhibitor as the component (C). (C) The component (A) contains an onium salt compound represented by the formula (1) as an essential component (C-1), and may contain an acid diffusion inhibitor (C-2) other than the onium salt compound represented by the formula (1). Further, in the present invention, the acid diffusion inhibitor means a compound that can inhibit the diffusion rate at which the acid generated from the photoacid generator diffuses into the resist film.
Examples of the acid diffusion inhibitor (C-2) include amine compounds, weak acid onium salts such as sulfonic acid or carboxylic acid which are not fluorinated at the α -position.
The amine compound may be a primary, secondary or tertiary amine compound, and particularly an amine compound having any one of a hydroxyl group, an ether bond, an ester bond, a lactone ring, a cyano group and a sulfonate ester bond. Further, as the acid diffusion inhibitor, a primary or secondary amine compound protected with a urethane group can be also exemplified. Such protected amine compounds are effective when components unstable to bases are present in the resist composition. Examples of such an acid diffusion inhibitor include, but are not limited to, compounds described in paragraphs [0146] to [0164] of Japanese patent application laid-open No. 2008-111103, compounds described in Japanese patent No. 3790649, and the following.
Figure BDA0002832264470000671
Figure BDA0002832264470000681
As the onium salt of a sulfonic acid or carboxylic acid which is not fluorinated at the α -position, those represented by the following formula (8A) or (8B) can be mentioned.
Figure BDA0002832264470000682
In the formula (8A), Rq1Is a hydrocarbon group of 1 to 40 carbon atoms which may contain a hydrogen atom, a methoxy group or a hetero atom. However, sulfo groupExcept that the hydrogen atom on the carbon atom at the alpha position of (A) is substituted by a fluorine atom or a fluoroalkyl group.
In the formula (8B), Rq2Is a hydrocarbon group of 1 to 40 carbon atoms which may contain a hydrogen atom, a hydroxyl group or a hetero atom.
In the formulae (8A) and (8B), Mq+Is an onium cation. The onium cation is preferably represented by the following formula (9A), (9B) or (9C).
Figure BDA0002832264470000691
In the formulae (9A) to (9C), R401~R409Each independently a C1-40 hydrocarbon group which may contain a heteroatom. And R is401And R402、R404And R405Or R406And R407May also be bonded to each other and form a ring together with the sulfur atom, iodine atom or nitrogen atom to which they are bonded.
Rq1The C1-40 hydrocarbon group which may contain a hetero atom may be saturated or unsaturated, and may be straight-chain, branched or cyclic. Specific examples thereof include: alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, and 2-ethylhexyl; cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo [5.2.1.02,6]A cyclic saturated hydrocarbon group such as a decyl group, an adamantyl group, or an adamantylmethyl group; alkenyl groups such as vinyl, allyl, propenyl, butenyl, hexenyl, and the like; a cyclic unsaturated hydrocarbon group such as a cyclohexenyl group; aryl groups such as phenyl and naphthyl; heteroaryl groups such as thienyl; hydroxyphenyl groups such as 4-hydroxyphenyl group; an alkoxyphenyl group such as a 4-methoxyphenyl group, a 3-methoxyphenyl group, a 2-methoxyphenyl group, a 4-ethoxyphenyl group, a 4-tert-butoxyphenyl group, or a 3-tert-butoxyphenyl group; alkylphenyl such as 2-methylphenyl, 3-methylphenyl, 4-ethylphenyl, 4-tert-butylphenyl, 4-n-butylphenyl, 2, 4-dimethylphenyl, 2,4, 6-triisopropylphenyl and the like; first of allAlkylnaphthyl groups such as naphthylnaphthyl and ethylnaphthyl; alkoxynaphthyl such as methoxynaphthyl, ethoxynaphthyl, n-propoxytenyl and n-butoxynaphthyl; dialkylnaphthyl groups such as dimethylnaphthyl and diethylnaphthyl; dialkoxynaphthyl groups such as dimethoxynaphthyl and diethoxynaphthyl; aralkyl groups such as benzyl, 1-phenylethyl and 2-phenylethyl; an aryloxyalkyl group such as a 2-aryl-2-oxoethyl group, e.g., a 2-phenyl-2-oxoethyl group, a 2- (1-naphthyl) -2-oxoethyl group, or a 2- (2-naphthyl) -2-oxoethyl group; groups obtained by combining these, and the like. In addition, some or all of the hydrogen atoms in the hydrocarbon group may be substituted with a group containing a heteroatom such as an oxygen atom, a sulfur atom, a nitrogen atom, or a halogen atom, or a group containing a heteroatom such as an oxygen atom, a sulfur atom, or a nitrogen atom may be inserted between carbon atoms and carbon atoms in the hydrocarbon group, and as a result, a hydroxyl group, a cyano group, a carbonyl group, an ether bond, an ester bond, a sulfonate bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, or the like may be contained.
Rq2The C1-40 hydrocarbon group which may contain a hetero atom may be saturated or unsaturated, and may be straight-chain, branched or cyclic. Specific examples thereof include Rq1Specific examples of the substituent include a fluorine-containing alkyl group such as a trifluoromethyl group, a trifluoroethyl group, a 2,2, 2-trifluoro-1-methyl-1-hydroxyethyl group, a 2,2, 2-trifluoro-1- (trifluoromethyl) -1-hydroxyethyl group and the like, and a fluorine-containing aryl group such as a pentafluorophenyl group and a 4-trifluoromethylphenyl group.
As for the onium sulfonate represented by the formula (8A) and the onium carboxylate represented by the formula (8B), detailed Japanese patent application laid-open Nos. 2008-158339 and 2010-155824 are disclosed. Specific examples of these compounds include those described in these publications.
Examples of the anion of the onium sulfonate salt represented by formula (8A) include, but are not limited to, those shown below.
Figure BDA0002832264470000701
Examples of the anion of the onium carboxylate represented by formula (8B) include, but are not limited to, those shown below.
Figure BDA0002832264470000711
Examples of the cation represented by the formula (9A) and the cation represented by the formula (9B) include the same ones as those exemplified as the cation represented by the formula (M-1) and the cation represented by the formula (M-2), respectively, and examples of the cation represented by the formula (9C) include, but are not limited to, tetramethylammonium cation, tetraethylammonium cation, tetrabutylammonium cation, trimethylbenzylcation, and trimethylphenylcation. Particularly preferred cations include those shown below. In the following formula, Me is a methyl group and tBu is a tert-butyl group.
Figure BDA0002832264470000721
Specific examples of the onium sulfonate represented by the formula (8A) and the onium carboxylate represented by the formula (8B) include arbitrary combinations of the aforementioned anions and cations. In addition, these onium salts can be easily prepared by ion exchange reactions using known organic chemistry methods. For example, Japanese patent application laid-open No. 2007-145797 discloses an ion exchange reaction.
The onium salt represented by the formula (8A) or (8B) functions as an acid diffusion inhibitor in the present invention. This is because each counter anion of the onium salt compound is a conjugate base of a weak acid. The weak acid as referred to herein means an acid that exhibits an acidity that does not deprotect an acid labile group of an acid labile group-containing unit contained in a base polymer. The onium salt represented by the formula (8A) or (8B) functions as an acid diffusion inhibitor when used in combination with an onium salt type photoacid generator having a conjugate base of a strong acid such as a sulfonic acid fluorinated at the α -position as a counter anion. That is, when an onium salt that generates a strong acid such as an α -fluorinated sulfonic acid and an onium salt that generates a weak acid such as a non-fluorine-substituted sulfonic acid or carboxylic acid are used in combination, the strong acid generated from the photoacid generator by irradiation with high-energy rays collides with an unreacted onium salt having a weak acid anion, the weak acid is released by salt exchange, and an onium salt having a strong acid anion is generated. In this process, strong acid is exchanged into weak acid having a low catalyst capacity, so that the acid is apparently deactivated and the diffusion of the acid can be controlled.
In the onium salt compound represented by the formula (8A) or (8B), Mq+An onium salt that is a sulfonium cation (9A) or an iodonium cation (9B) has, in particular, photodegradability, and therefore the quenching ability of a portion having strong light intensity decreases, and the concentration of a strong acid derived from a photoacid generator increases. Thereby, the contrast of the exposed portion is improved, and a pattern excellent in LWR and CDU can be formed.
When the acid-labile group is an acetal group which is particularly sensitive to an acid, the acid for removing the protecting group is not necessarily sulfonic acid, imide acid or methylated acid which is fluorinated in the α -position, and the deprotection reaction may be carried out using sulfonic acid which is not fluorinated in the α -position. In this case, it is preferable to use an amine compound or an onium carboxylate represented by the formula (8B).
In addition to the onium salts, betaine-type compounds of weak acids can be used as the acid diffusion inhibitors. Specific examples thereof include, but are not limited to, those described below.
Figure BDA0002832264470000741
Further, in addition to the aforementioned compounds, the acid diffusion inhibitor may also be used with Cl-、Br-、NO3 -A sulfonium salt or an iodonium salt is an anion. Specific examples thereof include: triphenylsulfonium chloride, diphenyliodonium chloride, triphenylsulfonium bromide, triphenylsulfonium nitrate, and the like. Since the conjugate acid of these anions has a low boiling point, the acid generated after quenching of a strong acid can be easily removed from the resist film by PEB or the like. Since the acid is removed from the resist film to the outside of the system, the acid diffusion can be suppressed to a high degree, and the contrast can be improved.
The acid diffusion inhibitor may be a photodegradable onium salt having a nitrogen-containing substituent. The photodegradable onium salt functions as an acid diffusion inhibitor in the unexposed part, and loses the acid diffusion inhibitory ability by neutralization with an acid generated from itself in the exposed part, and functions as a so-called photodisintegratable base. By using a photodisintegrative base, the contrast between the exposed portions and the unexposed portions can be further enhanced. Examples of the photodisintegratable base include Japanese patent application laid-open Nos. 2009-109595, 2012-46501, and 2013-209360.
Specific examples of the anion of the photodegradable onium salt include, but are not limited to, those shown below.
In the formula, RHFIs a hydrogen atom or a trifluoromethyl group.
Figure BDA0002832264470000751
Specific examples of the cation of the photodegradable onium salt include M in the formula (1)+The cations shown are the same as those exemplified above. Among these, those shown below are preferable, but not limited thereto. In the following formula, Me is a methyl group and tBu is a tert-butyl group.
Figure BDA0002832264470000761
Specific examples of the photodegradable onium salt include those obtained by combining the anion and the cation, but are not limited thereto.
(C) The content of the component (B) is preferably 2 to 30 parts by mass, more preferably 2.5 to 20 parts by mass, still more preferably 4 to 15 parts by mass, based on 100 parts by mass of the base polymer (A). By blending the acid diffusion inhibitor in the above range, the adjustment of the resist sensitivity becomes easy, the diffusion rate of the acid in the resist film is suppressed, the resolution is improved, the sensitivity change after exposure can be suppressed, the substrate and the environmental dependency can be reduced, and the exposure margin, the pattern profile, and the like can be improved. Further, the substrate adhesion can be improved by adding an acid diffusion inhibitor. The content of the component (C) is the total content including the contents of the acid diffusion inhibitors other than the onium salt compound represented by the formula (1) in addition to the acid diffusion inhibitor composed of the onium salt compound represented by the formula (1). (C) The acid diffusion inhibitor preferably contains 50 to 100% by mass of an onium salt compound represented by the formula (1). (C) The acid diffusion inhibitor of component (a) may be used alone in 1 kind, or may be used in combination of 2 or more kinds.
[ (D) organic solvent ]
The chemically amplified resist composition of the present invention may also contain an organic solvent as the component (D). The organic solvent is not particularly limited as long as it is an organic solvent capable of dissolving the components described above and below. Examples of such organic solvents include: ketones such as cyclohexanone and methyl-2-n-amyl ketone described in paragraphs [0144] to [0145] of Japanese patent laid-open No. 2008-111103; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, and diacetone alcohol; ethers such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, and propylene glycol mono-tert-butyl ether acetate; lactones such as gamma-butyrolactone and mixed solvents thereof. When an acetal is an acid-labile group, an alcohol solvent having a high boiling point, specifically diethylene glycol, propylene glycol, glycerin, 1, 4-butane diol, 1, 3-butane diol, or the like may be added to accelerate deprotection reaction of the acetal.
In the present invention, among these organic solvents, 1-ethoxy-2-propanol, propylene glycol monomethyl ether acetate, diacetone alcohol, cyclohexanone, γ -butyrolactone, and mixed solvents thereof, which are particularly excellent in solubility of the photoacid generator, are preferably used. Particularly preferably a solvent system comprising propylene glycol monomethyl ether acetate (component X) and 1 or 2 of 4 solvents (component Y) in which 1-ethoxy-2-propanol, diacetone alcohol, cyclohexanone and γ -butyrolactone are mixed, and the ratio of the component X to the component Y is 90: 10-60: the mixed solvent in the range of 40 is preferable.
(D) The content of the component (B) is preferably 100 to 8,000 parts by mass, more preferably 400 to 6,000 parts by mass, based on 100 parts by mass of the base polymer (A).
[ (E) surfactant ]
The resist composition of the present invention may contain, as the component (E), a surfactant which is generally used for improving coatability, in addition to the above components.
(E) The surfactant of the component (A) is preferably a surfactant which is insoluble or poorly soluble in water and an alkaline developer, or a surfactant which is insoluble or poorly soluble in water and soluble in an alkaline developer. Such surfactants can be described in Japanese patent application laid-open Nos. 2010-215608 and 2011-16746.
Among the surfactants described in the above-mentioned publications, preferred are FC-4430 (manufactured by 3M Co.), Surflon (registered trademark) S-381 (manufactured by AGC SEIMI CHEMICAL Co.), OLFINE (registered trademark) E1004 (manufactured by Nissan chemical industry Co., Ltd.), KH-20, KH-30 (manufactured by AGC SEIMI CHEMICAL Co., Ltd.), and an oxetane ring-opening polymer represented by the following formula (surf-1).
Figure BDA0002832264470000781
Here, R, Rf, A, B, C, m, and n are not related to the above description, but are applied only to the formula (surf-1). R is an aliphatic group having 2 to 4 valences and 2 to 5 carbon atoms. As the aliphatic group, those having a valence of 2 include ethylene, 1, 4-butylene, 1, 2-propylene, 2-dimethyl-1, 3-propylene, 1, 5-pentylene and the like, and those having a valence of 3 or 4 include the following.
Figure BDA0002832264470000782
In the formula, the dotted line is an atomic bond and is a partial structure derived from glycerin, trimethylolethane, trimethylolpropane, and neopentyltetraol, respectively.
Among these, 1, 4-butylene, 2-dimethyl-1, 3-propylene and the like are preferable.
Rf is trifluoromethyl or pentafluoroethyl, preferably trifluoromethyl. m is an integer of 0 to 3, n is an integer of 1 to 4, and the sum of n and m is the valence of R and is an integer of 2 to 4. A is 1. B is an integer of 2 to 25, preferably 4 to 20. C is an integer of 0 to 10, preferably 0 or 1. The arrangement of the constituent units in the formula (surf-1) is not limited, and they may be bonded in blocks or randomly. The production of the partially fluorinated oxetane ring-opening polymer surfactant is described in detail in U.S. Pat. No. 5650483.
The surfactant which is insoluble or hardly soluble in water but soluble in an alkali developer has a function of reducing water penetration and leaching (leaching) by being aligned on the surface of a resist film when ArF immersion exposure is performed without using the resist protective film. Therefore, it is useful for suppressing elution of water-soluble components from the resist film to reduce damage to the exposure apparatus, and is useful for being solubilized at the time of alkali aqueous solution development after exposure and PEB to be less likely to become foreign matter causing defects. Such a surfactant has a property of being insoluble or hardly soluble in water but soluble in an alkali developer, and is a polymer type surfactant, also called a hydrophobic resin, and is particularly preferably one having high water repellency and improved water-sliding properties.
Examples of such a polymeric surfactant include those containing at least 1 kind selected from the group consisting of repeating units represented by the following formulae (10A) to (10E).
Figure BDA0002832264470000791
In the formulae (10A) to (10E), RCIs a hydrogen atom or a methyl group. W1is-CH2-、-CH2CH2-or-O-, or 2-H separated from each other. Rs1Each independently represents a hydrogen atom or a hydrocarbon group having 1 to 10 carbon atoms. Rs2Is a single bond or an alkanediyl group having 1 to 5 carbon atoms. Rs3Each independently is a hydrogen atom, a hydrocarbon group having 1 to 15 carbon atoms, a fluorinated hydrocarbon group having 1 to 15 carbon atoms, or an acid-labile group. Rs3When the alkyl group or the fluorinated alkyl group is used, it is also possible to insert-O-or-C (═ O) -, between carbon atoms. Rs4Is a (u +1) -valent hydrocarbon group or fluorinated hydrocarbon group having 1 to 20 carbon atoms. u is an integer of 1 to 3. Rs5Each independentlyIs a hydrogen atom or a group represented by the following formula.
-C(=O)-O-Rs5A
In the formula, Rs5AIs a fluorinated hydrocarbon group having 1 to 20 carbon atoms.
Rs6Is a C1-15 hydrocarbon group or a C1-15 fluorinated hydrocarbon group, and may have-O-or-C (═ O) -, inserted between carbon atoms.
The polymeric surfactant may further contain a repeating unit other than the repeating units represented by the formulae (10A) to (10E). Examples of the other repeating units include repeating units derived from methacrylic acid, an α -trifluoromethylacrylic acid derivative and the like. In the polymer surfactant, the content of the repeating units represented by the formulae (10A) to (10E) is preferably 20 mol% or more, more preferably 60 mol% or more, and still more preferably 100 mol% in all the repeating units.
The surfactant insoluble or hardly soluble in water but soluble in an alkali developing solution may be referred to Japanese patent application laid-open Nos. 2008-122932, 2010-134012, 2010-107695, 2009-276363, 2009-192784, 2009-191151, 2009-98638, 2010-250105, and 2011-42789.
(E) The content of the component (B) is preferably 0 to 20 parts by mass based on 100 parts by mass of the base polymer (A). When the component (E) is contained, it is preferably 0.001 to 15 parts by mass, more preferably 0.01 to 10 parts by mass. (D) The surfactant of component (A) may be used alone in 1 kind, or may be used in combination of 2 or more kinds. The aforementioned surfactant is described in detail in Japanese patent laid-open No. 2007-297590.
[ (F) other ingredients ]
The chemically amplified resist composition of the present invention may contain, as other components (F), a compound that generates an acid by decomposition of an acid (acid-proliferating compound), an organic acid derivative, a fluorine-substituted alcohol, a crosslinking agent, a compound having a weight average molecular weight of 3,000 or less whose solubility in a developer changes by the action of an acid (dissolution inhibitor), an acetylene alcohol, and the like. Specifically, the acid-proliferating compound is preferably contained in an amount of 0 to 5 parts by mass, more preferably 0 to 3 parts by mass, based on 100 parts by mass of the base polymer (A), as described in detail in Japanese patent laid-open Nos. 2009-269953 and 2010-215608. If the content is too large, it may be difficult to control the acid diffusion, resulting in deterioration of resolution and pattern shape. As for other additives, the details are given in paragraphs [0155] to [0182] of Japanese patent application laid-open No. 2008-122932, Japanese patent application laid-open No. 2009-269953, and Japanese patent application laid-open No. 2010-215608.
In the case of the chemically amplified resist composition of the present invention containing the onium salt compound represented by the formula (1) as an acid diffusion inhibitor, the chemically amplified resist composition exhibits a high acid diffusion inhibitory ability in photolithography using high-energy rays such as KrF excimer laser, ArF excimer laser, EB, EUV and the like as a light source, can form a pattern with a high contrast, and is excellent in lithographic performance such as CDU, LWR, sensitivity and the like.
[ Pattern Forming method ]
The pattern forming method of the present invention comprises the steps of: forming a resist film on a substrate using the resist composition; exposing the resist film to high-energy radiation; and developing the exposed resist film using a developer.
As the substrate, for example, a substrate for manufacturing an integrated circuit (Si, SiO)2SiN, SiON, TiN, WSi, BPSG, SOG, organic anti-reflection film, etc.), or a substrate for manufacturing a mask circuit (Cr, CrO, CrON, MoSi)2、SiO2Etc.).
The resist film can be formed by, for example, applying a resist composition onto a substrate by spin coating or the like so that the film thickness is preferably 10 to 2,000nm, and prebaking the resist composition on a hot plate under conditions of preferably 60 to 180 ℃ for 10 to 600 seconds, more preferably 70 to 150 ℃ for 15 to 300 seconds.
When KrF excimer laser, ArF excimer laser, or EUV is used for exposure of the resist film, a mask for forming a desired pattern can be used, and the exposure amount is preferably 1 to 200mJ/cm2More preferably 10 to 100mJ/cm2The mode (2) of irradiation. When EB is used, shape correction is usedThe mask of the target pattern or the exposure amount is preferably 1 to 300 μ C/cm2More preferably 10 to 200 μ C/cm2The mode (2) of irradiation.
In addition to the usual exposure method, the exposure may be performed by an immersion method in which a liquid having a refractive index of 1.0 or more is interposed between the resist film and the projection lens. In this case, a protective film insoluble in water may be used.
The water-insoluble protective films are used for preventing the elution from the resist film and improving the water-repellency of the film surface, and are roughly classified into 2 types. One of them is an organic solvent stripping type requiring stripping with an organic solvent that does not dissolve the resist film before the alkali aqueous solution development, and the other is an alkali aqueous solution soluble type that is soluble in an alkali developing solution and removes the protective film while removing the resist film soluble portion. The latter is particularly preferably a material based on a polymer having a 1,1,1,3,3, 3-hexafluoro-2-propanol residue which is insoluble in water and soluble in an alkali developing solution, and which is soluble in an alcohol solvent having 4 or more carbon atoms, an ether solvent having 8 to 12 carbon atoms, or a mixed solvent thereof. The surfactant insoluble in water and soluble in an alkali developing solution may be dissolved in an alcohol solvent having 4 or more carbon atoms, an ether solvent having 8 to 12 carbon atoms, or a mixture thereof.
Optionally, a heat treatment (PEB) may be performed after the exposure. The PEB can be performed by heating the heating plate preferably at 60 to 150 ℃ for 1 to 5 minutes, more preferably at 80 to 140 ℃ for 1 to 3 minutes.
For the development, for example, a developer of an alkaline aqueous solution such as tetramethylammonium hydroxide (TMAH) or an organic solvent developer of preferably 0.1 to 5% by mass, more preferably 2 to 3% by mass is used, and development is performed for preferably 0.1 to 3 minutes, more preferably 0.5 to 2 minutes by a conventional method such as a dip (dip) method, a dip (paddle) method, or a spray (spray) method.
As for the method of forming a positive pattern using an aqueous alkali solution as a developer, see paragraphs [0138] to [0146] of Japanese patent laid-open publication No. 2011-231312, and as for the method of forming a negative pattern using an organic solvent as a developer, see paragraphs [0173] to [0183] of Japanese patent laid-open publication No. 2015-214634.
In the pattern forming method, after the resist film is formed, pure water rinsing (postsoak) may be performed to extract the acid generator and the like from the film surface, or fine particles may be washed off, or rinsing (postsoak) may be performed after exposure to remove water remaining on the film.
In addition, the pattern may be formed by a double patterning method. The double patterning method includes: trench method, using the 1 st exposure and etch to 1: processing the substrate with 3-channel pattern, shifting the position and forming a 1: 3 trench pattern, to form 1: 1; line method, using the 1 st exposure and etch to 1: 3 processing the 1 st substrate of the isolated residual pattern, shifting the position and forming a 1: 3 processing the 2 nd substrate of the isolated residual pattern to form a 1: 1, in the pattern of 1.
In addition, when the hole pattern is formed by the negative tone development using the developer containing the organic solvent, the exposure is performed by the dipole illumination using the line pattern 2 times in the X-axis and Y-axis directions, and the light with the highest contrast can be used. In addition, the contrast can be further improved by adding s-polarization illumination to the dipole illumination of the line pattern of 2 times in the X-axis and Y-axis directions. These pattern forming methods are described in detail in Japanese patent laid-open publication No. 2011-221513.
As the developing solution for the pattern forming method of the present invention, examples of the developing solution of an aqueous alkali solution include the aqueous TMAH solution and aqueous alkali solutions described in paragraphs [0148] to [0149] of Japanese patent application laid-open No. 2015-180748, and preferably an aqueous TMAH solution of 2 to 3 mass%.
Examples of the developer for organic solvent development include: 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isoamyl lactate, 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, Methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, 2-phenylethyl acetate, and the like. These solvents may be used alone in 1 kind, or 2 or more kinds may be mixed and used.
The hole pattern and the trench pattern after development may be contracted by a thermal flow (thermal flow), a RELACS (resolution Enhancement by Chemical shock) technique, a DSA (Directed Self-Assembly) technique, or the like. When the hole pattern is coated with the shrinking agent, the shrinking agent is crosslinked on the resist surface by diffusion of the acid catalyst from the resist layer during baking, and the shrinking agent adheres to the side walls of the hole pattern. The baking temperature is preferably 70 to 180 ℃, more preferably 80 to 170 ℃, and the time is preferably 10 to 300 seconds. Finally, the excess shrink agent is removed, reducing the hole pattern.
By using a chemically amplified resist composition containing an onium salt compound represented by the formula (1) of the present invention as an acid diffusion inhibitor, a fine pattern excellent in lithographic performance such as CDU, LWR, sensitivity and the like can be easily formed.
[ examples ]
The present invention will be described in detail below with reference to synthetic examples, examples and comparative examples, but the present invention is not limited to the following examples. In the following examples, Mw is a polystyrene-equivalent measured value obtained by GPC using Tetrahydrofuran (THF) as a solvent.
EXAMPLE 1-1 Synthesis of acid diffusion inhibitor Q-1
(1) Synthesis of Compound SM-1
Figure BDA0002832264470000831
4.4g of 4-iodophenol, 4.1g of ethyl bromodifluoroacetate, 3.1g of diazabicycloundecene and 30g of N, N-dimethylformamide were mixed and stirred at 70 ℃ overnight. By using19After confirming the disappearance of the starting material by F-NMR, the reaction was quenched by adding 60g of 5 mass% hydrochloric acid under ice cooling. After 40g of toluene was added to the reaction solution and stirred, an organic layer was separated. The obtained organic layer was washed with 40g of pure water and 40g of 25 mass% aqueous methanol solution. The organic layer was concentrated under reduced pressure, whereby the desired compound SM-1 was obtained as a crude product of oil (yield 5.8 g). This step was used in the subsequent steps without purification.
(2) Synthesis of Compound SM-2
Figure BDA0002832264470000841
5.8g of Compound SM-1, 2.2g of a 25 mass% aqueous solution of sodium hydroxide, and 1, 4-bis
Figure BDA0002832264470000843
20g of alkane were mixed and stirred at room temperature overnight. Thereafter, the reaction mixture was concentrated under reduced pressure, 35g of t-butyl methyl ether was added to the concentrated solution, and the mixture was stirred for 20 minutes, followed by separating the precipitated solid by filtration. The obtained solid was washed with tert-butyl methyl ether and dried, whereby the intended compound SM-2 (yield 5.2g, yield 58%) was obtained.
(3) Synthesis of acid diffusion inhibitor Q-1
Figure BDA0002832264470000842
5.2g of the compound SM-2, 5.2g of triphenylsulfonium methylsulfate, 40g of methylene chloride and 20g of pure water were mixed and stirred at room temperature for 2 hours. The organic layer was separated and washed with 20g of pure water. The obtained organic layer was concentrated under reduced pressure, and 40g of diisopropyl ether was added thereto and stirred for 30 minutes to conduct crystallization. The precipitated solid was separated by filtration, washed with diisopropyl ether, and dried under reduced pressure at 50 ℃ to obtain the desired acid diffusion inhibitor Q-1 as a white solid (yield 6.1g, 91%). The profile data for Q-1 are shown below.
1H-NMR(500MHz,DMSO-d6):δ=6.91(2H,m),7.63(2H,m),7.75-7.87(15H,m)ppm
19F-NMR(500MHz,DMSO-d6):δ=-76.5(2F,s)ppm
IR(D-ATR):ν=3084,3042,1669,1577,1476,1447,1389,1343,1327,1300,1207,1161,1130,1037,1001,932,870,846,835,804,764,751,745,702,685,585,552,507cm-1
Time of flight mass analysis (TOFMS; MALDI)
POSITIVE M+263.1 (corresponding to C)18H15S+)
NEGATIVE M-312.9 (corresponding to C)8H4F2IO3 -)
[ example 1-2] Synthesis of acid diffusion inhibitor Q-2
Figure BDA0002832264470000851
4.4g of the compound SM-2, 5.9g of S-phenyldibenzothiophenium methylsulfate, 40g of methylene chloride and 20g of pure water were mixed and stirred at room temperature for 2 hours. The organic layer was separated, and washed with 40g of pure water, 40g of 0.3 mass% aqueous ammonia, and 40g of pure water. The obtained organic layer was concentrated under reduced pressure to precipitate a solid. The precipitated solid was dispersed in 20g of diisopropyl ether and stirred for 20 minutes. The solid was filtered, washed with diisopropyl ether, and dried under reduced pressure at 50 ℃ to obtain the objective acid diffusion inhibitor Q-2 as a white solid (yield 6.8g, yield 91%). The profile data for Q-2 are shown below.
1H-NMR(500MHz,DMSO-d6):δ=6.91(2H,m),7.55-7.64(6H,m),7.68(1H,m),7.74(2H,m),7.94(2H,m),8.38(2H,d),8.52(2H,dd)ppm
19F-NMR(500MHz,DMSO-d6):δ=-76.1(2F,s)ppm
IR(D-ATR):ν=3499,3411,3273,3100,3061,1653,1575,1482,1448,1428,1403,1389,1293,1275,1218,1181,1166,1138,1106,1090,1057,1009,997,873,846,826,800,778,758,751,734,707,699,680,612,524,501,488cm-1
Time of flight mass analysis (TOFMS; MALDI)
POSITIVE M+261.1 (corresponding to C)18H13S+)
NEGATIVE M-312.9 (corresponding to C)8H4F2IO3 -)
Examples 1-3 to 1-28 Synthesis of acid diffusion inhibitors Q-3 to Q-28 reference examples 1-1 to 1-2 were synthesized to synthesize the following acid diffusion inhibitors Q-3 to Q-28.
Figure BDA0002832264470000861
Figure BDA0002832264470000871
Figure BDA0002832264470000881
Synthesis example 1 Synthesis of Polymer P-1
A monomer-polymerization initiator solution was prepared by collecting 22g of 1-tert-butylcyclopentyl methacrylate, 17g of 2-oxotetrahydrofuran-3-yl methacrylate, 0.48g of V-601 (manufactured by Wako pure chemical industries, Ltd.), 0.41g of 2-mercaptoethanol, and 50g of methyl ethyl ketone in a nitrogen atmosphere. In a separate flask containing a nitrogen atmosphere, 23g of methyl ethyl ketone was taken, and after heating to 80 ℃ with stirring, the monomer-polymerization initiator solution was added dropwise over a period of 4 hours. After the completion of the dropwise addition, the polymerization solution was kept at 80 ℃ and stirred for 2 hours, and then cooled to room temperature. The obtained polymer was dropped into 640g of vigorously stirred methanol, and the precipitated solid was separated by filtration. The solid was washed with 240g of methanol 2 times, and then dried under vacuum at 50 ℃ for 20 hours, whereby polymer P-1 (yield 36g, yield 90%) was obtained as a white powder. As a result of analysis by GPC, the Mw of the polymer P-1 was 8,500 and the Mw/Mn was 1.63.
Figure BDA0002832264470000882
Synthesis examples 2 to 4 Synthesis of polymers P-2 to P-4
The following polymers P-2 to P-4 were synthesized in the same manner as in Synthesis example 1, except that the kinds and blending ratios of the monomers were changed.
Figure BDA0002832264470000891
[ examples 2-1 to 2-79 and comparative examples 1-1 to 1-37] preparation of chemically amplified resist composition
Each of the components shown in tables 1 to 5 below was dissolved in a solvent containing 0.01 mass% of a surfactant Polyfox636 (manufactured by Omnova corporation), and the obtained solution was filtered with a 0.2 μm Teflon (registered trademark) filter to prepare a chemically amplified resist composition.
In tables 1 to 5, the photoacid generators PAG-1 to PAG-3, the solvents, the comparative acid diffusion inhibitors Q-A to Q-O, and the alkali-soluble surfactant SF-1 are as follows.
Photoacid generators: PAG-1 PAG-3
Figure BDA0002832264470000901
Solvent: PGMEA (propylene glycol monomethyl ether acetate)
GBL (gamma-butyrolactone)
CyHO (Cyclohexanone)
DAA (diacetone alcohol)
Acid diffusion inhibitors: Q-A to Q-O
Figure BDA0002832264470000902
Figure BDA0002832264470000911
Alkali-soluble surfactant SF-1: poly (methyl propyl)Alkenoic acid 2,2,3,3,4,4, 4-heptafluoro-1-isobutyl-1-butyl ester methacrylic acid 9- (2,2, 2-trifluoro-1-trifluoromethylethyloxycarbonyl) -4-oxatricyclo [4.2.1.03,7]Nonane-5-one-2-ester)
Mw=7,700
Mw/Mn=1.82
Figure BDA0002832264470000912
[ Table 1]
Figure BDA0002832264470000921
Figure BDA0002832264470000931
[ Table 2]
Figure BDA0002832264470000932
Figure BDA0002832264470000941
[ Table 3]
Figure BDA0002832264470000942
Figure BDA0002832264470000951
[ Table 4]
Figure BDA0002832264470000952
Figure BDA0002832264470000961
Figure BDA0002832264470000971
[ Table 5]
Figure BDA0002832264470000972
[ examples 3-1 to 3-16 and comparative examples 2-1 to 2-13] evaluation of ArF Exposure Pattern
An antireflection film solution (ARC-29A manufactured by Nissan chemical Co., Ltd.) was coated on a silicon substrate, and the substrate was baked at 180 ℃ for 60 seconds to form an antireflection film (film thickness: 100 nm). The resist compositions (R-1 to R-16, CR-1 to CR-13) were spin-coated on the antireflection film, and then baked at 100 ℃ for 60 seconds using a hot plate to form a resist film having a thickness of 90 nm. Immersion exposure was performed using an ArF excimer laser scanner (NSR-S610C manufactured by Nikon (r), NA 1.30, σ 0.94/0.74, Dipole-35deg illumination, 6% half-step phase shift mask). In addition, water was used as the immersion liquid. Thereafter, a 60 second bake (PEB) was performed at 90 ℃, and development was performed with a 2.38 mass% TMAH aqueous solution for 60 seconds to form a line-and-space (LS) pattern.
The LS pattern after development was observed by a length measuring SEM (CG5000) made by Hitachi High-Technologies, and sensitivity and LWR were evaluated in accordance with the following methods. The results are shown in Table 6.
[ evaluation of sensitivity ]
In terms of sensitivity, the optimum exposure Eop (mJ/cm) for obtaining an LS pattern having a line width of 40nm and a pitch of 80nm was determined2). The smaller the value, the higher the sensitivity.
[ LWR evaluation ]
The LS pattern irradiated with the Eop was measured for a dimension at 10 points in the longitudinal direction of the line, and from the result, a value (3 σ) multiplied by 3 of the standard deviation (σ) was obtained as LWR. The smaller the value, the more a pattern with small roughness and uniform line width is obtained.
In this evaluation, good (good): 2.5nm or less, poor (x): greater than 2.5 nm.
[ Table 6]
Figure BDA0002832264470000981
Figure BDA0002832264470000991
From the results shown in table 6, it is understood that the chemically amplified resist composition of the present invention is excellent in the balance between the sensitivity and the LWR, and is suitable as an ArF immersion lithography material.
[ examples 4-1 to 4-63 and comparative examples 3-1 to 3-24] evaluation of EUV exposure
Each of the resist compositions (R-17 to R-79 and CR-14 to CR-37) was spin-coated on a silicon substrate on which a silicon-containing spin-on hard mask SHB-A940 (silicon content: 43% by mass) made by the shin-Etsu chemical industry (Strand) and having a film thickness of 20nm was formed, and the silicon substrate was prebaked at 105 ℃ for 60 seconds by using a hot plate to prepare a resist film having a film thickness of 50 nm. The substrate was exposed to light using an EUV scanner NXE3300(NA0.33, σ 0.9/0.6, quadrupole illumination, mask of hole pattern with a size of 46nm, + 20% on wafer) manufactured by ASML corporation, PEB was performed on a hot plate at 85 ℃ for 60 seconds, and development was performed with 2.38 mass% TMAH aqueous solution for 30 seconds to form a hole pattern with a size of 23 nm.
The developed hole pattern was observed by a length measuring SEM (CG5000) manufactured by Hitachi High-Technologies, and sensitivity and CDU were evaluated in accordance with the following methods. The results are shown in tables 7 to 9.
[ evaluation of sensitivity ]
In terms of sensitivity, the optimum exposure Eop (mJ/cm) was determined when the pore size was 23nm2). The smaller the value, the higher the sensitivity.
[ CDU evaluation ]
The dimension at 50 points in the same exposure lot (shot) was measured for the hole pattern obtained by the Eop irradiation, and from the result, a value (3 σ) multiplied by the standard deviation (σ) was obtained as CDU. The smaller the value, the more excellent the dimensional uniformity of the hole pattern.
In this evaluation, good (good): 3.0nm or less, poor (x): greater than 3.0 nm.
[ Table 7]
Figure BDA0002832264470000992
Figure BDA0002832264470001001
[ Table 8]
Figure BDA0002832264470001002
Figure BDA0002832264470001011
[ Table 9]
Figure BDA0002832264470001012
Figure BDA0002832264470001021
As is clear from the results shown in tables 7 to 9, the chemically amplified resist composition of the present invention has high sensitivity and excellent CDU, and is suitable as an EUV lithography material.

Claims (20)

1. An onium salt compound represented by the following formula (1);
Figure FDA0002832264460000011
wherein m, n and k are each independently 0 or a positive integer; however, 1. ltoreq. m + n + k;
R1is a halogen atom, trifluoromethyl or trifluoromethylAn oxy group;
R2a hydrocarbon group of 1 to 15 carbon atoms which may contain a heteroatom;
L1are-C (═ O) -, -C (═ O) -O-, -S (═ O) -, -S (═ O)2-or-S (═ O)2-O-;
L2Is ═ C (═ O) -, — C (═ O) -O-, — S (═ O)2-or-S (═ O)2-O-; is an atomic bond to ring R;
L3a single bond or a C1-15 alkylene group, wherein the hydrogen atom in the alkylene group may be substituted by a group containing a hetero atom, and the-CH group in the alkylene group2Optionally via-O-, -C (O) -, -S (O)2-or-N (R)N) -substitution; however, L3When it is alkylene, with-OCF in the formula2CO2 -The bonded carbon atom is not bonded to a heteroatom other than the oxygen atom in the formula; rNHydrogen atom or C1-10 hydrocarbon group, the hydrogen atom in the hydrocarbon group can also be substituted by a hetero atom-containing group, the-CH in the hydrocarbon group2optionally-O-, -C (═ O) -or-S (═ O)2-substitution;
when k is 0, the ring R is a (m + n +1) -valent cyclic hydrocarbon group, and when k is a positive integer, the ring R contains k L1The hydrogen atom in the cyclic hydrocarbon group may be substituted with a hetero atom-containing group, and the-CH group in the cyclic hydrocarbon group2-may also be substituted by-O-or-S-;
M+is a sulfonium cation or an iodonium cation.
2. An onium salt compound as claimed in claim 1, wherein L3Is a single bond.
3. An onium salt compound as claimed in claim 1 or 2, wherein ring R is an aromatic hydrocarbon group.
4. An onium salt compound as claimed in claim 1 or 2, wherein m is an integer of 1 or more.
5. The onium salt compound according to claim 1 or 2, represented by the following formula (2);
Figure FDA0002832264460000021
in the formula, R1、R2、L2And M+The same as the previous description;
m ', n' and j are integers satisfying m 'not less than 0 and not more than 5, n' not less than 0 and not more than 5, j not less than 0 and not more than 4, m '+ n' not less than 1 and not more than 5, and m '+ n' + j not more than 1 and not more than 5;
R3hydrogen atom, hydroxyl, carboxyl or C1-15 alkyl, the hydrogen atom in the alkyl can also be substituted by hetero atom-containing group, the-CH in the alkyl2-may also be substituted by-O-or-C (═ O) -; when j is an integer of 2 to 4, each R3May be the same or different from each other, 2R3May also be bonded to each other and form a ring together with the carbon atom to which they are bonded.
6. An onium salt compound as claimed in claim 5, wherein m' is an integer of 1 or more.
7. An onium salt compound as claimed in claim 1 or 2, wherein R is1Is an iodine atom.
8. An onium salt compound as claimed in claim 1 or 2, wherein M is+A cation represented by any one of the following formulas (M-1) to (M-4);
Figure FDA0002832264460000022
in the formula, RM1、RM2、RM3、RM4And RM5Each independently represents a halogen atom, a hydroxyl group or a hydrocarbon group having 1 to 15 carbon atoms, the hydrogen atom in the hydrocarbon group may be substituted with a group containing a hetero atom, and the-CH group in the hydrocarbon group2Optionally via-O-, -C (O) -, -S (O)2-or-N (R)N) -substitution;
L4and L5Each independently is a single bond, -CH2-、-O-、-C(=O)-、-S-、-S(=O)-、-S(=O)2-or-N (R)N)-;
RNHydrogen atom or C1-10 hydrocarbon group, the hydrogen atom in the hydrocarbon group can also be substituted by a hetero atom-containing group, the-CH in the hydrocarbon group2optionally-O-, -C (═ O) -or-S (═ O)2-substitution;
p, q, r, s and t are each independently an integer of 0 to 5; when p is 2 or more, each RM1May be the same or different from each other, 2RM1May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded; when q is 2 or more, each RM2May be the same or different from each other, 2RM2May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded; when R is 2 or more, each RM3May be the same or different from each other, 2RM3May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded; when s is 2 or more, each RM4May be the same or different from each other, 2RM4May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded; when t is 2 or more, each RM5May be the same or different from each other, 2RM5May also be bonded to each other and form a ring together with the carbon atoms on the benzene ring to which they are bonded.
9. The onium salt compound according to claim 8, represented by the following formula (3) or (4);
Figure FDA0002832264460000031
in the formula, RM1、RM2、RM3、R3、L4P, q and r are the same as above; m ' and j are integers satisfying m ' not less than 1 and not more than 5, j not less than 0 and not more than 4, and m ' not less than 1 and not more than + j not more than 5.
10. An acid diffusion inhibitor composed of an onium salt compound as claimed in any one of claims 1 to 9.
11. A chemically amplified resist composition comprising:
(A) a base polymer whose solubility in a developer changes due to the action of an acid;
(B) a photoacid generator;
(C) an acid diffusion inhibitor comprising an onium salt compound according to any one of claims 1 to 9; and
(D) an organic solvent.
12. A chemically amplified resist composition comprising:
(A') a base polymer which changes solubility in a developer due to the action of an acid and contains a repeating unit having a function of generating an acid upon exposure;
(C) an acid diffusion inhibitor comprising an onium salt compound according to any one of claims 1 to 9; and
(D) an organic solvent.
13. The chemically amplified resist composition according to claim 11 or 12, wherein the base polymer contains a repeating unit represented by the following formula (a) or a repeating unit represented by the following formula (b);
Figure FDA0002832264460000041
in the formula, RAIs a hydrogen atom or a methyl group;
XAis a single bond, phenylene, naphthylene or (main chain) -C (═ O) -O-XA1-;XA1A C1-15 alkylene group which may contain a hydroxyl group, an ether bond, an ester bond or a lactone ring;
XBis a single bond or an ester bond;
AL1and AL2Each independently an acid labile group.
14. The chemically amplified resist composition according to claim 13, wherein the acid labile group is a group represented by the following formula (L1);
Figure FDA0002832264460000042
in the formula, R11Is a C1-7 hydrocarbon group, in which-CH is present2-may also be substituted by-O-; a is 1 or 2; the dotted line is an atomic bond.
15. The chemically amplified resist composition according to claim 11 or 12, wherein the base polymer is a polymer containing a repeating unit represented by the following formula (c);
Figure FDA0002832264460000051
in the formula, RAIs a hydrogen atom or a methyl group;
YAis a single bond or an ester bond;
R21is a fluorine atom, an iodine atom or a hydrocarbon group having 1 to 10 carbon atoms, wherein-CH in the hydrocarbon group2-may also be substituted by-O-or-C (═ O) -;
b and c are integers satisfying 1. ltoreq. b.ltoreq.5, 0. ltoreq. c.ltoreq.4, and 1. ltoreq. b + c.ltoreq.5.
16. The chemically amplified resist composition according to claim 12, wherein the repeating unit having a function of generating an acid upon exposure is at least 1 selected from the group consisting of those represented by the following formulae (d1) to (d 4);
Figure FDA0002832264460000052
in the formula, RBIs a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group;
ZAis a single bond, phenylene, -O-ZA1-、-C(=O)-O-ZA1-or-C (═ O) -NH-ZA1-;ZA1A C1-20 alkylene group which may contain a hetero atom;
ZBand ZCEach independently represents a single bond or a C1-20 alkylene group which may contain a hetero atom;
ZDis a single bond, methylene, ethylene, phenylene, fluorinated phenylene, -O-ZD1-、-C(=O)-O-ZD1or-C (═ O) -NH-ZD1-;ZD1Is optionally substituted phenylene;
R31~R41each independently a C1-20 hydrocarbon group which may contain a hetero atom; and, ZA、R31And R32Any 2 of them may also be bonded to each other and form a ring together with the sulfur atom to which they are bonded, R33、R34And R35Any 2 of (1), R36、R37And R38Any 2 or R in (1)39、R40And R41Any 2 of (a) may also be bonded to each other and form a ring together with the sulfur atom to which they are bonded;
RHFis a hydrogen atom or a trifluoromethyl group;
n1is 0 or 1, ZBWhen it is a single bond, n1Is 0; n is2Is 0 or 1, ZCWhen it is a single bond, n2Is 0;
Xa-are non-nucleophilic counter ions.
17. A method of forming a pattern, comprising the steps of:
forming a resist film on a substrate using the chemically amplified resist composition according to any one of claims 11 to 16;
exposing the resist film to light using KrF excimer laser, ArF excimer laser, electron beam, or extreme ultraviolet; and
the exposed resist film is developed using a developer.
18. The pattern forming method according to claim 17, wherein an alkali aqueous solution is used as a developer to dissolve an exposed portion and obtain a positive pattern in which an unexposed portion is not dissolved.
19. The pattern forming method according to claim 17, wherein an unexposed portion is dissolved using an organic solvent as a developer to obtain a negative pattern in which an exposed portion is not dissolved.
20. The pattern forming method according to claim 19, wherein the developer is selected from the group consisting of 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isoamyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, At least 1 of methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate and 2-phenylethyl acetate.
CN202011462505.1A 2019-12-12 2020-12-11 Onium salt compound, chemically amplified resist composition, and pattern forming method Active CN112979458B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019224690A JP7255472B2 (en) 2019-12-12 2019-12-12 Onium salt compound, chemically amplified resist composition and pattern forming method
JP2019-224690 2019-12-12

Publications (2)

Publication Number Publication Date
CN112979458A true CN112979458A (en) 2021-06-18
CN112979458B CN112979458B (en) 2023-08-25

Family

ID=76311678

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011462505.1A Active CN112979458B (en) 2019-12-12 2020-12-11 Onium salt compound, chemically amplified resist composition, and pattern forming method

Country Status (5)

Country Link
US (1) US20210179554A1 (en)
JP (1) JP7255472B2 (en)
KR (1) KR102630507B1 (en)
CN (1) CN112979458B (en)
TW (1) TWI768585B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115951561A (en) * 2022-12-29 2023-04-11 徐州博康信息化学品有限公司 Chemically amplified positive I-line photoresist and preparation and use methods thereof

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7334683B2 (en) 2019-08-02 2023-08-29 信越化学工業株式会社 Positive resist material and pattern forming method
JP7334684B2 (en) 2019-08-02 2023-08-29 信越化学工業株式会社 Resist material and pattern forming method
JP7351257B2 (en) * 2019-08-14 2023-09-27 信越化学工業株式会社 Resist material and pattern forming method
JP7354954B2 (en) 2019-09-04 2023-10-03 信越化学工業株式会社 Resist material and pattern forming method
JP2021103234A (en) * 2019-12-25 2021-07-15 東京応化工業株式会社 Resist composition and resist pattern forming method
JP2021182133A (en) 2020-05-18 2021-11-25 信越化学工業株式会社 Positive type resist material, and pattern formation method
JP7484846B2 (en) 2020-09-28 2024-05-16 信越化学工業株式会社 Molecular resist composition and pattern formation method
JP7491173B2 (en) * 2020-10-01 2024-05-28 信越化学工業株式会社 Sulfonium salt, chemically amplified resist composition and pattern forming method
JP7353334B2 (en) * 2021-09-24 2023-09-29 東京応化工業株式会社 Resist composition, resist pattern forming method, compound and acid diffusion control agent
JPWO2023054127A1 (en) * 2021-09-29 2023-04-06
JP2023092839A (en) * 2021-12-22 2023-07-04 三菱重工業株式会社 Method for producing anthraquinones
WO2024181372A1 (en) * 2023-02-28 2024-09-06 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, pattern formation method, and electronic device manufacturing method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013092723A (en) * 2011-10-27 2013-05-16 Shin Etsu Chem Co Ltd Method for forming pattern and resist composition
JP2014177449A (en) * 2013-02-18 2014-09-25 Sumitomo Chemical Co Ltd Salt, resist composition, and production method of resist pattern
JP2015054833A (en) * 2013-09-11 2015-03-23 信越化学工業株式会社 Sulfonium salt, chemically amplified resist composition, and pattern forming method
TW201800551A (en) * 2016-04-28 2018-01-01 信越化學工業股份有限公司 Novel carboxylic acid onium salt, chemically amplified resist composition, and pattern forming process
JP2019026637A (en) * 2017-07-31 2019-02-21 住友化学株式会社 Carboxylate, resist composition, and method for producing resist pattern
WO2019187445A1 (en) * 2018-03-27 2019-10-03 富士フイルム株式会社 Active-ray-sensitive or radiation-sensitive resin composition, resist film, metohd for forming pattern, and method for manufacturing electronic device

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS594180U (en) 1982-06-30 1984-01-11 日本精機株式会社 electrical connection device
US10295904B2 (en) * 2016-06-07 2019-05-21 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
JPWO2021039331A1 (en) * 2019-08-29 2021-03-04

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013092723A (en) * 2011-10-27 2013-05-16 Shin Etsu Chem Co Ltd Method for forming pattern and resist composition
JP2014177449A (en) * 2013-02-18 2014-09-25 Sumitomo Chemical Co Ltd Salt, resist composition, and production method of resist pattern
JP2015054833A (en) * 2013-09-11 2015-03-23 信越化学工業株式会社 Sulfonium salt, chemically amplified resist composition, and pattern forming method
TW201800551A (en) * 2016-04-28 2018-01-01 信越化學工業股份有限公司 Novel carboxylic acid onium salt, chemically amplified resist composition, and pattern forming process
JP2019026637A (en) * 2017-07-31 2019-02-21 住友化学株式会社 Carboxylate, resist composition, and method for producing resist pattern
WO2019187445A1 (en) * 2018-03-27 2019-10-03 富士フイルム株式会社 Active-ray-sensitive or radiation-sensitive resin composition, resist film, metohd for forming pattern, and method for manufacturing electronic device
TW201942667A (en) * 2018-03-27 2019-11-01 日商富士軟片股份有限公司 Active-ray-sensitive or radiation-sensitive resin composition, resist film, method for forming pattern, and method for manufacturing electronic device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115951561A (en) * 2022-12-29 2023-04-11 徐州博康信息化学品有限公司 Chemically amplified positive I-line photoresist and preparation and use methods thereof
CN115951561B (en) * 2022-12-29 2024-01-26 徐州博康信息化学品有限公司 Chemical amplification type positive I-line photoresist and preparation and use methods thereof

Also Published As

Publication number Publication date
JP7255472B2 (en) 2023-04-11
CN112979458B (en) 2023-08-25
TW202136192A (en) 2021-10-01
KR102630507B1 (en) 2024-01-30
US20210179554A1 (en) 2021-06-17
KR20210075868A (en) 2021-06-23
TWI768585B (en) 2022-06-21
JP2021091645A (en) 2021-06-17

Similar Documents

Publication Publication Date Title
CN112979458B (en) Onium salt compound, chemically amplified resist composition, and pattern forming method
TWI624479B (en) Monomer, polymer, resist composition, and patterning process
JP7363742B2 (en) Onium salt compound, chemically amplified resist composition and pattern forming method
TWI552987B (en) Photoacid generator, chemically amplified resist composition, and patterning process
CN110885282B (en) Iodonium salt, resist composition and pattern forming method
CN113045465A (en) Onium salt compound, chemically amplified resist composition, and pattern forming method
TWI637939B (en) Sulfonium compound, resist composition, and patterning process
CN111522198B (en) Resist composition and pattern forming method
JP6714533B2 (en) Sulfonium salt, resist composition, and pattern forming method
TWI588166B (en) Polymer, resist composition and patterning process
TWI773975B (en) Sulfonium compound, chemically amplified resist composition, and patterning process
TWI733197B (en) Onium salt, resist composition, and pattern forming process
TW201708949A (en) Resist composition and patterning process
CN117263782A (en) Polymerizable monomer, polymer compound, resist composition, and pattern forming method
KR20220053488A (en) Onium salt, chemically amplified resist composition and patterning process
TW202328060A (en) Photoacid generator, chemically amplified resist composition, and patterning process
JP2024058075A (en) Onium salt, chemically amplified resist composition and patterning method
JP2023169814A (en) Novel sulfonium-salt-type polymerizable monomer, polymer photoacid generator, base rein, resist composition, and patterning process
JP2024043941A (en) Onium salt type monomer, polymer, chemically amplified resist composition, and pattern forming process
TW202336004A (en) Salt compound, resist composition and patterning process
JP2024060315A (en) Chemically amplified resist composition and patterning method
JP2023116474A (en) Resist composition, and patterning method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant