JP2018157196A - 改良型の半角ノズル - Google Patents

改良型の半角ノズル Download PDF

Info

Publication number
JP2018157196A
JP2018157196A JP2018013532A JP2018013532A JP2018157196A JP 2018157196 A JP2018157196 A JP 2018157196A JP 2018013532 A JP2018013532 A JP 2018013532A JP 2018013532 A JP2018013532 A JP 2018013532A JP 2018157196 A JP2018157196 A JP 2018157196A
Authority
JP
Japan
Prior art keywords
gas
substrate
injection channel
gas injection
curved
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018013532A
Other languages
English (en)
Other versions
JP2018157196A5 (ja
JP7094113B2 (ja
Inventor
エリック キハラ ショウノ,
Kihara Shono Eric
エリック キハラ ショウノ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018157196A publication Critical patent/JP2018157196A/ja
Publication of JP2018157196A5 publication Critical patent/JP2018157196A5/ja
Priority to JP2022099299A priority Critical patent/JP7407867B2/ja
Application granted granted Critical
Publication of JP7094113B2 publication Critical patent/JP7094113B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】基板を熱処理するための装置において、熱処理中のガス分配を改善するための装置を提供する。
【解決手段】装置は、本体230と、角度が付いたガス源アセンブリ246と、ガス注入チャネル249とを含む。ガス注入チャネルは、互いに異なる、第1半角と第2半角とを有する。基板のエッジに向かってガスを導くために、処理チャンバ内で改良型の側方ガスアセンブリを使用することで、基板全体における成長均一性が制御される。不均一な半角を有するガスチャネルを通してガスを導くことで、基板のエッジにおける又はエッジ付近での反応が著しく増大し、それにより、基板の全体的な厚さ均一性の向上がもたらされる。
【選択図】図2A

Description

本開示は概して、半導体処理ツールに関し、より具体的には、ガス流分配が改善されているリアクタに関する。
関連技術の説明
半導体基板は、集積回路用のデバイス及び微小デバイスの製造を含む、広範な応用のために処理される。基板を処理する方法の1つは、処理チャンバの中に載置された基板の上側表面で酸化物層を成長させることを含む。酸化物層は、基板を、放射熱源を用いて加熱しつつ、酸素ガス及び水素ガスに曝露することによって、堆積されうる。酸素ラジカルが、基板の表面にぶつかって、ケイ素基板に層(例えば二酸化ケイ素層)を形成する。
急速熱酸化に使用される既存の処理チャンバでは、成長制御が限定的であることにより、処理均一性が乏しくなる。従来的には、反応ガスが基板の水平方向に平行に導入されている間に、回転可能な基板支持体が基板を回転させ、それにより、基板支持体に設置された基板にフィルムが堆積される。既存のガス入口設計では、ガスは、基板に到達し、基板全体に不均一に堆積することになる。既存のガス入口設計が原因で成長制御が限定的になることにより、基板の中心では成長が大きく、基板のエッジでは成長が小さくなる。
したがって、基板全体におけるより均一な成長のための成長制御をもたらす、改良型のガス流分配が必要とされている。
本開示の実行形態は、熱処理中のガス分配を改善するための装置を提供する。本開示の一実施実行形態は、基板を熱処理するための装置を提供する。この装置は、本体と、角度が付いた突起と、ガス注入チャネルとを含む。ガス注入チャネルは、第1半角と第2半角とを有する。第1半角は第2半角とは異なる。
本開示の別の実行形態は、基板を処理するための装置であって、処理空間を画定するチャンバ本体と、処理空間内に配置された基板支持体とを備える、装置を提供する。基板支持体は基板支持面を有する。この装置は、チャンバ本体の入口に連結されたガス源突起と、チャンバ本体の出口に連結された排気アセンブリと、チャンバ本体の側壁に連結された側方ガスアセンブリとを、更に含む。側方ガスアセンブリは、ガス注入チャネルを含む。ガス注入入口は、第1半角と第2半角とを含む。第1半角は第2半角とは異なる。
本開示の上述の特徴を詳しく理解しうるように、上記で簡潔に要約された本開示のより詳細な説明が、実行形態を参照することによって得られる。実行形態の一部は付随する図面に示されている。しかし、本開示は他の等しく有効な実行形態も許容しうるため、付随する図面は、この開示の典型的な実行形態のみを示しており、したがって、本発明の範囲を限定すると見なすべきではないことに、留意されたい。
本開示の実行形態を実践するために使用されうる熱処理チャンバの概略断面図である。 本開示の一実行形態による、熱処理チャンバの概略的な上面断面図である。 本開示の一実行形態による、ガスインジェクタの概略的な上面断面図である。 本開示による、ガスインジェクタの三次元概略図である。 本開示による、ガスインジェクタの三次元概略図である。
理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すために同一の参照番号を使用した。一実行形態で開示されている要素は、具体的な記述がなくとも、他の実行形態で有益に利用されうると、想定される。
図1Aは、本開示の実行形態を実践するために使用されうる熱処理チャンバ100の概略断面図である。熱処理チャンバ100は概して、ランプアセンブリ110と、処理空間139を画定するチャンバアセンブリ130と、処理空間139内に配置される基板支持体138とを含む。処理チャンバ100は、例えば、熱アニール処理、熱洗浄、熱化学気相堆積、熱酸化、及び熱窒化などのプロセスのために基板101を加熱する、制御された熱サイクルを提供することが可能である。
ランプアセンブリ110は、石英ウインドウ114を介して処理空間139に熱を供給するために、基板支持体138よりも上方に位置付けられうる。石英ウインドウ114は、基板101とアセンブリ110との間に配置される。一部の実行形態では、ランプアセンブリ110は、追加的又は代替的に、基板支持体138よりも下方に配置されうる。この開示において、「上方(above)」又は「下方(below)」という語が絶対的な方向を表わすわけではないことに、留意されたい。ランプアセンブリ110は、カスタマイズされた赤外加熱手段を基板支持体138に配置された基板101に提供するための加熱源108(例えば、複数のタングステンハロゲンランプ)を、収納するよう構成される。複数のタングステンハロゲンランプは、六角形構成に配置されうる。加熱源108はコントローラ107に接続されてよく、コントローラ107は、基板101に対して均一な又はカスタマイズされた加熱プロファイルを実現するよう、加熱源108のエネルギーレベルを制御しうる。一例では、加熱源108は、約50℃/sから約280℃/sの速度で、基板101を急速に加熱することが可能である。
基板101は、摂氏約550度から摂氏約700度未満までの範囲内の温度に、加熱されうる。加熱源108は、基板101の、区域に分けられた加熱(温度チューニング)を提供しうる。温度チューニングは、特定の場所では基板101の温度を変化させるが、それ以外の基板温度には影響を与えないよう、実施されうる。ロボットが、基板101を移送して処理空間139に出し入れするために、スリットバルブ137がベースリング140に配置されうる。基板101は基板支持体138に配置されてよく、基板支持体138は、垂直に動き、中心軸123の周りで回転するよう、構成されうる。ガス入口131は、ベースリング140の上方に配置されてよく、かつ、処理空間139に一又は複数の処理ガスを提供するために、ガス源135に接続されうる。ベースリング140のガス入口131とは反対側に形成された、ガス出口134は、排気アセンブリ124に適合し、排気アセンブリ124は、ポンプシステム136と流体連通している。排気アセンブリ124は、ガス出口134を介して処理空間139と流体連通している排気空間125を画定する。
一実行形態では、一又は複数の側方ポート122が、ベースリング140の上方の、ガス入口131とガス出口134との間に形成されうる。側方ポート122と、ガス入口131と、ガス出口134とは、実質的に同じ高さに配置されうる。つまり、側方ポート122と、ガス入口131と、ガス出口134とは、実質的に同じ高さにありうる。下記で詳述するように、側方ポート122は、基板101のエッジエリア付近でのガス分配均一性を向上させるよう構成された、側方ガス源に接続される。
図1Bは、本開示の一実行形態による、熱処理チャンバ100の概略的な上面断面図である。図1Bに示しているように、ガス入口131とガス出口134とは、処理空間139の対向する両側部に配置される。ガス入口131とガス出口134の両方が、基板支持体138の直径におおよそ等しい、線幅又は方位幅を有しうる。
一実行形態では、ガス源135は、複数のガス源(例えば、第1ガス源141及び第2ガス源142)を備えてよく、その各々が、処理ガスを提供するよう構成され、注入カートリッジ149に接続される。ガスは、第1ガス源141及び第2ガス源142から、注入カートリッジ149及びガス入口131を通って処理空間139内へと、流通する。一実行形態では、注入カートリッジ149は、内部に形成された狭長チャネル150と、狭長チャネル150の両端部に形成された2つの入口143、144とを有する。複数の注入孔151が狭長チャネル150に沿って分布しており、注入孔151は、処理空間139に向けて主ガス流145を注入するよう構成される。カートリッジ149の二入口設計により、処理空間139内のガス流均一性が向上する。主ガス流145は、30〜50体積パーセントの水素ガスと、50〜70体積パーセントの酸素ガスとを含み、かつ、約20毎分標準リットル(slm)から約50slmまでの範囲内の流量を有しうる。流量は300mm直径を有する基板101に基づき、これにより、約0.028slm/cmから約0.071slm/cmまでの範囲内の流量が導かれる。
主ガス流145は、ガス入口131からガス出口134に向けて、かつポンプ136へと、導かれる。ポンプ136は、チャンバ100の真空源である。一実行形態では、排気アセンブリ124の排気空間125は、主ガス流145に対するチャンバ構造の形状寸法の影響を低減するために、処理空間139を拡張するよう構成される。ポンプ136は、処理空間139の圧力を制御するためにも使用されうる。例示的な一工程では、処理空間の内部の圧力は、約1Torrから約19Torr(例えば、約5Torrから約15Torrまで)に維持される。
一実行形態では、ガスインジェクタ147は、ガスが、側方ポート122を介して、側方ガス流148にしたがって処理空間139へと流れるように、ベースリング140に連結される。ガスインジェクタ147は、側方ガス流148の流量を制御するよう構成された流量調整デバイス146を介して、ガス源152と流体連通している。ガス源152は、一又は複数のガス源153、154を含みうる。一具体例では、ガス源152は、側方ポート122に向けて水素ラジカルを発生させる、遠隔プラズマ源(RPS)である。ランプで基板を加熱し、スリットバルブ137から処理チャンバ100内に水素と酸素を注入する、RadOx(登録商標)プロセスでは、ガスインジェクタ147は、処理空間139内に水素ラジカルを注入するよう構成される。ガスインジェクタ147から導入される水素ラジカルにより、基板101のエッジ沿いの反応速度が向上し、酸化物層の厚さ均一性が向上することにつながる。側方ガス流148は、約5slmから約25slmまでの範囲内の流量を有しうる。300mm直径を有する基板では、流量は、約0.007slm/cmから約0.035slm/cmまでの範囲内となる。側方ガス流148の組成及び流量は、厚さ均一性が向上した酸化物層を形成する上で、重要な因子である。
図1Bに示す実行形態では、ガスインジェクタ147は、処理空間139に向かって広がる、漏斗状の開口を有する構造物である。つまり、側方ポート122は、基板101に向かって徐々に増大する内径を有する。ガスインジェクタ147は、側方ガス流148の大部分を、中空の扇形又は中空の扁平円錐形の形状で、基板101のエッジに導くよう適合している。基板101のエッジとは、基板101の端面から0mm〜15mm(例えば10mm)の幅の、外縁領域のことでありうる。ガスインジェクタ147の漏斗型構造が、基板101のエッジに向けて側方ガス流148の大部分を拡散させることから、基板101のガス曝露は、エッジエリアにおいて又はエッジエリア付近で増大する。一実行形態では、ガスインジェクタ147の内表面は、基板101のエッジに実質的に正接する、又は、基板支持体138の基板支持面のエッジに実質的に正接する方向189に沿って延在するように、構成される。
加えて、基板101が反時計回り方向197に回転することから、ガスは、基板101の上方を流れ、基板101のエッジにおけるより大きな成長をもたらすことになる。図1Bは基板101が反時計回り方向に回転することを示しているが、基板101は、側方ガス流148による利点を損なうことなく、時計回りにも回転しうる。側方ガス流148のガス流速、及び、処理空間139内のガス流パターンは、側方ガス流148の流量、基板101の回転スピード、及び、ガスインジェクタ147の広がり角度のうちの一又は複数を通じて、調整されうる。側方ガス流148の制御態様により、側方ガス流148が主ガス流145及び基板101とどのように反応するかに影響をあたえうる、不均一なガス流が防止される。その結果として、基板のエッジにおける厚さプロファイルが改善される。
ガスインジェクタ147は、石英、セラミック、アルミニウム、ステンレス鋼、鋼などといった任意の好適な材料で作られうる。基板101のエッジにおける側方ガス流の効果を更に向上させるために、ガスインジェクタ147は、基板101のエッジの方を向いた一又は複数のガスチャネルを有するよう構成されうる。図2Aは、本開示の一実行形態による、ガスインジェクタ247の概略的な上面断面図である。
図2Aの実行形態では、ガスインジェクタ247は、ガスチャネル249が内部に形成されている狭長構造物である。ガスインジェクタ247は、本体230と突起205とを有する。突起205の形状は三角形でありうる。突起205はガス源アセンブリでありうる。一実行形態では、突起205は、図2Bに示すような円形入口216を有する角度が付いた開口246と、角度が付いた面202と、第1ファセット218と、第2ファセット204とを含む。一実行形態では、角度が付いた開口246は長方形である。一実行形態では、第1ファセット218は第2ファセット204に平行である。第2ファセット204は、第1ファセット218の長さの2倍でありうる。第2ファセットは、0.040〜0.048インチでありうる。
一実行形態では、本体230はエッジが丸み付けされた長方形である。本体230は、第2の面234の反対側に第1の面232を有する。一実行形態では、第1の面232と第2の面234とは、実質的に同じ長さである。一実行形態では、第1の面232と第2の面234とは平行である。本体は、図2Bに示しているように、第3の面224と、第4の面222と、第5の面226と、第6の面282とを有する。第1ファセット218が、角度が付いた面202を第5の面226に接続しうる。第2ファセットが、角度が付いた開口246を第5の壁226に接続しうる。突起205は第5の壁226に接続しうる。角度が付いた開口246は、角度がついた面202に対して直角でありうる。
ガスインジェクタは、長方形、正方形、円形、多角形、六角形、又はその他の任意の好適な形状といった、任意の望ましい断面形状を有する狭長チャネルを含みうる。ガスインジェクタ247は、側方ガス流148の大部分を、中空の扇形又は中空の扁平円錐形の形状で、基板101のエッジに導くよう適合している。ガスチャネル249は、2つの内部表面279、280を含む。一実行形態では、内部表面279、280は、その各々が、基板101のエッジに実質的に正接する、又は、基板支持体138の基板支持面のエッジに実質的に正接する方向に沿って延在するように、構成される。内部表面279、280は、角度が付いた開口246から湾曲面282へと延在する。湾曲面282は、基板101と隣り合い、かつ、突起202の反対側にある。
側方ポート122(図1B)を介して側方ガス流248を処理空間139(図1B)に提供するために、ガスチャネル249には角度が付けられる。側方ガス流248は、処理されている基板101のエッジプロファイルを調整する流路に沿って流れる。有利には、また驚くべきことに、側方ガス流248のガス流パターンが不均一な横方向の広がりを有するように、ガスチャネル249の半角を変動させることで、基板101に堆積された材料の、より均一な厚さプロファイルが生成される。ゆえに、ガスチャネル249は、2つの異なる半角250a及び250bを有する。一方の半角250a、250bが29.5度から30.5度でありうる一方、他方の半角250a、250bは、31.8度から32.8度でありうる。半角250a及び250bは、基板101の中心軸線210とガスチャネル249の中心交点220とを使用して測定される角度である。中心軸線210は、基板101の中心からガスチャネル249の開口へと延在する線である。中心軸線210は、第1の面232に平行である。一実行形態では、中心軸線210は、第1の面232に平行に、点212を通って延在する線である。点212は、角度が付いた開口246の内側エッジに位置している。角度が付いた開口246は、(図2Bに示しているような)円形の入口216を有する。円形の入口216は、拡張された内部空間214につながっている。一実行形態では、拡張された内部空間214は長方形である。一実行形態では、点212は、角度が付いた開口246と内部空間214との交点にある。一実行形態では、拡張された内装空間214は、入口チャネル249と連通している。中心交点220は、ガスチャネルの開口の中点である。中心交点220は、第5の面226に平行であり、かつ、第1ファセット218と角度が付いた面202とが接続する点と交差する線によって、画定される。
ガスインジェクタ247は、ガス源152に接続されている、角度が付いたガス源突起205を有する。一実行形態では、角度が付いたガス源突起205の形状は三角形である。一実行形態では、角度がついたガス源突起205の開口は、約137度から141度の角度242に配置される。一実行形態では、ガスチャネル249は、ガス又はラジカルのガスが、ガスチャネル249から出た後に、基板101のエッジに実質的に正接する、又は、基板支持体138の基板支持面のエッジに実質的に正接する方向に流れるように、構成される。ガスチャネル249の角度は、側方ガス流248が基板101(又は基板支持体138)の中心に向かって流れ、基板101(又は基板支持体138)の外縁に近接し、又は、基板101(又は基板支持体138)の任意の望ましい場所に空間的に分配されるように調整されうると、想定される。
側方ガス流248(ガス又はラジカルのガスのいずれであっても)が、基板101のエッジ(又は、基板支持体138の基板支持面のエッジ)に正接又は近接する方向に流れるか否かにかかわらず、ガス又はラジカルのガスは、基板101のエッジ沿いの反応速度を著しく加速させる。ランプで基板を加熱し、スリットバルブ137から処理チャンバ100内に水素と酸素を注入する、RadOx(登録商標)プロセスでは、ガスインジェクタ247は、種々の角度で、基板101に側方ガス流248を提供するよう構成される。驚くべきことに、不均一な半角を有するガスチャネル249を通じて、側方ガスを基板のエッジ又はエッジ付近に提供することにより、基板101のエッジ沿いの厚さ均一性が向上した酸化物層がもたらされる。
例示的な一実行形態では、ガスインジェクタ247は、処理チャンバ100のガス注入側(例えばスリットバルブ137)の方を向いたガスチャネル249を有するよう、構成される。つまり、ガスチャネル249は、処理チャンバのガス注入側に向かう方向に沿って、延在する。この様態では、ガスの大部分が、側方ガス流248にしたがって処理チャンバ100のガス注入側に向かって流れ、基板101(又は、基板支持体138の基板支持面)のエッジにおいて又はかかるエッジ付近で、注入カートリッジ149(図1B)から出て来る処理ガス(複数可)と反応する。
図2B及び図2Cは、本開示による、ガスインジェクタ247の三次元概略図である。ガスインジェクタ247は、ガス又はラジカルのガスの大部分が、側方ガス流にしたがって、処理空間100のガス注入側(例えばスリットバルブ137)と、処理チャンバ100のガス排気側(例えばポンプシステム136)のそれぞれに向かって流れるように導くよう、機能する。追加的又は代替的には、ガスチャネル249は、側方ガス流248が基板101のエッジ(又は、基板支持体138の基板支持面のエッジ)に正接する方向、又は近接する方向に流れるように、構成されうる。
ガスインジェクタ247は、面226、232、234、282、224、及び222を含む。第1の面232は、第2の面234の反対側にある。一実行形態では、第1の面232は、第2の側234に平行であり、かつ、第2の側234と実質的に同じ長さである。第1の湾曲面236が、第1の面232と第3の面224との間に配置される。第3の面224は、第1の面232に対して直角に配置される。第2の湾曲面240が、第2の面234と第3の面224との間に配置される。第3の湾曲面238が、第1の面232と第4の面222との間に配置される。第4の面222は、第1の面232に対して直角である。第4の湾曲面228が、第2の面234と第4の面222との間に配置される。第3の面224は、第4の面222の反対側にある。第5の面226が、第6の面282の反対側にある。一実行形態では、第6の面282は湾曲している。第6の面282の曲率半径は約8〜約9インチでありうる。第5の面226は、角度がついたガス源突起205と同じ平面にある。ガスチャネル249が、基板101に面して、第6の面282に配置される。有利には、第1の面232及び第2の面234は、第4の面222に対して実質的に直角でり、チャンバ100におけるより密着性の高い密封を可能にする。一実行形態は、オプションで、図2Cで視認できるようなファセット204、218を含みうる。一実行形態では、角度がついたガス源突起205は、ファセット204、218を介して第5の面226に接続される。一実行形態では、角度がついた面202及び角度がついた開口246は、第5の面226に直接接続される。第6の面の湾曲は、有利には、ガスのより均一な弁別を促進し、基板101に向かって流れるガスは、基板101の湾曲に沿うことによって、乱れが少なくなる。
この出願では熱処理チャンバについて記述しているが、本開示の実行形態は、均一なガス流が求められる任意の処理チャンバで使用されうる。
本開示の利点は、基板のエッジに向かってガスを導いて、基板全体における(すなわち中心からエッジまでの)成長均一性を制御するために、処理チャンバ内で改良型の側方ガスアセンブリを使用することを含む。側方ガスアセンブリは、処理チャンバのガス注入側(例えばスリットバルブ)、及び/又は、処理チャンバのガス排気側(例えばポンプポンプ)の方を向くよう構成された、角度がついたガス入口を有する。とりわけ、驚くべきことに、RadOx(登録商標)プロセスにおいて、不均一な半角を有するガスチャネルを通してガスを導くことで、基板のエッジにおける又は基板のエッジ付近での反応が著しく増大し、それにより、基板のエッジ沿いの厚さ均一性の向上、並びに、基板の全体的な厚さ均一性の向上が、もたらされることが確認された。
以上の記述は本開示の実行形態を対象としているが、本開示の基本的な範囲から逸脱することのない、本開示の他の実行形態及び更なる実行形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって決定される。
100 チャンバ
101 基板
107 コントローラ
108 加熱源
110 ランプアセンブリ
114 石英ウインドウ
122 側方ポート
123 中心軸
124 排気アセンブリ
125 排気空間
130 チャンバアセンブリ
131 ガス入口
134 ガス出口
135 ガス源
136 ポンプシステム
137 スリットバルブ
138 基板支持体
139 処理空間
140 ベースリング
141 第1ガス源
142 第2ガス源
143 2つの入口
144 2つの入口
145 主ガス流
146 流量調整デバイス
147 ガスインジェクタ
148 側方ガス流
149 カートリッジ
150 狭長チャネル
151 注入孔
152 ガス源
153 ガス源
154 ガス源
179 内表面
189 方向
197 反時計回り方向
202 突起
204 第2ファセット
205 突起
210 中心軸線
212 点
214 内部空間
216 円形の入口
218 第1ファセット
220 中心交点
226 第5の壁
228 第4の湾曲面
230 本体
232 面
234 面
236 第1の湾曲面
238 第3の湾曲面
240 第2の湾曲面
242 角度
246 角度が付いたガス源アセンブリ
247 ガスインジェクタ
248 側方ガス流
249 入口チャネル
250a 一方の半角
250b 他方の半角
279 内部表面
280 内部表面
282 湾曲面

Claims (15)

  1. 基板を熱処理するための装置であって、
    本体と、
    角度がついた突起と、
    ガス注入チャネルであって、
    第1半角、及び、
    第2半角を備え、前記第1半角は前記第2半角とは異なる、ガス注入チャネルとを備える、装置。
  2. 前記本体が、
    第1の面と、
    前記第1の面の反対側の第2の面であって、前記第1の面は前記第2の面と実質的に同じ長さである、第2の面と、
    前記第1の面に対して直角な第3の面と、
    前記第1の面と前記第3の面との間に延在する、第1の湾曲面と、
    前記第3の面と前記第2の面との間に延在する、第2の湾曲面と、
    前記第2の面に対して直角な第4の面と、
    前記第1の面と前記第4の面との間に延在する、第3の湾曲面と、
    前記第4の面と前記第2の面との間に延在する第4の湾曲面であって、前記第3の面が前記第4の面の反対側にある、第4の湾曲面と、
    前記第1の面に対して直角な第5の面と、
    前記第1の面に対して直角な第6の面であって、前記第6の面は前記第5の面の反対側にある、第6の面とを備える、請求項1に記載の装置。
  3. 角度がついた突起が前記第5の面に配置され、ガス注入チャネルが前記第6の面に配置される、請求項2に記載の装置。
  4. 前記角度がついた突起が三角形である、請求項1に記載の装置。
  5. 角度がついた突起が、
    第1ファセットと、
    第2ファセットと、
    前記湾曲したガス注入チャネルと流体連通している円形の入口とを備える、請求項2に記載の装置。
  6. 前記ガス注入チャネルが、流路に平行な基板支持面の接線から約5mmから約10mmの距離にある前記流路に沿って、ガス流を提供する、請求項1に記載の装置。
  7. 前記第1半角が約29.5度から約30.5度であり、前記第2半角が約31.8度から約32.8度である、請求項1に記載の装置。
  8. 前記ガス注入チャネルが、湾曲面を伴って処理空間に向かって広がる、扁平な漏斗型構造物である、請求項1に記載の装置。
  9. 処理空間を画定するチャンバ本体と、
    前記処理空間内に配置された基板支持体であって、基板支持面を有する、基板支持体と、
    前記チャンバ本体の入口に連結された、ガス源突起と、
    前記チャンバ本体の出口に連結された、排気アセンブリと、
    前記チャンバ本体の側壁に連結された、側方ガスアセンブリとを備える、基板を処理するための装置であって、前記側方ガスアセンブリが、
    ガス注入チャネルであって、
    第1半角、及び、
    第2半角を備え、前記第1半角は前記第2半角とは異なる、ガス注入チャネルを備える、装置。
  10. 前記側方ガスアセンブリが、
    本体と、
    角度がついた突起とを更に備える、請求項9に記載の装置。
  11. 前記本体が、
    第1の面と、
    前記第1の面の反対側の第2の面であって、前記第1の面は前記第2の面と実質的に同じ長さである、第2の面と、
    前記第1の面に対して直角な第3の面と、
    前記第1の面と前記第3の面との間に延在する、第1の湾曲面と、
    前記第3の面と前記第2の面との間に延在する、第2の湾曲面と、
    前記第2の面に対して直角な第4の面と、
    前記第1の面と前記第4の面との間に延在する、第3の湾曲面と、
    前記第4の面と前記第2の面との間に延在する第4の湾曲面であって、前記第3の面が前記第4の面の反対側にある、第4の湾曲面と、
    前記第1の面に対して直角な第5の面と、
    前記第1の面に対して直角な第6の面であって、前記第6の面は前記第5の面の反対側にある、第6の面とを備える、請求項10に記載の装置。
  12. 前記角度がついたガス源突起が前記第5の面に配置され、前記ガス注入チャネルが前記第6の面に配置される、請求項11に記載の装置。
  13. 角度がついた前記突起が、
    第1ファセットと、
    第2ファセットと、
    前記湾曲したガス注入チャネルと流体連通している円形の入口とを備える、請求項9に記載の装置。
  14. 前記側方ガスアセンブリが、流路に平行な前記基板支持面の接線から約5mmから約10mmの距離にある前記流路に沿って、ガス流を提供する、請求項9に記載の装置。
  15. 前記第1半角が約29.5度から約30.5度までであり、前記第2半角が約31.8度から約32.8度までである、請求項9に記載の装置。
JP2018013532A 2017-02-06 2018-01-30 改良型の半角ノズル Active JP7094113B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022099299A JP7407867B2 (ja) 2017-02-06 2022-06-21 改良型の半角ノズル

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762455282P 2017-02-06 2017-02-06
US62/455,282 2017-02-06

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022099299A Division JP7407867B2 (ja) 2017-02-06 2022-06-21 改良型の半角ノズル

Publications (3)

Publication Number Publication Date
JP2018157196A true JP2018157196A (ja) 2018-10-04
JP2018157196A5 JP2018157196A5 (ja) 2021-03-04
JP7094113B2 JP7094113B2 (ja) 2022-07-01

Family

ID=63039157

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018013532A Active JP7094113B2 (ja) 2017-02-06 2018-01-30 改良型の半角ノズル
JP2022099299A Active JP7407867B2 (ja) 2017-02-06 2022-06-21 改良型の半角ノズル

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022099299A Active JP7407867B2 (ja) 2017-02-06 2022-06-21 改良型の半角ノズル

Country Status (5)

Country Link
US (3) US10752991B2 (ja)
JP (2) JP7094113B2 (ja)
KR (2) KR102555394B1 (ja)
CN (2) CN208208720U (ja)
TW (3) TWI702672B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022523049A (ja) * 2019-01-30 2022-04-21 アプライド マテリアルズ インコーポレイテッド より良いウエハ均一性のための非対称注入
JP7407867B2 (ja) 2017-02-06 2024-01-04 アプライド マテリアルズ インコーポレイテッド 改良型の半角ノズル

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USD924825S1 (en) * 2018-01-24 2021-07-13 Applied Materials, Inc. Chamber inlet
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
SG11202105321TA (en) 2018-12-20 2021-07-29 Applied Materials Inc Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
CN112526827A (zh) * 2019-09-19 2021-03-19 株式会社斯库林集团 曝光装置
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
TW202235675A (zh) * 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001118799A (ja) * 1999-10-22 2001-04-27 Matsushita Electric Ind Co Ltd ガスの導入と流れの制御方法およびその装置
JP2005353665A (ja) * 2004-06-08 2005-12-22 Komatsu Electronic Metals Co Ltd 気相成長装置およびエピタキシャル気相成長装置用ガス導入口の仕切り部材の傾斜角度設定方法
JP2011508435A (ja) * 2007-12-20 2011-03-10 アプライド マテリアルズ インコーポレイテッド ガス流分布が改善された熱反応器
JP2012089863A (ja) * 2005-01-18 2012-05-10 Asm America Inc 薄膜成長用反応装置
JP2014127667A (ja) * 2012-12-27 2014-07-07 Showa Denko Kk 成膜装置
US20140273518A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Methods for forming layers on semiconductor substrates
US20150099065A1 (en) * 2012-06-07 2015-04-09 Soitec Gas injection components for deposition systems, deposition systems including such components, and related methods
JP2015534283A (ja) * 2012-10-26 2015-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated カスタマイズ可能な流れの注入を伴うエピタキシャルチャンバ

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4993360A (en) * 1988-03-28 1991-02-19 Kabushiki Kaisha Toshiba Vapor growth apparatus having a diffuser section containing a flow regulating member
JP3354747B2 (ja) * 1995-05-22 2002-12-09 株式会社フジクラ Cvd反応装置および酸化物超電導導体の製造方法
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US20010032588A1 (en) * 2000-04-21 2001-10-25 Kenji Harafuji Semiconductor film deposition apparatus
US6534401B2 (en) 2000-04-27 2003-03-18 Applied Materials, Inc. Method for selectively oxidizing a silicon/metal composite film stack
US6467704B2 (en) 2000-11-30 2002-10-22 Foseco International Limited Nozzle for guiding molten metal
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US20070084406A1 (en) 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
TWI320432B (en) 2006-06-16 2010-02-11 Hon Hai Prec Ind Co Ltd Apparatus and method for synthesizing carbon nanotube film
US7976898B2 (en) 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8197597B2 (en) 2006-11-22 2012-06-12 Soitec Gallium trichloride injection scheme
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8282735B2 (en) 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2011071490A (ja) 2009-08-28 2011-04-07 Sumitomo Electric Ind Ltd 気相成長装置
JP5490584B2 (ja) 2010-03-18 2014-05-14 スタンレー電気株式会社 気相成長装置
EP2553144B1 (en) * 2010-03-29 2016-11-23 Koolerheadz Gas injection device with uniform gas velocity
JP2013187236A (ja) 2012-03-06 2013-09-19 Tokyo Electron Ltd 太陽電池製造用のスリットノズル及び薬液塗布装置
TWI565825B (zh) * 2012-06-07 2017-01-11 索泰克公司 沉積系統之氣體注入組件及相關使用方法
US9123758B2 (en) * 2013-02-06 2015-09-01 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
US10053777B2 (en) 2014-03-19 2018-08-21 Applied Materials, Inc. Thermal processing chamber
US20150280051A1 (en) * 2014-04-01 2015-10-01 Tsmc Solar Ltd. Diffuser head apparatus and method of gas distribution
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
US10752991B2 (en) 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001118799A (ja) * 1999-10-22 2001-04-27 Matsushita Electric Ind Co Ltd ガスの導入と流れの制御方法およびその装置
JP2005353665A (ja) * 2004-06-08 2005-12-22 Komatsu Electronic Metals Co Ltd 気相成長装置およびエピタキシャル気相成長装置用ガス導入口の仕切り部材の傾斜角度設定方法
JP2012089863A (ja) * 2005-01-18 2012-05-10 Asm America Inc 薄膜成長用反応装置
JP2011508435A (ja) * 2007-12-20 2011-03-10 アプライド マテリアルズ インコーポレイテッド ガス流分布が改善された熱反応器
US20150099065A1 (en) * 2012-06-07 2015-04-09 Soitec Gas injection components for deposition systems, deposition systems including such components, and related methods
JP2015534283A (ja) * 2012-10-26 2015-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated カスタマイズ可能な流れの注入を伴うエピタキシャルチャンバ
JP2014127667A (ja) * 2012-12-27 2014-07-07 Showa Denko Kk 成膜装置
US20140273518A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Methods for forming layers on semiconductor substrates

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7407867B2 (ja) 2017-02-06 2024-01-04 アプライド マテリアルズ インコーポレイテッド 改良型の半角ノズル
JP2022523049A (ja) * 2019-01-30 2022-04-21 アプライド マテリアルズ インコーポレイテッド より良いウエハ均一性のための非対称注入
JP7277591B2 (ja) 2019-01-30 2023-05-19 アプライド マテリアルズ インコーポレイテッド より良いウエハ均一性のための非対称注入
US11959169B2 (en) 2019-01-30 2024-04-16 Applied Materials, Inc. Asymmetric injection for better wafer uniformity

Also Published As

Publication number Publication date
US11634813B2 (en) 2023-04-25
KR102555394B1 (ko) 2023-07-13
TW201830556A (zh) 2018-08-16
US20180223426A1 (en) 2018-08-09
US20220081767A1 (en) 2022-03-17
TWI702672B (zh) 2020-08-21
TWI735293B (zh) 2021-08-01
KR20180091760A (ko) 2018-08-16
US10752991B2 (en) 2020-08-25
TW202044466A (zh) 2020-12-01
CN208208720U (zh) 2018-12-07
US11220746B2 (en) 2022-01-11
KR20230110464A (ko) 2023-07-24
JP2022137077A (ja) 2022-09-21
CN108400102A (zh) 2018-08-14
JP7407867B2 (ja) 2024-01-04
JP7094113B2 (ja) 2022-07-01
TWM569933U (zh) 2018-11-11
US20200407844A1 (en) 2020-12-31

Similar Documents

Publication Publication Date Title
JP7407867B2 (ja) 改良型の半角ノズル
KR102455368B1 (ko) 처리 챔버를 위한 개선된 측면 주입 노즐 설계
KR102542189B1 (ko) 더 양호한 웨이퍼 균일성을 위한 비대칭 주입
KR20100114037A (ko) 향상된 가스 유동 분포를 가진 열 반응기
CN107403717B (zh) 一种用于处理腔室的改进侧注入喷嘴设计

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210122

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210122

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220513

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220531

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220621

R150 Certificate of patent or registration of utility model

Ref document number: 7094113

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150