JP2017511756A - Treatment of surface modified layers for controlled bonding of thin sheet carriers. - Google Patents

Treatment of surface modified layers for controlled bonding of thin sheet carriers. Download PDF

Info

Publication number
JP2017511756A
JP2017511756A JP2016547608A JP2016547608A JP2017511756A JP 2017511756 A JP2017511756 A JP 2017511756A JP 2016547608 A JP2016547608 A JP 2016547608A JP 2016547608 A JP2016547608 A JP 2016547608A JP 2017511756 A JP2017511756 A JP 2017511756A
Authority
JP
Japan
Prior art keywords
glass
carrier
bonding
thin sheet
energy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016547608A
Other languages
Japanese (ja)
Inventor
アラン ベルマン,ロバート
アラン ベルマン,ロバート
クレイグ ブックバインダー,ダナ
クレイグ ブックバインダー,ダナ
チャン,テレサ
リウ,シーウェン
ジョージ マンリー,ロバート
ジョージ マンリー,ロバート
マズムダー,プランティク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Corning Inc
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of JP2017511756A publication Critical patent/JP2017511756A/en
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/32Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with synthetic or natural resins
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • C03C27/10Joining glass to glass by processes other than fusing with the aid of adhesive specially adapted for that purpose
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J5/00Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers
    • C09J5/02Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers involving pretreatment of the surfaces to be joined
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J2400/00Presence of inorganic and organic materials
    • C09J2400/10Presence of inorganic materials
    • C09J2400/14Glass
    • C09J2400/143Glass in the substrate
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J2400/00Presence of inorganic and organic materials
    • C09J2400/10Presence of inorganic materials
    • C09J2400/14Glass
    • C09J2400/146Glass in the pretreated surface to be joined

Abstract

薄いシート結合表面を有する薄いシートを、担体結合表面を有する担体に制御可能に結合する方法。薄いシート結合表面および担体結合表面の一方の上に第1の表面エネルギーを得るように、薄いシート結合表面および担体結合表面の少なくとも一方の上に表面改質層を堆積させる。第1の表面エネルギーを、第1の表面エネルギーよりも大きい第2の表面エネルギーに変えるように、表面改質層を処理する。そして、表面改質層を介して薄いシート結合表面を担体結合表面に結合する。表面改質層の堆積、およびその処理は、プラズマ重合プロセスによって行われることがある。A method for controllably bonding a thin sheet having a thin sheet binding surface to a carrier having a carrier binding surface. A surface modification layer is deposited on at least one of the thin sheet binding surface and the carrier binding surface so as to obtain a first surface energy on one of the thin sheet binding surface and the carrier binding surface. The surface modification layer is treated to change the first surface energy to a second surface energy that is greater than the first surface energy. Then, the thin sheet bonding surface is bonded to the carrier bonding surface via the surface modification layer. The deposition of the surface modification layer and its treatment may be performed by a plasma polymerization process.

Description

優先権priority

本出願は、その内容が依拠され、ここに全て引用される、2014年1月27日に出願された米国仮特許出願第61/931912号の優先権の恩恵を主張するものである。   This application claims the benefit of priority of US Provisional Patent Application No. 61/931912, filed January 27, 2014, the contents of which are relied upon and incorporated herein in full.

本発明は、担体上の軟質シートを処理するための物品および方法に関し、より詳しくは、ガラス担体上の軟質ガラスシートを処理するための物品および方法に関する。   The present invention relates to articles and methods for treating soft sheets on a carrier, and more particularly to articles and methods for treating soft glass sheets on a glass carrier.

フレキシブル基板は、ロール・ツー・ロール加工法を使用したより安価なデバイスの見込み、およびより薄く、より軽く、よりフレキシブルで、耐久性のあるディスプレイを製造する可能性を提示する。しかしながら、高品質ディスプレイのロール・ツー・ロール加工法に要求される技術、設備、およびプロセスは、また十分には開発されていない。パネルメーカーはすでに、大型ガラスシートを加工するための機械工具に大きい投資をしてきたので、シート・ツー・シート加工法により、フレキシブル基板を担体に積層し、ディスプレイ装置を製造することは、より薄く、より軽く、よりフレキシブルなディスプレイの価値提案を発展させるためのより短期的な解決策を提示する。ディスプレイは高分子シート、例えば、ポリエチレンナフタレート(PEN)上に実証され、その場合、デバイス製造は、PENがガラス担体に積層されるシート・ツー・シートであった。PENの上限温度により、デバイスの品質および使用できるプロセスが制限される。その上、高分子基板の高い透過性は、ほぼ密閉包装が要求されるOLED装置の環境劣化をもたらす。薄膜被包は、この制限を克服する見込みを提示するが、大量で許容できる収率を提示することは、まだ実証されていない。   Flexible substrates offer the promise of cheaper devices using roll-to-roll processing and the potential to produce thinner, lighter, more flexible and durable displays. However, the technology, equipment and processes required for roll-to-roll processing of high quality displays have also not been fully developed. Panel manufacturers have already invested heavily in machine tools for processing large glass sheets, so it is thinner to manufacture display devices by laminating flexible substrates on carriers using the sheet-to-sheet processing method. Presents a shorter-term solution to develop a lighter and more flexible display value proposition. The display was demonstrated on a polymer sheet, such as polyethylene naphthalate (PEN), in which case the device manufacturing was a sheet-to-sheet where the PEN was laminated to a glass carrier. The upper temperature limit of PEN limits the device quality and the processes that can be used. Moreover, the high permeability of the polymer substrate results in environmental degradation of OLED devices that require nearly hermetic packaging. Although thin film encapsulation offers the prospect of overcoming this limitation, it has not yet been demonstrated to present large and acceptable yields.

同様に、ディスプレイ装置は、1枚以上の薄いガラス基板に積層されたガラス担体を使用して製造することができる。この薄いガラスの低い透過性および改善された耐温度性と耐薬品性のために、より高性能であり寿命がより長いフレキシブルディスプレイが可能になることが予測される。   Similarly, a display device can be manufactured using a glass carrier laminated to one or more thin glass substrates. Due to the low permeability and improved temperature and chemical resistance of this thin glass, it is anticipated that higher performance and longer life flexible displays will be possible.

しかしながら、熱、真空、溶媒および酸性、並びに超音波の、フラットパネルディスプレイ(FPD)プロセスには、担体に結合された薄いガラスの丈夫な結合が要求される。FPDプロセスは、典型的に、真空蒸着(金属、透明導電性酸化物および酸化物半導体のスパッタリング、アモルファスシリコン、窒化ケイ素、および二酸化ケイ素の化学蒸着(CVD)、並びに金属および絶縁体のドライエッチング)、熱プロセス(約300〜400℃のCVD、600℃までのp−Si結晶化、350〜450℃の酸化物半導体のアニーリング、650℃までのドーパントアニーリング、および約200〜350℃の接触アニーリングを含む)、酸エッチング(金属エッチング、酸化物半導体エッチング)、溶剤曝露(フォトレジストの除去、高分子被包材の堆積)、および超音波曝露(フォトレジストの溶剤除去および典型的に、アルカリ性溶液中での水洗浄)を含む。   However, flat panel display (FPD) processes, which are heat, vacuum, solvent and acid, and ultrasonic, require a strong bond of thin glass bonded to a carrier. FPD processes typically involve vacuum deposition (sputtering of metals, transparent conductive oxides and oxide semiconductors, chemical vapor deposition (CVD) of amorphous silicon, silicon nitride, and silicon dioxide, and dry etching of metals and insulators). , Thermal process (CVD at about 300-400 ° C., p-Si crystallization up to 600 ° C., annealing of oxide semiconductor at 350-450 ° C., dopant annealing up to 650 ° C., and contact annealing at about 200-350 ° C. Including), acid etching (metal etching, oxide semiconductor etching), solvent exposure (photoresist removal, polymer encapsulant deposition), and ultrasonic exposure (photoresist solvent removal and typically in alkaline solution) Washing with water).

微小電気機械システム(MEMS)およびプロセスがそれほど苛酷ではないバックエンド工程に関する半導体加工に、接着ウエハー結合が広く使用されている。Brewer Science and Henkelによる市販の接着剤は、典型的に、5〜200マイクロメートル厚の厚い高分子接着剤層である。これらの層の大きい厚さは、FPDプロセスを汚染する多量の揮発性物質、捕捉された溶剤、および吸着種の可能性を作り出す。これらの材料は、約250℃を超えると熱分解し、ガス放出する。これらの材料は、ガス、溶剤および酸(その後のプロセスにおいてガス放出し得る)のシンクとして働くことにより、下流の工程に汚染を生じることもある。   Bonded wafer bonding is widely used in semiconductor processing for back-end processes where microelectromechanical systems (MEMS) and processes are less demanding. Commercial adhesives by Brewer Science and Henkel are typically thick polymeric adhesive layers that are 5 to 200 micrometers thick. The large thickness of these layers creates the potential for large amounts of volatile materials, trapped solvents, and adsorbed species that contaminate the FPD process. These materials thermally decompose and outgas above about 250 ° C. These materials can also contaminate downstream processes by acting as a sink for gases, solvents and acids (which can be degassed in subsequent processes).

Processing Flexible Glass with a Carrierと題する、2012年2月8日に出願された特許文献1に、その概念が、薄いシート、例えば、軟質ガラスシートを担体に、最初にファンデルワールス力によって結合し、次いで、薄いシート/担体の加工後に薄いシートの部分を除去する能力を維持しつつ、特定の領域における結合強度を増加させて、その上にデバイス(例えば、電子またはディスプレイ装置、電子またはディスプレイ装置の構成部品、有機発光デバイス(OLDE)材料、光起電(PV)構造、または薄膜トランジスタ)を形成する各工程を含むことが開示されている。薄いガラスの少なくとも一部が、デバイス処理流体が薄いシートと担体との間に入るのを防ぎ、それにより、下流のプロセスを汚染する機会が減少するように、担体に結合されている、すなわち、薄いシートと担体との間の結合されたシール部分は気密であり、いくつかの好ましい実施の形態において、このシールは物品の外側を包み込み、それによって、封止された物品のいずれの領域からのまたはいずれの領域への液体または気体の侵入も防がれる。   In Patent Document 1 filed on Feb. 8, 2012, entitled Processing Flexible Glass with a Carrier, the concept is that a thin sheet, for example, a soft glass sheet, is first bonded to a carrier by van der Waals force, Then, while maintaining the ability to remove portions of the thin sheet after processing the thin sheet / carrier, the bond strength in a particular area is increased and the device (e.g. electronic or display device, electronic or display device) It is disclosed to include each step of forming a component, an organic light emitting device (OLDE) material, a photovoltaic (PV) structure, or a thin film transistor). At least a portion of the thin glass is bonded to the carrier to prevent device processing fluid from entering between the thin sheet and the carrier, thereby reducing the chance of contaminating downstream processes, i.e. The combined seal portion between the thin sheet and the carrier is hermetic, and in some preferred embodiments, the seal wraps around the outside of the article, thereby from any region of the sealed article. Alternatively, liquid or gas can be prevented from entering any region.

特許文献1は、次に、低温ポリシリコン(LTPS)(約750℃までであり得る固相結晶化処理法と比べて低温である)デバイス製造プロセスにおいて、600℃以上に達する温度、真空、およびウェットエッチング環境が使用されることがあることを開示している。これらの条件は、使用してよい材料を制限し、担体/薄いシートに高い要求を出す。したがって、望ましいことは、製造業者の既存の資本インフラストラクチャーを利用し、より高い加工温度で薄いガラスと担体との間の結合強度の損失がなく、または汚染がなく、薄いガラス、すなわち、厚さが0.3mm以下のガラスの加工を可能にし、その薄いガラスが、プロセスの終わりに担体から容易に剥離する担体手法である。   U.S. Pat. No. 6,057,089 then describes low temperature polysilicon (LTPS) (which is at a lower temperature compared to solid phase crystallization processes that can be up to about 750 ° C.) device manufacturing process, temperatures reaching 600 ° C. or higher, vacuum, and It discloses that a wet etch environment may be used. These conditions limit the materials that may be used and place high demands on the carrier / thin sheet. Therefore, it would be desirable to utilize the manufacturer's existing capital infrastructure, without loss of bond strength between the thin glass and the support at higher processing temperatures, or without contamination, and thin glass, i.e., thickness Is a carrier approach that allows processing of glass of 0.3 mm or less, and that the thin glass is easily peeled from the carrier at the end of the process.

特許文献1に開示された手法に対する1つの商業上の利点は、特許文献1に言及されたように、製造業者は、例えば、PV、OLED、LCDおよびパターンが形成された薄膜トランジスタ(TFT)電子機器のための薄いガラスシートの利点を得ながら、加工設備に既存の資本投資を利用できることである。その上、その手法により:結合を促進するために、薄いガラスシートおよび担体の洗浄および表面処理に関する融通性;結合区域での薄いシートと担体との間の結合の強化に関する融通性;非結合(または減/低強度結合)区域での担体からの薄いシートの剥離性の維持に関する融通性;および担体からの取り出しを容易にするための薄いシートの切断に関する融通性;を含むプロセスの融通性が可能になる。   One commercial advantage over the approach disclosed in US Pat. No. 6,087,089 is that, as mentioned in US Pat. No. 5,047,086, manufacturers can, for example, PV, OLED, LCD, and patterned thin film transistor (TFT) electronics. It is possible to utilize existing capital investments in processing equipment while gaining the advantages of thin glass sheets. Moreover, by that approach: to facilitate bonding: flexibility for cleaning and surface treatment of thin glass sheets and carriers; flexibility for strengthening bonding between thin sheets and carriers in the bonding area; Flexibility for maintaining the peelability of the thin sheet from the carrier in the area (or reduced / low strength bonding); and flexibility for cutting the thin sheet to facilitate removal from the carrier. It becomes possible.

ガラス対ガラスの結合プロセスにおいて、ガラス表面を洗浄して、全ての金属、有機および微粒子残留物を除去し、ほとんどがシラノール末端の表面を残す。それらのガラス表面を最初に密接に接触させ、そこで、ファンデルワールス力および/または水素結合力によりそれらが一緒に引き付けられる。熱および随意的な圧力により、表面のシラノール基が縮合して、界面に亘って強力なSi−O−Si共有結合を形成し、永久的にガラス片を結合する。金属、有機および微粒子残留物は、表面を覆い隠し、結合に必要な密接な接触を防ぐことによって、結合を阻む。単位面積当たりの結合の数は、対向する表面上の2つのシラノール種が反応して脱水縮合する確率によって決まるので、強力な結合を形成するためにも、高いシラノール表面濃度が必要である。Zhuravlelは、十分に水和したシリカについて、nm2当たりのヒドロキシルの平均数が4.6から4.9であると報告した(非特許文献1)。特許文献1において、結合した外周内に非結合領域が形成され、そのような非結合区域を形成するために記載された主要な様式は、表面粗さを増加させることである。2nm超のRaの平均表面粗さは、結合プロセスの高温の最中に、ガラス対ガラスの結合が形成されるのを防ぎ得る。Facilitated Processing for Controlling Bonding Between Sheet and Carrierと題する、同じ発明者により2012年12月13日に出願された特許文献2において、担体と薄いガラスシートとの間のファンデルワールスおよび/または水素結合を制御することによって、制御された結合区域が形成されるが、共有結合区域もまだ同様に使用される。このように、特許文献1および2における担体を有する薄いシートを処理するための物品および方法は、FPD加工法の苛酷な環境に耐えられるが、いくつかの用途にとって望ましくないことに、ガラスの破壊強度と同程度の、約1000〜2000mJ/m2の接着力で共有結合、例えば、Si−O−Si共有結合される結合領域における薄いガラスとガラス担体との間の強力な共有結合によって、担体の再利用が阻まれる。担体から薄いガラスの共有結合した部分を分離するために、引き離し(Prying)または剥離は使用できず、それゆえ、薄いシート全体を担体から除去することができない。そうせずに、上にデバイスを備えた非結合区域を罫書き、取り出して、担体上に薄いガラスシートの結合外周を残す。 In the glass-to-glass bonding process, the glass surface is washed to remove all metal, organic and particulate residues, leaving most of the silanol terminated surface. The glass surfaces are first brought into intimate contact, where they are attracted together by van der Waals and / or hydrogen bonding forces. With heat and optional pressure, the silanol groups on the surface condense to form strong Si-O-Si covalent bonds across the interface, permanently bonding the glass pieces. Metal, organic and particulate residues obstruct bonding by masking the surface and preventing the intimate contact necessary for bonding. Since the number of bonds per unit area is determined by the probability that two silanol species on the opposing surfaces react and dehydrate and condense, a high silanol surface concentration is also required to form strong bonds. Zhuravrel reported that for fully hydrated silica, the average number of hydroxyls per nm 2 was 4.6 to 4.9 (Non-Patent Document 1). In U.S. Pat. No. 6,057,831, unbonded regions are formed within the bonded perimeter, and the primary mode described for forming such unbonded areas is to increase surface roughness. An average surface roughness of Ra greater than 2 nm can prevent glass-to-glass bonds from forming during the high temperatures of the bonding process. Controlling van der Waals and / or hydrogen bonding between a support and a thin glass sheet in US Pat. No. 5,637,028, filed Dec. 13, 2012 by the same inventor entitled “Facilitated Processing for Controlling Bonding Between Sheet and Carrier” By doing so, a controlled coupling area is formed, but a covalent coupling area is still used as well. Thus, the articles and methods for processing thin sheets with a carrier in US Pat. Nos. 5,047,036 and 2,200 can withstand the harsh environment of FPD processing methods, but are undesirable for some applications. A strong covalent bond between a thin glass and a glass support in a bonding region that is covalently bonded, for example, Si—O—Si covalently bonded, with an adhesive strength of about 1000 to 2000 mJ / m 2 , comparable to the strength. Is prevented from being reused. Prying or peeling cannot be used to separate the covalently bonded portion of the thin glass from the carrier, and therefore the entire thin sheet cannot be removed from the carrier. Instead, the unbonded area with the device on top is scored and removed, leaving a thin glass sheet bonded periphery on the carrier.

米国仮特許出願第61/596727号明細書US Provisional Patent Application No. 61/596727 米国仮特許出願第61/736880号明細書US Provisional Patent Application No. 61/768880

Zhuravlel, L. T., The Surface Chemistry of Amorphous Silika, Zhuravlev Model, Colloids and Surfaces A: Physiochemical Engineering Aspects 173 (2000) 1-38Zhuravlel, L. T., The Surface Chemistry of Amorphous Silika, Zhuravlev Model, Colloids and Surfaces A: Physiochemical Engineering Aspects 173 (2000) 1-38

上記に鑑みて、高温処理(それが使用される半導体またはディスプレイ製造プロセスに不適合であろうガス発生のない)を含むFPD加工の厳しさに耐えられ、その上、別の薄いシートを加工するために担体を再利用できるように、薄いシートの全区域を担体から除去できる(一度に全て、または区域ずつのいずれかで)、薄いシート・担体物品が必要とされている。   In view of the above, to withstand the rigors of FPD processing, including high temperature processing (no gas generation that would be incompatible with the semiconductor or display manufacturing process in which it is used), and to process another thin sheet There is a need for a thin sheet and carrier article that allows the entire area of the thin sheet to be removed from the carrier (either all at once or one area at a time) so that the carrier can be reused.

本明細書は、FPD加工(LTPS加工を含む)に耐えるほど十分に強力であるが、高温処理後でさえ、シートを担体から剥離できるほど十分に弱い一時的結合を形成するために、担体と薄いシートとの間の接着を制御する方法を記載する。再利用できる担体を有する物品、あるいは、担体とシートとの間の制御された結合および共有結合のパターン区域を有する物品を形成するために、そのような制御された結合を利用することができる。より詳しくは、本開示は、薄いシートと担体との間の、室温のファンデルワールス結合および/または水素結合、および高温の共有結合の両方を制御するために、薄いシート、担体、またはその両方の上に設けられる表面改質層(様々な材料および関連する表面熱処理を含む)を提供する。またさらに詳しくは、室温結合は、真空処理、湿式処理、および/または超音波洗浄処理の最中に、薄いシートおよび担体を一緒に保持するのに十分であるように制御されることがある。それと同時に、高温共有結合は、高温処理中の薄いシートと担体との間の永久結合を防ぎ、並びに高温処理中の剥離を防ぐのに十分な結合を維持するように制御されることがある。代わりの実施の形態において、表面改質層は、さらなる加工選択肢を提供するために、例えば、追加のデバイス処理のために物品をより小さい小片に切断した後でさえも、担体とシートとの間の気密性を維持するために、共有結合領域と共に、様々な制御された結合区域(担体およびシートは、真空処理、湿式処理、および/または超音波洗浄処理を含む様々なプロセス中ずっと、十分に結合したままである)を形成するために使用されることがある。さらにまた、ある表面改質層は、担体とシートとの間の結合の制御を提供すると同時に、例えば、高温および/または真空処理を含む、FPD(例えば、LTPS)加工環境における苛酷な条件の最中のガス放出を低下させる。さらにまた、代わりの実施の形態において、高分子結合表面を有する薄いシートを制御可能に結合するために、ガラス結合表面を有する担体上にいくつかの表面改質層を使用してもよい。その高分子結合表面は、電子または他の構造が上に形成される高分子の薄いシートの一部であってもよく、あるいは、高分子結合表面は、電子または他の構造が上に形成されるガラス層を備えた複合シートの一部であってもよい。   This specification is powerful enough to withstand FPD processing (including LTPS processing), but to form a temporary bond that is weak enough to release the sheet from the support even after high temperature processing. A method for controlling adhesion between thin sheets is described. Such controlled bonding can be utilized to form an article with a reusable carrier, or an article with a controlled bond and covalent bond pattern area between the carrier and the sheet. More particularly, the present disclosure provides for thin sheet, support, or both to control both room temperature van der Waals and / or hydrogen bonding and high temperature covalent bonding between the thin sheet and the support. A surface modification layer (including various materials and associated surface heat treatments) is provided. Even more particularly, room temperature bonding may be controlled to be sufficient to hold the thin sheet and carrier together during vacuum processing, wet processing, and / or ultrasonic cleaning processing. At the same time, high temperature covalent bonding may be controlled to prevent permanent bonding between the thin sheet and the carrier during high temperature processing, as well as maintain sufficient bonding to prevent delamination during high temperature processing. In an alternative embodiment, the surface modification layer may provide a further processing option, for example, between the carrier and the sheet, even after cutting the article into smaller pieces for additional device processing. In order to maintain the air tightness of the various bonded bonding areas (carriers and sheets are sufficient throughout various processes including vacuum processing, wet processing, and / or ultrasonic cleaning processing) To remain attached). Furthermore, certain surface modification layers provide control of the bond between the support and the sheet, while at the same time being subject to extreme conditions in FPD (eg, LTPS) processing environments, including high temperature and / or vacuum processing, for example. Reduce gas emissions inside. Furthermore, in an alternative embodiment, several surface modification layers may be used on a support having a glass binding surface to controllably bond a thin sheet having a polymer binding surface. The polymer binding surface may be part of a thin sheet of polymer on which electrons or other structures are formed, or the polymer binding surface is formed on which electrons or other structures are formed. Part of a composite sheet provided with a glass layer.

追加の特徴および利点が、以下の詳細な説明に述べられており、一部は、その説明から当業者に容易に明白となるか、または記載された説明および添付図面に例示されたように様々な態様を実施することにより、認識されるであろう。先の一般的な説明および以下の詳細な説明は、様々な態様の単なる例示であり、特許請求の範囲に記載された本発明の性質および特徴を理解するための概要または骨子を提供することが意図されているのが理解されよう。   Additional features and advantages are set forth in the following detailed description, some of which will be readily apparent to those skilled in the art from the description, or may vary as illustrated in the described description and accompanying drawings. It will be appreciated by implementing certain aspects. The foregoing general description and the following detailed description are merely exemplary of various aspects, and may provide an overview or skeleton for understanding the nature and features of the claimed invention. It will be understood that it is intended.

添付図面は、本発明の原理のさらなる理解を与えるために含まれ、本明細書に包含され、その一部を構成する。図面は、1つ以上の実施の形態を図示しており、説明と共に、一例により、本発明の原理および作動を説明する働きをする。本明細書および図面に開示された様々な特徴は、任意の組合せおよび全ての組合せで使用できることを理解すべきである。非限定的例により、様々な特徴は、付随の特許請求の範囲に述べられたように、互いと組み合わせてよい。   The accompanying drawings are included to provide a further understanding of the principles of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate one or more embodiments, and together with the description serve to explain the principles and operation of the invention by way of example. It should be understood that the various features disclosed in this specification and the drawings can be used in any combination and in all combinations. By way of non-limiting example, various features may be combined with each other as set forth in the appended claims.

間の表面改質層より薄いシートに結合した担体を有する物品の概略側面図Schematic side view of an article having a carrier bonded to a sheet thinner than the surface modification layer between 図1の物品の分解図であって、一部切り欠き図FIG. 2 is an exploded view of the article of FIG. 温度の関数としてのシリカ上の表面ヒドロキシル濃度のグラフGraph of surface hydroxyl concentration on silica as a function of temperature アニール温度の関数としてのSC1洗浄されたガラスシートの表面エネルギーのグラフGraph of surface energy of SC1 cleaned glass sheet as a function of annealing temperature 膜を製造した成分材料の内の1つの割合の関数としてのガラスシート上に堆積された薄膜フルオロポリマーの表面エネルギーのグラフGraph of the surface energy of a thin film fluoropolymer deposited on a glass sheet as a function of one proportion of the component materials that produced the film 結合区域により担体に結合された薄いシートの概略平面図Schematic plan view of a thin sheet bonded to a carrier by a bonding area ガラスシートの積層体の概略側面図Schematic side view of glass sheet laminate 図7の積層体の1つの実施の形態の分解図7 is an exploded view of one embodiment of the laminate of FIG. 試験配置の概略図Schematic diagram of test arrangement 異なる条件下での様々な材料に関する時間に対する表面エネルギー(図9の試験配置の異なる部分の)のグラフの一群A group of graphs of surface energy against time for different materials under different conditions (of different parts of the test arrangement of FIG. 9) 様々な材料に関する温度に対する気泡面積%の変化のグラフGraph of change in bubble area% with respect to temperature for various materials 様々な材料に関する温度に対する気泡面積%の変化の別のグラフAnother graph of change in bubble area% over temperature for various materials 堆積中に使用したガスの内の1つの割合の関数としてのガラスシート上に堆積されたフルオロポリマー膜の表面エネルギーのグラフGraph of the surface energy of a fluoropolymer film deposited on a glass sheet as a function of one proportion of the gas used during deposition 堆積中に使用したガスの内の1つの割合の関数としてのガラスシート上に堆積されたフルオロポリマー膜の表面エネルギーのグラフGraph of the surface energy of a fluoropolymer film deposited on a glass sheet as a function of one proportion of the gas used during deposition 表面改質層に関する堆積時間に対する表面エネルギーのグラフGraph of surface energy versus deposition time for surface modified layers 表面改質層に関する、対数・対数目盛での堆積時間に対する厚さのグラフGraph of thickness versus logarithmic / log scale deposition time for surface modified layers 異なる表面改質層に関する処理温度に対する表面エネルギーのグラフGraph of surface energy versus processing temperature for different surface modification layers 表面改質層の表面被覆率のグラフGraph of surface coverage of surface modified layer ガラス担体に結合した200マイクロメートルのPEN膜上に製造された有機トランジスタの性能の纏めSummary of the performance of organic transistors fabricated on 200 micrometer PEN films bonded to glass carriers

以下の詳細な説明において、限定ではなく説明の目的で、本発明の様々な原理の完全な理解を与えるために、特定の詳細を開示する例示の実施の形態が述べられている。しかしながら、本開示の恩恵を受けた当業者には、本発明は、この中に開示された特定の詳細から逸脱した他の実施の形態において実施してもよいことが明白であろう。さらに、公知のデバイス、方法および材料の説明は、本発明の様々な原理の説明を分かりにくくしないように、省かれていることがある。最後に、適用できるときはいつでも、同様の参照数字が同様の要素を指す。   In the following detailed description, for purposes of explanation and not limitation, example embodiments disclosing specific details are set forth in order to provide a thorough understanding of the various principles of the invention. However, it will be apparent to those skilled in the art having the benefit of this disclosure that the present invention may be practiced in other embodiments that depart from the specific details disclosed therein. Further, descriptions of known devices, methods and materials may be omitted so as not to obscure the description of various principles of the invention. Finally, wherever applicable, like reference numerals refer to like elements.

範囲は、「約」1つの特定の値から、および/または「約」別の値までと、ここに表現することができる。そのような範囲が表現された場合、別の実施の形態は、その1つの特定値から、および/または他方の特定の値までを含む。同様に、値が、「約」という先行詞を使用して、近似として表されている場合、特定の値は別の実施の形態を形成すると理解される。その範囲の各々の端点は、他方の端点に関してと、他方の端点とは関係なくの両方で有意であることがさらに理解されよう。   Ranges can be expressed herein as “about” from one particular value and / or to “about” another value. When such a range is expressed, another embodiment includes from the one particular value and / or to the other particular value. Similarly, when values are expressed as approximations, using the antecedent “about,” it will be understood that the particular value forms another embodiment. It will be further understood that each endpoint of the range is significant both with respect to the other endpoint and regardless of the other endpoint.

ここに使用される方向の用語、例えば、上、下、右、左、前、後、上部、下部は、描かれた図面に関してのみ使用され、絶対的な向きを暗示することは意図されていない。   Directional terms used herein, for example, top, bottom, right, left, front, back, top, bottom, are used only with respect to the drawings drawn and are not intended to imply absolute orientation .

ここに用いたように、名詞は、特に明記のない限り、複数の対象を指す。それゆえ、例えば、「構成部品」への言及は、特に明記のない限り、そのような構成部品を2つ以上有する態様を含む。   As used herein, a noun refers to a plurality of objects unless otherwise specified. Thus, for example, reference to “a component” includes aspects having two or more such components, unless otherwise specified.

特許文献1および2において、担体上の薄いガラスシートの加工を可能にし、それによって、薄いガラスシート上で加工されたデバイスが担体から除去できるように、薄いガラスシートの少なくとも複数の部分が、「非結合」状態のままとなる解決策が提供される。しかしながら、その薄いガラスの外周は、Si−O−Si共有結合の形成により、担体ガラスに永久的に(または共有、もしくは気密)結合されている。この共有結合された外周のために、担体の再利用が阻まれる。何故ならば、薄いガラスは、その薄いガラスおよび担体を損傷させずに、この永久的に結合した区域において除去できないからである。   In U.S. Pat. Nos. 5,037,049 and 5,637, at least a plurality of portions of a thin glass sheet are " Solutions are provided that remain in the “unbound” state. However, the periphery of the thin glass is permanently (or covalently or hermetically) bonded to the support glass by the formation of Si—O—Si covalent bonds. This covalently bound perimeter prevents the carrier from being reused. This is because thin glass cannot be removed in this permanently bonded area without damaging the thin glass and carrier.

都合よい表面形状特徴を維持するために、担体は、典型的に、ディスプレイグレードのガラス基板である。したがって、ある状況において、担体を1回使用した後に単に廃棄することは、無駄遣いであり、費用がかかる。それゆえ、ディスプレイ製造の費用を削減するために、複数の薄いシート基板を加工するために、担体を再利用できることが望ましい。本開示は、高温加工(高温加工は、400℃以上の温度での加工であり、製造されているデバイスのタイプに応じて様々である、例えば、アモルファスシリコンまたはアモルファスインジウムガリウム亜鉛酸化物(IGZO)バックプレーン加工におけるような約450℃までの温度、結晶質IGZO加工におけるような、約500〜550℃まで、またはLTPSプロセスに典型的なように、約600〜650℃までであってよい)を含むFPD加工ラインの苛酷な環境を通じて薄いシートを加工できるようにし、それでも、薄いシートまたは担体に損傷(例えば、担体および薄いシートの一方が、2つ以上の小片に割れたり欠けたりする)を与えずに、薄いシートを担体からより容易に取り外すことができ、それによって、担体を再利用できる物品および方法を述べる。   In order to maintain convenient surface shape features, the carrier is typically a display grade glass substrate. Thus, in certain situations, simply discarding the carrier after one use is wasteful and expensive. It is therefore desirable to be able to reuse the carrier to process multiple thin sheet substrates in order to reduce display manufacturing costs. The present disclosure relates to high temperature processing (high temperature processing is processing at temperatures above 400 ° C. and varies depending on the type of device being manufactured, eg, amorphous silicon or amorphous indium gallium zinc oxide (IGZO) Temperatures up to about 450 ° C., as in backplane processing, up to about 500-550 ° C., as in crystalline IGZO processing, or up to about 600-650 ° C. as typical for LTPS processes). Allows thin sheets to be processed through the harsh environment of the included FPD processing line, but still damages the thin sheet or carrier (eg, one of the carrier and the thin sheet breaks or breaks into two or more pieces) Without removing the thin sheet from the carrier more easily, thereby reusing the carrier Kill described the articles and methods.

図1および2に示されるように、物品2は、厚さ8を有し、厚さ18を有する担体10、厚さ28を有する薄いシート20(すなわち、以下に限られないが、例えば、10〜50マイクロメートル、50〜100マイクロメートル、100〜150マイクロメートル、150〜300マイクロメートル、300、250、200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30、20、または10マイクロメートルの厚さを含む300マイクロメートル以下の厚さを有するもの)、および厚さ38を有する表面改質層30を備える。物品2は、薄いシート20自体は300マイクロメートル以下であるが、より厚いシート(すなわち、およそ0.4mm以上、例えば、0.4mm、0.5mm、0.6mm、0.7mm、0.8mm、0.9mm、または1.0mmのもの)のために設計された装置内で薄いシート20を加工できるように設計されている。すなわち、厚さ18、28、および38の合計である、厚さ8は、装置の一部(例えば、基板シート上に電子デバイス部品を配置するように設計された装置)が加工するように設計されたより厚いシートの厚さと同等であるように設計されている。例えば、加工装置が700マイクロメートルのシートのために設計され、薄いシートが300マイクロメートルの厚さ28を有する場合、厚さ38が取るに足らないとすると、厚さ18は、400マイクロメートルとして選択されるであろう。すなわち、表面改質層30は、一定の縮尺で示されていない;そうではなく、説明のためだけに、大幅に誇張されている。その上、表面改質層は、切り欠きで示されている。実際には、表面改質層は、再利用できる担体を提供する場合、結合表面14上に均一に配置されるであろう。典型的に、厚さ38は、ナノメートル程度、例えば、0.1から2.0、または10nmであり、ある場合には、100nmまでであることもある。厚さ38は、エリプソメータにより測定してもよい。その上、表面改質層の存在は、表面化学分析、例えば、ToF Sims質量分析法により検出されるであろう。したがって、物品の厚さ8への厚さ38の寄与は、取るに足らないものであり、厚さ28を有する所定の薄いシート20を加工するために、担体10の適切な厚さ18を決定するための計算においては、無視してよい。しかしながら、表面改質層30が任意の有意の厚さ38を有する限りにおいて、薄いシート20の所定の厚さ28、および加工装置がそのため設計された所定の厚さについて、担体10の厚さ18を決定するために、その厚さを計上してもよい。   As shown in FIGS. 1 and 2, the article 2 has a thickness 8, a carrier 10 having a thickness 18, a thin sheet 20 having a thickness 28 (ie, but not limited to, for example, 10 -50 micrometers, 50-100 micrometers, 100-150 micrometers, 150-300 micrometers, 300, 250, 200, 190, 180, 170, 160, 150, 140, 130, 120, 110, 100, 90 , 80, 70, 60, 50, 40, 30, 20, or 10 micrometers or less), and a surface modification layer 30 having a thickness 38. Article 2 has a thin sheet 20 itself of 300 micrometers or less, but a thicker sheet (ie, approximately 0.4 mm or more, for example, 0.4 mm, 0.5 mm, 0.6 mm, 0.7 mm, 0.8 mm). , 0.9 mm, or 1.0 mm), designed to be able to process a thin sheet 20 in an apparatus designed for. That is, thickness 8, which is the sum of thicknesses 18, 28, and 38, is designed to be processed by a portion of the apparatus (eg, an apparatus designed to place electronic device components on a substrate sheet). Designed to be equivalent to thicker sheet thickness. For example, if the processing device is designed for a 700 micrometer sheet and the thin sheet has a thickness 28 of 300 micrometers, if the thickness 38 is negligible, the thickness 18 will be 400 micrometers Will be selected. That is, the surface modification layer 30 is not shown to scale; rather, it is greatly exaggerated for illustrative purposes only. Moreover, the surface modification layer is indicated by a notch. In practice, the surface modification layer will be uniformly disposed on the binding surface 14 when providing a reusable carrier. Typically, the thickness 38 is on the order of nanometers, for example 0.1 to 2.0, or 10 nm, and in some cases up to 100 nm. The thickness 38 may be measured by an ellipsometer. Moreover, the presence of the surface modified layer will be detected by surface chemical analysis, eg, ToF Sims mass spectrometry. Thus, the contribution of thickness 38 to article thickness 8 is insignificant and determines the appropriate thickness 18 of carrier 10 to process a given thin sheet 20 having thickness 28. In the calculation to do, you can ignore. However, as long as the surface modification layer 30 has any significant thickness 38, the thickness 18 of the carrier 10 for the predetermined thickness 28 of the thin sheet 20 and the predetermined thickness for which the processing apparatus is designed. To determine the thickness.

担体10は、第1の表面12、結合表面14、外周16、および厚さ18を有する。さらに、担体10は、例えば、ガラスを含むどの適切な材料のものであってもよい。担体は、ガラスである必要はないが、代わりに、セラミック、ガラスセラミック、または金属であって差し支えない(表面エネルギーおよび/または結合が、ガラス担体に関して下記に記載された様式と類似の様式で制御されるであろうから)。担体10が、ガラスから製造されている場合、アルミノケイ酸塩、ホウケイ酸塩、アルミノホウケイ酸塩、ソーダ石灰ケイ酸塩を含むどの適切な組成のものであってもよく、最終的な用途に応じて、アルカリを含有しても、アルカリを含まなくてもよい。厚さ18は、約0.2から3mm、またはそれより厚い、例えば、0.2、0.3、0.4、0.5、0.6、0.65、0.7、1.0、2.0、または3mm、またはそれより厚くてもよく、厚さ28、および上述したようには取るに足らなくない場合、厚さ38に依存する。その上、担体10は、図示されたように、一層から、または互いに結合した多層(同じまたは異なる材料の多数の薄いシートを含む)から製造されてもよい。さらに、担体は、第一世代のサイズまたはそれより大きい、例えば、第二世代、第三世代、第四世代、第五世代、第八世代またはそれより大きいものであってよい(例えば、100mm×100mmから3メートル×3メートルまたはそれより大きいシートサイズ)。   The carrier 10 has a first surface 12, a binding surface 14, a perimeter 16, and a thickness 18. Further, the carrier 10 can be of any suitable material including, for example, glass. The support need not be glass, but could instead be ceramic, glass ceramic, or metal (surface energy and / or bonding controlled in a manner similar to that described below for glass support. Will be done). If the carrier 10 is made of glass, it can be of any suitable composition including aluminosilicate, borosilicate, aluminoborosilicate, soda lime silicate, depending on the final application. Thus, it may or may not contain an alkali. Thickness 18 is about 0.2 to 3 mm or thicker, for example 0.2, 0.3, 0.4, 0.5, 0.6, 0.65, 0.7, 1.0 , 2.0, or 3 mm, or thicker, depending on thickness 28 and, if not trivial as described above, thickness 38. Moreover, the carrier 10 may be manufactured from one layer or multiple layers bonded together (including multiple thin sheets of the same or different materials) as shown. Further, the carrier may be of a first generation size or larger, eg, second generation, third generation, fourth generation, fifth generation, eighth generation or larger (eg, 100 mm × 100 mm to 3 meters x 3 meters or larger sheet size).

薄いシート20は、第1の表面22、結合表面24、外周26、および厚さ28を有する。外周16および26は、どの形状のものであってもよく、互いに同じであっても、互いに異なっていてもよい。さらに、その薄いシート20は、例えば、ガラス、セラミック、またはガラスセラミックを含むどの適切な材料のものであってもよい。ある場合には、薄いシート20は、高分子シートまたは高分子および/またはガラス結合表面を有する複合シートであってよい。薄いシート20が、ガラスから製造されている場合、アルミノケイ酸塩、ホウケイ酸塩、アルミノホウケイ酸塩、ソーダ石灰ケイ酸塩を含むどの適切な組成のものであってもよく、最終的な用途に応じて、アルカリを含有しても、アルカリを含まなくてもよい。その薄いシートの熱膨張係数は、高温での加工中の物品の反りを防ぐために、担体のものと比較的密接に合わせることができるであろう。CTEの一致がそのような懸念ではないより低温で、物品2が処理される場合、高分子の薄いシートをガラス担体と共に使用できる。もちろん、高分子シートをガラス担体と共に使用してよい他の例もあるであろう。薄いシート20の厚さ28は、上述したように、300マイクロメートル以下である。さらに、その薄いシートは、第一世代のサイズまたはそれより大きい、例えば、第二世代、第三世代、第四世代、第五世代、第八世代またはそれより大きいものであってよい(例えば、100mm×100mmから3メートル×3メートルまたはそれより大きいシートサイズ)。   The thin sheet 20 has a first surface 22, a bonding surface 24, a perimeter 26, and a thickness 28. The outer peripheries 16 and 26 may have any shape, and may be the same as or different from each other. Further, the thin sheet 20 may be of any suitable material including, for example, glass, ceramic, or glass ceramic. In some cases, the thin sheet 20 may be a polymer sheet or a composite sheet having a polymer and / or glass bonded surface. If the thin sheet 20 is made of glass, it can be of any suitable composition including aluminosilicate, borosilicate, aluminoborosilicate, soda lime silicate, for final use. Depending on the case, it may or may not contain an alkali. The coefficient of thermal expansion of the thin sheet could be matched relatively closely with that of the carrier to prevent warping of the article during processing at high temperatures. If article 2 is processed at a lower temperature where CTE matching is not such a concern, a thin sheet of polymer can be used with a glass carrier. Of course, there may be other examples where a polymer sheet may be used with a glass carrier. The thickness 28 of the thin sheet 20 is 300 micrometers or less as described above. Further, the thin sheet may be of a first generation size or larger, eg, second generation, third generation, fourth generation, fifth generation, eighth generation or larger (eg, 100 mm x 100 mm to 3 meters x 3 meters or larger sheet size).

物品2は、既存の設備内で加工されるべき正確な厚さを有する必要があるだけでなく、ときには、その加工が行われる苛酷な環境に耐えることができる必要もある。例えば、フラットパネルディスプレイ(FPD)加工は、ウェット、超音波、真空、およびある場合には、高温(例えば、400℃以上)の処理を含むであろう。あるプロセスについて、上述したように、温度は、500℃以上、または600℃以上、かつ650℃までであろう。   Article 2 not only needs to have the exact thickness to be processed in existing equipment, but also sometimes needs to be able to withstand the harsh environment in which the processing takes place. For example, flat panel display (FPD) processing will include wet, ultrasonic, vacuum, and in some cases high temperature (eg, 400 ° C. or higher) processing. For certain processes, as described above, the temperature will be 500 ° C. or higher, or 600 ° C. or higher, and up to 650 ° C.

例えば、FPD製造中などで、物品2が加工される苛酷な環境に耐えるために、結合表面14は、薄いシート20が担体10から分離しないように十分な強度で結合表面24に結合されるべきである。そして、この強度は、加工中に薄いシート20が担体10から分離しないように、加工中ずっと維持されるべきである。さらに、(担体10を再利用できるように)薄いシート20を担体10から取り外せるためには、結合表面14は結合表面24に、最初に設計された結合力、および/または例えば、物品が高温、例えば、400℃以上の温度で加工される場合に生じるような、最初に設計された結合力の変更により生じる結合力のいずれかによって、強すぎて結合されるべきではない。表面改質層30は、これらの目的の両方を達成するように、結合表面14と結合表面24との間の結合の強度を制御するために使用してよい。制御された結合力は、薄いシート20および担体10の極性および非極性の表面エネルギー成分を調節することにより制御される、全付着エネルギーに対するファンデルワールス(および/または水素結合)エネルギーおよび共有引力エネルギーを制御することによって、達成される。この制御された結合は、FPD加工(ウェット、超音波、真空、および400℃以上の温度、ある場合には、500℃以上、または600℃以上、かつ650℃までの処理温度を含む熱プロセスを含む)に耐えるのに十分な強力であり、十分な分離力の印加により、さらに、薄いシート20および/または担体10に壊滅的な損傷を生じない力により、剥離可能なままである。そのような剥離は、薄いシート20およびその上に製造されたデバイスの除去を可能にし、また担体10の再利用を可能にする。   For example, the bonding surface 14 should be bonded to the bonding surface 24 with sufficient strength so that the thin sheet 20 does not separate from the carrier 10 in order to withstand the harsh environment in which the article 2 is processed, such as during FPD manufacturing. It is. This strength should then be maintained throughout processing so that the thin sheet 20 does not separate from the carrier 10 during processing. Further, in order to be able to remove the thin sheet 20 from the carrier 10 (so that the carrier 10 can be reused), the bonding surface 14 is bonded to the bonding surface 24, the initially designed bonding force, and / or, for example, the article is hot. For example, it should not be too strong to be bonded by any of the bond forces that result from a change in the initially designed bond force, such as occurs when processing at temperatures of 400 ° C. or higher. The surface modification layer 30 may be used to control the strength of the bond between the bonding surface 14 and the bonding surface 24 to achieve both of these objectives. The controlled binding force is controlled by adjusting the polar and non-polar surface energy components of the thin sheet 20 and the carrier 10 and van der Waals (and / or hydrogen bond) energy and shared attractive energy relative to the total adhesion energy. This is achieved by controlling This controlled bonding is achieved by FPD processing (wet, ultrasonic, vacuum, and thermal processes including temperatures above 400 ° C, in some cases 500 ° C or above, or 600 ° C and above, and processing temperatures up to 650 ° C. It is strong enough to withstand, and remains peelable by the application of sufficient separation force and by force that does not cause catastrophic damage to the thin sheet 20 and / or carrier 10. Such delamination allows for the removal of the thin sheet 20 and devices fabricated thereon and also allows the carrier 10 to be reused.

表面改質層30は、薄いシート20と担体10との間の中実層として示されているが、その必要はない。例えば、層30は、およそ0.1から2nmの厚さであってよく、結合表面14の全てを完全に覆っていなくてもよい。例えば、被覆率は、100%以下、1%から100%、10%から100%、20%から90%、または50%から90%であってよい。他の実施の形態において、層30は、10nmまでの厚さ、または他の実施の形態において、100nmまでの厚さでさえあってよい。表面改質層30は、たとえ担体10および薄いシート20の一方または他方と接触していなくてもよいが、担体10と薄いシート20との間に配置されると考えてよい。いずれにしても、表面改質層30の重要な特徴は、結合表面14の結合表面24に結合する能力を変え、それによって、担体10と薄いシート20との間の結合強度を制御することである。表面改質層30の材料と厚さ、並びに結合前の結合表面14、24の処理を使用して、担体10と薄いシート20との間の結合強度(付着エネルギー)を制御することができる。   Although the surface modification layer 30 is shown as a solid layer between the thin sheet 20 and the carrier 10, it need not be. For example, layer 30 may be approximately 0.1 to 2 nm thick and may not completely cover all of the binding surface 14. For example, the coverage may be 100% or less, 1% to 100%, 10% to 100%, 20% to 90%, or 50% to 90%. In other embodiments, layer 30 may be up to 10 nm thick, or in other embodiments even up to 100 nm thick. The surface modification layer 30 may not be in contact with one or the other of the carrier 10 and the thin sheet 20, but may be considered to be disposed between the carrier 10 and the thin sheet 20. In any case, an important feature of the surface modification layer 30 is that it changes the ability of the bonding surface 14 to bond to the bonding surface 24, thereby controlling the bond strength between the carrier 10 and the thin sheet 20. is there. The material and thickness of the surface modification layer 30 and the treatment of the bonding surfaces 14, 24 prior to bonding can be used to control the bond strength (adhesion energy) between the carrier 10 and the thin sheet 20.

一般に、2つの表面間の付着エネルギーは:   In general, the adhesion energy between two surfaces is:

(“A theory for the estimation of surface and interfacial energies. I. derivation and application to interfacial tension”, L. A. Girifalco and R. J. Good, J. Phys. Chem., V 61, p904)により与えられ、式中、γ1、γ2およびγ12は、それぞれ、表面1、表面2の表面エネルギーおよび表面1と2の界面エネルギーである。個々の表面エネルギーは、通常、2つの項:分散成分γd、および極性成分γpの組合せである: (“A theory for the estimation of surface and interfacial energies. I. derivation and application to interfacial tension”, LA Girifalco and RJ Good, J. Phys. Chem., V 61, p904), where γ 1 , Γ 2 and γ 12 are the surface energy of surface 1 and surface 2 and the interfacial energy of surfaces 1 and 2, respectively. The individual surface energy is usually a combination of two terms: a dispersion component γ d and a polar component γ p :

付着が、主に、ロンドン分散力(γd)および極性力、例えば、水素結合(γp)によるものである場合、界面エネルギーは: If the attachment is primarily due to London dispersion forces (γ d ) and polar forces, eg hydrogen bonds (γ p ), the interfacial energy is:

(上述した、Girifalco and R. J. Good)により与えられるであろう。 (Girifalco and R. J. Good, supra).

(1)に(3)を代入すると、付着エネルギーは:   Substituting (3) for (1), the adhesion energy is:

として近似計算できるであろう。上記式(4)において、付着エネルギーのファンデルワールス(および/または水素結合)成分のみが考慮されている。これらは、極性・極性相互作用(ケーソム)、極性・非極性相互作用(デバイ)および非極性・非極性相互作用(ロンドン)を含む。しかしながら、他の引力エネルギー、例えば、共有結合および静電結合も存在するであろう。そのため、さらなる一般化形式において、先の式は: Would be approximated as In the above equation (4), only the van der Waals (and / or hydrogen bond) component of the adhesion energy is considered. These include polar / polar interactions (Caesom), polar / nonpolar interactions (Debye) and nonpolar / nonpolar interactions (London). However, other attractive energies may exist, such as covalent bonds and electrostatic bonds. So, in a further generalized form, the previous equation is:

として記載され、式中、ωcおよびωeは、共有および静電付着エネルギーである。この共有付着エネルギーは、ウエハーの初期水素結合対が、シラノール・シラノール水素結合の多くまたは全てをSi−O−Si共有結合に転換させるほど高温に加熱される、シリコンウエハー結合におけるように、かなり一般的である。初期の室温での水素結合は、結合表面の分離を可能にする約100〜200mJ/m2程度の付着エネルギーを生じるのに対し、高温加工(およそ400から800℃)中に達成されるような完全に共有結合したウエハー対は、結合表面の分離をできなくする約1000〜3000mJ/m2の付着エネルギーを有する;代わりに、それら2つのウエハーはモノリスとして機能する。他方で、両方の表面が、下にある基板の影響を遮蔽するのに十分に大きい厚さで、表面エネルギーの低い材料、例えば、フルオロポリマーで完全に被覆されている場合、その付着エネルギーは、その被覆材料のものであろうし、非常に小さく、結合表面14、24の間の低付着をもたらすか、または全く付着をもたらさず、それにより、薄いシート20が担体10上で加工できなくなるであろう。2つの極端な場合を考える:(a)水素結合により(それにより、付着エネルギーは、約100〜200mJ/m2程度である)室温で互いに結合したシラノール基で飽和した2つのスタンダード・クリーン1(当該技術分野でSC1として知られている)洗浄されたガラス表面、これは後で、シラノール基をSi−O−Si共有結合に転換する(それにより、付着エネルギーは、1000〜3000mJ/m2になる)高温に加熱される。この後者の付着エネルギーは、ガラス表面の対が取り外し可能であるのに高すぎる;(2)室温で結合し、高温に加熱される、低表面付着エネルギー(表面当たり約12mJ/m2)を有するフルオロポリマーで完全に被覆された2つのガラス表面。この後者(b)の場合、表面が結合しない(表面がくっつけられたときの、約24mJ/m2の全付着エネルギーは、低すぎるので)だけでなく、極性反応基がまったくない(または少なすぎる)ので、高温でも結合しない。これらの2つの極端な場合の間で、所望の程度の制御された結合を生じることができる、ある範囲の、例えば、50〜1000mJ/m2の間の付着エネルギーが存在する。したがって、本出願の発明者等は、これら2つの極端な場合の間にある付着エネルギーをもたらし、FPD加工の厳しさを通じて互いに結合されたガラス基板の対(例えば、ガラス担体10および薄いガラスシート20)を維持するのに十分であるだけでなく、加工が完了した後、担体10から薄いシート20を取り外せる程度の(例えば、400℃以上の高温加工後でさえ)制御された結合を生じることができるように、調整可能な表面改質層30を提供する様々な方法を見出した。さらに、担体10からの薄いシート20の取り外しは、少なくとも薄いシート20に壊滅的な損傷がないような様式で、また好ましくは担体10に壊滅的な損傷がないように、機械力により行うことができる。 Where ω c and ω e are the covalent and electroadhesive energies. This covalent attachment energy is fairly common, as in silicon wafer bonds, where the initial hydrogen bond pair of the wafer is heated so high that it converts most or all of the silanol-silanol hydrogen bonds to Si-O-Si covalent bonds. Is. Initial hydrogen bonding at room temperature results in adhesion energies on the order of about 100-200 mJ / m 2 that allow separation of the bonding surfaces, as achieved during high temperature processing (approximately 400 to 800 ° C.). A fully covalently bonded wafer pair has an adhesion energy of about 1000 to 3000 mJ / m 2 that prevents separation of the bonded surfaces; instead, the two wafers function as a monolith. On the other hand, if both surfaces are thick enough to shield the influence of the underlying substrate and are fully coated with a low surface energy material, such as a fluoropolymer, the adhesion energy is It will be that of the coating material and will be very small and will result in low or no adhesion between the binding surfaces 14, 24, so that the thin sheet 20 cannot be processed on the carrier 10. Let's go. Consider two extreme cases: (a) Two standard clean 1s saturated with silanol groups attached to each other at room temperature (so that the adhesion energy is about 100-200 mJ / m 2 ). A cleaned glass surface (known in the art as SC1), which later converts silanol groups to Si—O—Si covalent bonds (so that the adhesion energy is 1000-3000 mJ / m 2 . It is heated to a high temperature. This latter adhesion energy is too high for a pair of glass surfaces to be removable; (2) has a low surface adhesion energy (about 12 mJ / m 2 per surface) that binds at room temperature and is heated to high temperatures. Two glass surfaces fully coated with fluoropolymer. In this latter case (b), not only does the surface not bind (because the total adhesion energy of about 24 mJ / m 2 when the surface is attached is too low), it also has no (or too little) polar reactive groups. Therefore, it does not bond even at high temperatures. There is a range of adhesion energies between these two extreme cases that can produce the desired degree of controlled bonding, for example between 50 and 1000 mJ / m 2 . Thus, the inventors of the present application provide a pair of glass substrates (eg, glass carrier 10 and thin glass sheet 20) that are bonded together through the severity of FPD processing, resulting in adhesion energy between these two extreme cases. A controlled bond that can be removed from the carrier 10 after processing is complete (eg, even after high temperature processing at 400 ° C. or higher). Various methods have been found to provide a tunable surface modification layer 30 as can. Furthermore, the removal of the thin sheet 20 from the carrier 10 can be carried out by mechanical force in such a way that at least the thin sheet 20 is not devastatingly damaged, and preferably the carrier 10 is not devastatingly damaged. it can.

式(5)は、付着エネルギーが、共有および静電エネルギーに加え(もしあれば)、4つの表面エネルギーパラメータの関数であることを記述している。   Equation (5) describes that the adhesion energy is a function of four surface energy parameters in addition to shared and electrostatic energy (if any).

適切な付着エネルギーは、表面改質剤、すなわち、表面改質層30の賢明な選択、および/または結合前の表面の熱処理によって、達成することができる。適切な付着エネルギーは、結合表面14および結合表面24のいずれか一方または両方の化学修飾剤の選択により得られるであろう。その化学修飾剤は、次に、ファンデルワールス(および/または水素結合、これらの用語は、明細書に亘り交換可能に使用される)付着エネルギー並びに高温加工(例えば、およそ400℃以上)から生じる起こり得る共有結合付着エネルギーの両方を制御する。例えば、SC1洗浄ガラスの結合表面(表面エネルギーの高極性成分を持つシラノール基で最初に飽和している)を選び、これを低エネルギーのフルオロポリマーで被覆すると、極性基および非極性基によって、その表面の部分被覆率が制御される。これは、室温での初期のファンデルワールス(および/または水素)結合の制御を提示するだけでなく、高温での共有結合の程度/度合いも制御する。室温での初期のファンデルワールス(および/または水素)結合の制御は、真空および/またはスピン・リンス・ドライ(SRD)タイプの処理を可能にする、一方の表面の他方の表面への結合、およびある場合には、一方の表面の他方の表面への容易に形成された結合を提供するように行われる。ここで、容易に形成された結合は、スキージにより、または減圧環境により、薄いシート20を担体10に押し付ける際に行われるように、薄いシート20の全面積に亘り外部から印加された力の適用なく、室温で行うことができる。すなわち、この初期ファンデルワールス結合は、薄いシートおよび担体が、一方が保持され、他方が重力に曝されることが可能な場合に分離しないように、それらを一緒に保持する、少なくとも最小の程度の結合を提供する。ほとんどの場合、初期ファンデルワールス(および/または水素)結合は、前記物品が、薄いシートが担体から剥離せずに、真空、SRD、および超音波処理を経験するような程度のものである。表面改質層30(それが製造される材料および/またはそれが施される表面の表面処理を含む)により、および/または結合表面を互いに結合する前のそれらの熱処理により、ファンデルワールス(および/または水素結合)および共有相互作用の両方の適切なレベルでのこの正確な制御は、FPDスタイルの加工中ずっと薄いシート20を担体10に結合するのを可能にすると同時に、FPDスタイルの加工後に薄いシート20を担体10から分離する(薄いシート20および/または担体への損傷を避ける適切な力により)のを可能にする所望の付着エネルギーを達成する。その上、適切な状況において、一方または両方のガラス表面を帯電させて、付着エネルギーの別のレベルの制御を与えることができるであろう。   Appropriate adhesion energy can be achieved by judicious selection of surface modifiers, i.e., surface modification layer 30, and / or heat treatment of the surface prior to bonding. Appropriate adhesion energy will be obtained by selection of chemical modifiers for either or both of the binding surface 14 and the binding surface 24. The chemical modifier then results from van der Waals (and / or hydrogen bonding, these terms are used interchangeably throughout the specification) attachment energy as well as high temperature processing (eg, above about 400 ° C.). Control both possible covalent bond energies. For example, choosing the binding surface of SC1 cleaned glass (which is initially saturated with silanol groups with a high polarity component of surface energy) and coating it with a low energy fluoropolymer will cause the polar and nonpolar groups to The partial coverage of the surface is controlled. This not only presents control of the initial van der Waals (and / or hydrogen) bonding at room temperature, but also controls the degree / degree of covalent bonding at high temperatures. Control of initial van der Waals (and / or hydrogen) bonding at room temperature allows bonding of one surface to the other, allowing vacuum and / or spin rinse dry (SRD) type processing, And, in some cases, to provide an easily formed bond from one surface to the other. Here, the easily formed bond is applied by an externally applied force over the entire area of the thin sheet 20 as is done when pressing the thin sheet 20 against the carrier 10 by a squeegee or by a reduced pressure environment. And can be carried out at room temperature. That is, this initial van der Waals bond is at least a minimal degree that holds the thin sheet and carrier together so that they do not separate if one can be held and the other can be exposed to gravity. Provides a bond. In most cases, the initial van der Waals (and / or hydrogen) bonds are such that the article experiences vacuum, SRD, and sonication without the thin sheet peeling from the support. By the surface modification layer 30 (including the surface treatment of the material from which it is produced and / or the surface on which it is applied) and / or by their heat treatment prior to bonding the bonding surfaces together, van der Waals (and This precise control at the appropriate level of both (and / or hydrogen bonding) and covalent interaction allows the thin sheet 20 to be bonded to the carrier 10 throughout FPD style processing, while at the same time after FPD style processing. A desired adhesion energy is achieved that allows the thin sheet 20 to be separated from the carrier 10 (with appropriate force to avoid damage to the thin sheet 20 and / or the carrier). Moreover, in appropriate circumstances, one or both glass surfaces could be charged to provide another level of control of adhesion energy.

FPD加工、例えば、p−Siおよび酸化物TFT製造は、典型的に、表面改質層30のない状態での、薄いガラスシート20のガラス担体10とのガラス対ガラスの結合を生じるであろう、400℃超、500℃超、およびある場合には、600℃以上、650℃までの温度での熱加工を含む。したがって、Si−O−Si結合の形成を制御することにより、再利用できる担体がもたらされる。高温でのSi−O−Si結合の形成を制御する方法の1つは、結合すべき表面上の表面ヒドロキシルの濃度を減少させることである。   FPD processing, such as p-Si and oxide TFT fabrication, will typically result in glass-to-glass bonding of the thin glass sheet 20 to the glass carrier 10 without the surface modification layer 30. , Over 400 ° C., over 500 ° C., and in some cases, thermal processing at temperatures of 600 ° C. Thus, controlling the formation of Si—O—Si bonds results in a reusable carrier. One way to control the formation of Si—O—Si bonds at high temperatures is to reduce the concentration of surface hydroxyls on the surfaces to be bonded.

温度の関数としてのシリカ上の表面ヒドロキシル濃度のIlerのプロット(R. K. Iller: The Chemistry of Silica (Wiley-Interscience, New York, 1979)である、図3に示されるように、平方nm当たりのヒドロキシル(OH基)の数は、表面温度の上昇と共に減少する。このように、シリカ表面(および例示として、ガラス表面、例えば、結合表面14および/または結合表面24)を加熱すると、表面ヒドロキシルの濃度が減少し、2つのガラス表面上のヒドロキシルが相互作用する確率が低下する。表面ヒドロキシルの濃度のこの減少は、次に、単位面積当たりに形成されるSi−O−Si結合を減少させ、接着力を低下させる。しかしながら、表面ヒドロキシルをなくすには、高温(表面ヒドロキシルを完全になくすためには、750℃超)での長いアニール時間が必要である。そのような長いアニール時間および高いアニール温度は、高価なプロセスとなり、典型的なディスプレイ用ガラスの歪み点を超えそうであるので、実用的ではないプロセスとなる。   Iller plot of surface hydroxyl concentration on silica as a function of temperature (RK Iller: The Chemistry of Silica (Wiley-Interscience, New York, 1979)), as shown in FIG. Thus, heating the silica surface (and illustratively, the glass surface, eg, the binding surface 14 and / or the binding surface 24) reduces the concentration of surface hydroxyls with increasing surface temperature. This reduces the probability that hydroxyls on the two glass surfaces will interact, which in turn reduces the Si—O—Si bonds formed per unit area and reduces the adhesion force. However, to eliminate surface hydroxyls, long temperatures at high temperatures (above 750 ° C. to completely eliminate surface hydroxyls) Lumpur time is required. Such long annealing times and higher annealing temperatures becomes a costly process, because it is typical to exceed the strain point of the glass for a display, the impractical process.

先の分析から、本発明者等は、FPD加工(LTPS加工を含む)に適した、薄いシートおよび担体を備えた物品を、以下の3つの概念を釣り合わせることによって、製造できることを発見した:
(1)初期の室温結合を促進し、非高温FPDプロセス、例えば、真空処理、SRD処理、および/または超音波処理に耐えるのに十分な適度の付着エネルギー(例えば、表面を結合させる前に、表面当たり40mJ/m2超の表面エネルギーを有する)を生じるために、ファンデルワールス(および/または水素)結合を制御することにより行うことのできる、初の期室温結合を制御することによる、担体および/または薄いシートの結合表面の改質;
(2)剥離および/またはデバイス製造における許容できない汚染、例えば、物品が使用されるであろう半導体および/またはディスプレイ製造プロセスに許容できない汚染を生じ得るガス放出なく、FPDプロセスに耐えられるほど熱的に安定な様式での、担体および/または薄いシートの表面改質;および
(3)担体表面のヒドロキシル濃度、および高温(例えば、400℃以上の温度)で強力な共有結合を形成できる他の種の濃度を制御することにより行うことができ、それにより、高温加工後でさえ(特に、FPDプロセスにおけるような、500〜650℃の範囲での熱プロセスを通じて)、担体と薄いシートとの間の接着力が、少なくとも薄いシートを損傷しない(および好ましくは薄いシートまたは担体のいずれも損傷しない)分離力で薄いシートを担体から剥離できるが、それでも、担体および薄いシートが加工中に剥離しないようにそれらの間の結合を維持するのに十分である範囲内に維持されるように、担体および薄いシートの結合表面間の結合エネルギーを制御できる、高温での結合の制御。
From the previous analysis, we have discovered that an article with a thin sheet and carrier suitable for FPD processing (including LTPS processing) can be produced by balancing the following three concepts:
(1) moderate adhesion energy sufficient to promote initial room temperature bonding and withstand non-high temperature FPD processes such as vacuum processing, SRD processing, and / or sonication (e.g., prior to bonding surfaces) By controlling the initial initial room temperature bonding, which can be done by controlling van der Waals (and / or hydrogen) bonding to produce a surface energy of greater than 40 mJ / m 2 per surface) And / or modification of the bonding surface of the thin sheet;
(2) Thermal enough to withstand an FPD process without degassing and / or unacceptable contamination in device manufacturing, for example, outgassing that may cause unacceptable contamination in the semiconductor and / or display manufacturing process where the article will be used. Surface modification of the support and / or thin sheet in a highly stable manner; and (3) hydroxyl concentration on the support surface and other species capable of forming strong covalent bonds at high temperatures (eg, temperatures above 400 ° C.) Between the support and the thin sheet, even after high temperature processing (especially through a thermal process in the range of 500-650 ° C., as in the FPD process). Adhesive strength will not damage at least the thin sheet (and preferably neither the thin sheet nor the carrier will be damaged) ) The carrier can be separated so that the thin sheet can be peeled from the carrier, but still remains within a range that is sufficient to maintain the bond between the carrier and the thin sheet so that they do not peel during processing. And high temperature bond control that can control the bond energy between the bond surfaces of thin sheets.

さらに、本発明者等は、必要に応じて、結合表面の処理と共に、表面改質層30を使用して、制御された結合区域、すなわち、物品2をFPDタイプのプロセス(真空およびウェットプロセスを含む)において加工できるように、薄いシート20と担体10との間に十分な室温結合を提供するが、それでも、物品2が高温加工、例えば、FPDタイプの加工またはLTPS加工を終えた後に、薄いシート20を担体10から除去できる(少なくとも薄いシートに損傷を与えずに、そして好ましくは担体にも損傷を与えずに)ように、薄いシート20と担体10との間の共有結合を制御する(400℃以上の高温でさえも)結合区域を容易に達成するように、上述した概念を釣り合わせることができることを発見した。FPD加工に適した再利用できる担体を提供するであろう、潜在的な結合表面処理、および表面改質層を評価するために、一連の試験を使用した。異なるFPD分野は異なる要件を有するが、LTPSおよび酸化物TFTプロセスは、この時点で最も厳しいようであり、それゆえ、これらのプロセスは、物品2の望ましい用途であるので、これらのプロセスにおける工程を代表する試験を選択した。真空プロセス、ウェット洗浄(SRDおよび超音波タイプのプロセスを含む)およびウェットエッチングは、多くのFPD分野に共通している。典型的なaSi TFT製造は、320℃までの加工を必要とする。酸化物TFTプロセスにおいては400℃でのアニールが使用されるのに対し、LTPS加工においては600℃を超える結晶化工程およびドーパント活性化工程が使用される。したがって、特定の結合表面処理および表面改質層30が、FPD加工中ずっと薄いシート20を担体10に結合したままにできる一方で、そのような加工(400℃以上の温度での加工を含む)後に薄いシート20を担体10から取り外せる(薄いシート20および/または担体10に損傷を与えずに)であろう傾向を評価するために、以下の5つの試験を使用した。それらの試験を順番に行い、サンプルは、それに続く試験を行えないであろうタイプの破損がない限り、ある試験から次の試験に進めた。   In addition, the inventors have used a surface modification layer 30 along with the treatment of the bonding surface, if necessary, to control the bonded area, ie, the article 2 to an FPD type process (vacuum and wet processes). Provide sufficient room temperature bonding between the thin sheet 20 and the carrier 10, but still after the article 2 has undergone high temperature processing, eg, FPD type processing or LTPS processing. The covalent bond between the thin sheet 20 and the carrier 10 is controlled so that the sheet 20 can be removed from the carrier 10 (at least without damaging the thin sheet and preferably without damaging the carrier). It has been found that the above-described concept can be balanced to easily achieve a bond zone (even at temperatures higher than 400 ° C.). A series of tests were used to evaluate potential bonded surface treatments and surface modified layers that would provide a reusable support suitable for FPD processing. Although different FPD fields have different requirements, LTPS and oxide TFT processes appear to be the most demanding at this point, and therefore these processes are desirable applications for article 2, so the steps in these processes A representative test was selected. Vacuum processes, wet cleaning (including SRD and ultrasonic type processes) and wet etching are common to many FPD fields. Typical aSi TFT fabrication requires processing up to 320 ° C. In the oxide TFT process, annealing at 400 ° C. is used, whereas in LTPS processing, a crystallization step and a dopant activation step exceeding 600 ° C. are used. Thus, certain bonding surface treatments and surface modification layers 30 can leave the thin sheet 20 bonded to the carrier 10 throughout FPD processing while such processing (including processing at temperatures above 400 ° C.). To evaluate the tendency that the thin sheet 20 could later be removed from the carrier 10 (without damaging the thin sheet 20 and / or the carrier 10), the following five tests were used. Those tests were conducted in order, and the samples were advanced from one test to the next unless there was a type of breakage that would not allow subsequent tests.

(1)真空試験。真空適合性試験は、STS Multiplex PECVDロードロック(英国、ニューポート所在のSPTS社から入手した)内で行った−このロードロックは、軟質ポンプ弁を備えたEbara A10Sドライポンプ(カリフォルニア州、サクラメント所在のEbara Technologies Inc.社から入手した)によりポンプで吸い出した。サンプルをロードロックに入れ、次いで、ロードロックを、45秒で大気圧から70ミリトル(約9.3Pa)まで吸い出した。(a)担体と薄いシートとの間の付着の損失(裸眼による目視検査により、薄いシートが担体から剥がれ落ちた、またはそこから部分的に剥離した場合に、不具合が生じたと考えた);(b)担体と薄いシートとの間の気泡発生(裸眼による目視検査により決定した−サンプルを処理の前後に写真撮影し、次いで、比較し、肉眼で見える寸法で欠陥のサイズが増加した場合に、不具合が生じたと決定した);または(c)担体に対する薄いシートの移動(裸眼による目視観測により決定−サンプルを試験の前後に写真撮影し、接着欠陥、例えば、気泡が移動した場合、またはエッジが剥離した場合、または担体上で薄いシートが移動した場合、不具合が生じたと考えた)がある場合、下記の表の「真空」の列に「F」の表記により示される不可となったと考えた。下記の表において、「真空」の列の「P」の表記は、サンプルが、上述した基準により不可とならなかったことを示す。   (1) Vacuum test. The vacuum compatibility test was performed in an STS Multiplex PECVD loadlock (obtained from SPTS, Newport, UK)-this loadlock was an Ebara A10S dry pump with a soft pump valve (Sacramento, CA). From Ebara Technologies Inc.). The sample was placed in the load lock, and then the load lock was aspirated from atmospheric pressure to 70 millitorr (about 9.3 Pa) in 45 seconds. (A) Loss of adhesion between the carrier and the thin sheet (considerable failure occurred when the thin sheet was peeled off from the carrier or partially peeled off by visual inspection with the naked eye); b) Bubble formation between the carrier and the thin sheet (determined by visual inspection with the naked eye—samples were photographed before and after processing and then compared, if the size of the defect increases with visible dimensions, Or (c) moving the thin sheet relative to the carrier (determined by visual observation with the naked eye-the sample was photographed before and after the test and adhesion defects such as bubbles moving or edges were In the case of peeling, or when a thin sheet moves on the carrier, it is considered that a defect has occurred). It was thought to have become. In the table below, the notation “P” in the “vacuum” column indicates that the sample was not disabled by the criteria described above.

(2)ウェットプロセス試験。ウェットプロセス適合性試験は、SemitoolモデルSRD−470S(カリフォルニア州、サンタクララ所在のApplied Materials社から入手した)を使用して行った。その試験は、60秒間の500rpmでのリンス、500rpmでの15MΩ・cmへのQリンス、10秒間の500rpmでのパージ、90秒間の1800rpmでの乾燥、および180秒間の温かい窒素流動下での2400rpmでの乾燥からなった。(a)担体と薄いシートとの間の付着の損失(裸眼による目視検査により、薄いシートが担体から剥がれ落ちた、またはそこから部分的に剥離した場合に、不具合が生じたと考えた);(b)担体と薄いシートとの間の気泡発生(裸眼による目視検査により決定した−サンプルを処理の前後に写真撮影し、次いで、比較し、肉眼で見える寸法で欠陥のサイズが増加した場合に、不具合が生じたと決定した);または(c)担体に対する薄いシートの移動(裸眼による目視観測により決定−サンプルを試験の前後に写真撮影し、接着欠陥、例えば、気泡が移動した場合、またはエッジが剥離した場合、または担体上で薄いシートが移動した場合、不具合が生じたと考えた);もしくは(d)薄いシートの下への透水(50倍の光学顕微鏡による目視検査により決定、液体または残留物が観察できた場合、不具合が生じたと決定した)がある場合、下記の表の「SRD」の列の「F」の表記により表される不可となったと考えた。下記の表において、「真空」の列の「P」の表記は、サンプルが、上述した基準により不可とならなかったことを示す。   (2) Wet process test. Wet process suitability testing was performed using Semitool model SRD-470S (obtained from Applied Materials, Santa Clara, Calif.). The test consisted of rinsing at 500 rpm for 60 seconds, Q rinsing to 15 MΩ · cm at 500 rpm, purging at 500 rpm for 10 seconds, drying at 1800 rpm for 90 seconds, and 2400 rpm under warm nitrogen flow for 180 seconds. Drying in. (A) Loss of adhesion between the carrier and the thin sheet (considerable failure occurred when the thin sheet was peeled off from the carrier or partially peeled off by visual inspection with the naked eye); b) Bubble formation between the carrier and the thin sheet (determined by visual inspection with the naked eye—samples were photographed before and after processing and then compared, if the size of the defect increases with visible dimensions, Or (c) moving the thin sheet relative to the carrier (determined by visual observation with the naked eye-the sample was photographed before and after the test and adhesion defects such as bubbles moving or edges were When peeling or when the thin sheet moved on the carrier, it was considered that a malfunction occurred; or (d) water permeability under the thin sheet (by 50 times optical microscope) If it is determined by visual inspection, liquid or residue can be observed, and it is determined that a defect has occurred), it is considered impossible to be represented by the notation “F” in the “SRD” column of the table below It was. In the table below, the notation “P” in the “vacuum” column indicates that the sample was not disabled by the criteria described above.

(3)400℃までの温度試験。400℃プロセスの適合性試験は、Alwin21 Accuthermo610 RTP(カリフォルニア州、サンタクララ所在のAlwin21社から入手した)を使用して行った。薄いシートを結合させた担体を、6.2℃/分で室温から400℃に槽内で加熱し、600秒間に亘り400℃で保持し、300℃まで1℃/分で冷却した。次いで、担体および薄いシートを室温まで冷ました。(a)担体と薄いシートとの間の付着の損失(裸眼による目視検査により、薄いシートが担体から剥がれ落ちた、またはそこから部分的に剥離した場合に、不具合が生じたと考えた);(b)担体と薄いシートとの間の気泡発生(裸眼による目視検査により決定した−サンプルを処理の前後に写真撮影し、次いで、比較し、肉眼で見える寸法で欠陥のサイズが増加した場合に、不具合が生じたと決定した);または(c)担体と薄いシートとの間の増加した付着、そのような増加した付着により、薄いシートまたは担体に損傷を与ない、薄いシートの担体からの剥離が妨げられる(薄いシートと担体との間のカミソリの刃の挿入により、および/または薄いシートにKapton(商標)テープ(ニューヨーク州、フージック所在のSaint Gobain Performance Plastic社からのK102シリーズ)の一片(100平方ミリメートルの薄いガラスに2〜3インチ(約5〜7.5cm)貼り付けた1インチ(約2.5cm)幅×6インチ(約15cm)長)を貼り付け、そのテープを引っぱることにより)、薄いシートまたは担体を分離する試みをした際に、それらに損傷があった場合、または剥離方法のいずれを行っても、薄いシートおよび担体が剥離できなかった場合、不具合が生じたと考えた:がある場合、下記の表の「400℃」の列の「F」の表記により表される不可となったと考えた。その上、薄いシートを担体に結合した後であって、熱サイクル前に、代表的なサンプルに剥離試験を行って、いずれの関連した表面処理も含む、特定の材料が、熱サイクル前に薄いシートを担体から剥離できることを判定した。下記の表において、「400℃」の列の「P」の表記は、サンプルが、上述した基準により不可とならなかったことを示す。   (3) Temperature test up to 400 ° C. The suitability test for the 400 ° C. process was performed using Alwin 21 Accuthermo 610 RTP (obtained from Alwin 21 of Santa Clara, Calif.). The thin sheet bonded carrier was heated in a bath from room temperature to 400 ° C. at 6.2 ° C./min, held at 400 ° C. for 600 seconds, and cooled to 300 ° C. at 1 ° C./min. The carrier and thin sheet were then cooled to room temperature. (A) Loss of adhesion between the carrier and the thin sheet (considerable failure occurred when the thin sheet was peeled off from the carrier or partially peeled off by visual inspection with the naked eye); b) Bubble formation between the carrier and the thin sheet (determined by visual inspection with the naked eye—samples were photographed before and after processing and then compared, if the size of the defect increases with visible dimensions, Or (c) increased adhesion between the carrier and the thin sheet, such increased adhesion may cause the thin sheet or carrier to delaminate without damaging the thin sheet or carrier. Blocked (by insertion of a razor blade between the thin sheet and the carrier and / or on the thin sheet with Kapton ™ tape (Sain, Hosick, NY) A piece of K102 series from Gobain Performance Plastic (1 inch (about 2.5 cm) wide x 6 inch (about 15 cm) affixed 2-3 inches (about 5 to 7.5 cm) on 100 mm2 thin glass) Long sheet) and by pulling the tape), if an attempt is made to separate the thin sheet or carrier, the thin sheet and carrier will be If it could not be peeled off, it was considered that a defect occurred: if there was, it was considered that it became impossible to be represented by the notation “F” in the column of “400 ° C.” in the table below. In addition, after bonding a thin sheet to a carrier and prior to thermal cycling, a representative sample is subjected to a peel test to ensure that certain materials, including any associated surface treatment, are thin prior to thermal cycling. It was determined that the sheet could be peeled from the carrier. In the table below, the notation “P” in the column “400 ° C.” indicates that the sample was not disabled by the criteria described above.

(4)600℃までの温度試験。600℃プロセスの適合性試験は、Alwin21 Accuthermo610 RTPを使用して行った。薄いシートを有する担体を、9.5℃/分で室温から600℃に槽内で加熱し、600秒間に亘り600℃で保持し、300℃まで1℃/分で冷却した。次いで、担体および薄いシートを室温まで冷ました。(a)担体と薄いシートとの間の付着の損失(裸眼による目視検査により、薄いシートが担体から剥がれ落ちた、またはそこから部分的に剥離した場合に、不具合が生じたと考えた);(b)担体と薄いシートとの間の気泡発生(裸眼による目視検査により決定した−サンプルを処理の前後に写真撮影し、次いで、比較し、肉眼で見える寸法で欠陥のサイズが増加した場合に、不具合が生じたと決定した);または(c)担体と薄いシートとの間の増加した付着、そのような増加した付着により、薄いシートまたは担体に損傷を与えずに、薄いシートの担体からの剥離が妨げられる(薄いシートと担体との間のカミソリの刃の挿入により、および/または薄いシートに上述した「Kapton」テープの一片を貼り付け、そのテープを引っぱることにより)、薄いシートまたは担体を分離する試みをした際に、それらに損傷があった場合、または剥離方法のいずれを行っても、薄いシートおよび担体が剥離できなかった場合、不具合が生じたと考えた:がある場合、下記の表の「600℃」の列の「F」の表記により表される不可となったと考えた。その上、薄いシートを担体に結合した後であって、熱サイクル前に、代表的なサンプルに剥離試験を行って、いずれの関連した表面処理も含む、特定の材料が、熱サイクル前に薄いシートを担体から剥離できることを判定した。下記の表において、「600℃」の列の「P」の表記は、サンプルが、上述した基準により不可とならなかったことを示す。   (4) Temperature test up to 600 ° C. The suitability test for the 600 ° C. process was performed using Alwin21 Accuthermo610 RTP. The carrier with a thin sheet was heated in a bath from room temperature to 600 ° C. at 9.5 ° C./min, held at 600 ° C. for 600 seconds, and cooled to 300 ° C. at 1 ° C./min. The carrier and thin sheet were then cooled to room temperature. (A) Loss of adhesion between the carrier and the thin sheet (considerable failure occurred when the thin sheet was peeled off or partially peeled off from the carrier by visual inspection with the naked eye); b) Bubble formation between the carrier and the thin sheet (determined by visual inspection with the naked eye—samples were photographed before and after processing and then compared, if the size of the defect increases with visible dimensions, Or (c) increased adhesion between the carrier and the thin sheet, such increased adhesion would cause the thin sheet or carrier to peel off without damaging the thin sheet or carrier. A piece of “Kapton” tape as described above by inserting a razor blade between the thin sheet and the carrier and / or on the thin sheet and pulling the tape If the thin sheet or carrier was damaged when attempting to separate the thin sheet or carrier, or if the thin sheet and carrier could not be peeled by any of the peeling methods, a failure occurred. Thought: When there was, it was considered impossible to be represented by the notation “F” in the column of “600 ° C.” in the table below. In addition, after bonding a thin sheet to a carrier and prior to thermal cycling, a representative sample is subjected to a peel test to ensure that certain materials, including any associated surface treatment, are thin prior to thermal cycling. It was determined that the sheet could be peeled from the carrier. In the table below, the notation “P” in the column “600 ° C.” indicates that the sample was not disabled by the criteria described above.

(5)超音波試験。超音波適合性試験は、4つのタンクのラインで物品を洗浄することにより行った。ここでは、タンク#1からタンク#4の連続したタンクの各々の中で物品を処理した。4つのタンクの各々に関するタンク寸法は、18.4インチ(約47cm)長×10インチ(約25cm)幅×15インチ(約38cm)深さであった。2つの洗浄タンク(#1および#2)は、50℃の脱イオン水中の日本国、横浜市所在の横浜油脂工業株式会社から入手した1% Semiclean KGを収容していた。洗浄タンク#1は、NEY prosonik 2 104kHz超音波発生装置(ニューヨーク州、ジェームズタウン所在のBlackstone−NEY Ultrasonics社から入手した)により撹拌し、洗浄タンク#2も、NEY prosonik 2 104kHz超音波発生装置により撹拌した。2つの濯ぎタンク(タンク#3およびタンク#4)は、50℃の脱イオン水を収容していた。洗浄タンク#3は、NEY sweepsonik 2D 72kHz超音波発生装置により撹拌し、洗浄タンク#4は、NEY sweepsonik 2D 104kHz超音波発生装置により撹拌した。プロセスは、タンク#1〜4の各々で10分間に亘り行い、その後、サンプルをタンク#4から取り出した後に、スピン・リンス・ドライ(SRD)を行った。(a)担体と薄いシートとの間の付着の損失(裸眼による目視検査により、薄いシートが担体から剥がれ落ちた、またはそこから部分的に剥離した場合に、不具合が生じたと考えた);(b)担体と薄いシートとの間の気泡発生(裸眼による目視検査により決定した−サンプルを処理の前後に写真撮影し、次いで、比較し、肉眼で見える寸法で欠陥のサイズが増加した場合に、不具合が生じたと決定した);または(c)他の巨視的(gross)欠陥の形成(50倍の光学顕微鏡による目視検査により決定、薄いガラスと担体との間に、前に観察されなかった粒子が捕捉された場合、不具合が生じたと考えた);もしくは(d)薄いシートの下への透水(50倍の光学顕微鏡による目視検査により決定、液体または残留物が観察できた場合、不具合が生じたと決定した)がある場合、下記の表の「超音波」の列に「F」の表記により示される不可となったと考えた。下記の表において、「超音波」の列の「P」の表記は、サンプルが、上述した基準により不可とならなかったことを示す。その上、下記の表において、「超音波」の列の空欄は、サンプルがこの様式で試験されなかったことを示す。   (5) Ultrasonic test. The ultrasonic compatibility test was performed by washing the article in a line of 4 tanks. Here, articles were processed in each of successive tanks from tank # 1 to tank # 4. The tank dimensions for each of the four tanks were 18.4 inches long x 10 inches wide x 15 inches deep. The two wash tanks (# 1 and # 2) contained 1% Semiclean KG obtained from Yokohama Oil & Fat Co., Ltd., Yokohama, Japan, in 50 ° C. deionized water. Wash tank # 1 was agitated by a NEY prosonic 2 104 kHz ultrasonic generator (obtained from Blackstone-NEY Ultrasonics, Jamestown, NY), and wash tank # 2 was also a Ny prosonic 2 104 kHz ultrasonic generator. Stir. Two rinse tanks (Tank # 3 and Tank # 4) contained 50 ° C. deionized water. Wash tank # 3 was agitated with a NEE sweepsonic 2D 72 kHz ultrasonic generator, and wash tank # 4 was agitated with a NEE sweepsonic 2D 104 kHz ultrasonic generator. The process was run for 10 minutes in each of tanks # 1-4, after which the sample was removed from tank # 4 and then spin-rinse-dried (SRD). (A) Loss of adhesion between the carrier and the thin sheet (considerable failure occurred when the thin sheet was peeled off from the carrier or partially peeled off by visual inspection with the naked eye); b) Bubble formation between the carrier and the thin sheet (determined by visual inspection with the naked eye—samples were photographed before and after processing and then compared, if the size of the defect increases with visible dimensions, Or (c) formation of other gross defects (determined by visual inspection with a 50x optical microscope, particles not previously observed between thin glass and carrier) (D) Permeability under a thin sheet (determined by visual inspection with a 50x optical microscope, if a liquid or residue could be observed, In the following table, the “ultrasonic” column indicated that it was indicated as “F”. In the table below, the notation “P” in the “Ultrasound” column indicates that the sample was not disabled by the criteria described above. In addition, in the table below, the blank in the “Ultrasound” column indicates that the sample was not tested in this manner.

結合エネルギー試験
結合エネルギーは、薄いシートを担体から分離するためにかかるエネルギーである。結合エネルギーは、様々な異なる様式で測定してよい。しかしながら、ここに用いたように、結合エネルギーは以下のように測定した。
Binding energy test The binding energy is the energy it takes to separate the thin sheet from the carrier. The binding energy may be measured in a variety of different ways. However, as used herein, the binding energy was measured as follows.

結合エネルギーは、二重片持ち梁法(ウェッジ法としても知られている)を使用して測定した。この方法において、公知の厚さの楔を、結合された薄いシートと担体ガラスとの間に、ある角度で配置する。その楔により、特徴剥離距離Lが生じる。この剥離距離を測定し、使用して、式6の結合エネルギーγBEを計算する: The binding energy was measured using the double cantilever method (also known as the wedge method). In this method, a known thickness of wedge is placed at an angle between the bonded thin sheet and the carrier glass. The wedge causes a characteristic peeling distance L. This peel distance is measured and used to calculate the binding energy γ BE of Equation 6:

EXG組成物の担体(1)および薄いシート(2)の両方に関するヤング率Eは、73.6GPaであった。担体の典型的な厚さts1は、0.7mmであり、薄いシートの厚さts2は、0.13mmであった。95μmの厚さtwからなる楔に、Martor 37010.20カミソリの刃を使用した。結合エネルギーが非常に高いサンプルは、別の楔で予め割った。これにより、楔の挿入および特徴剥離長さの形成が容易になった。報告された結合エネルギーデータについて、2500の値は、試験限界条件を表し、その特定のサンプルについては、薄いシートが担体から剥離できなかったことを示す。 The Young's modulus E for both the EXG composition carrier (1) and thin sheet (2) was 73.6 GPa. The typical thickness t s1 of the carrier was 0.7 mm and the thickness t s2 of the thin sheet was 0.13 mm. The wedge having a thickness of t w of 95 .mu.m, were used blade Martor 37,010.20 razor. Samples with very high binding energy were pre-divided with another wedge. This facilitates the insertion of the wedge and the formation of the characteristic peel length. For the reported binding energy data, a value of 2500 represents the test limit condition, indicating that for that particular sample, a thin sheet could not be peeled from the carrier.

加熱によるヒドロキシル減少を通じての結合表面の処理
物品2がFPD加工をうまく経験できるように(すなわち、薄いシート20が、加工中に担体10に結合したままであるが、それでも高温加工を含む加工後に担体10から分離できる場合)表面改質層30で結合表面14、24の1つ以上を改質する恩恵が、間に表面改質層30を持たないガラス担体10および薄いガラスシート20を有する物品2を処理することによって示された。詳しくは、最初に、表面改質層30を持たないが、加熱して、ヒドロキシル基を減少させることによる、結合表面14、24の処理を試した。担体10および薄いシート20を洗浄し、結合表面14および24を互いに結合し、次いで、物品2を試験した。結合のためにガラスを処理するための典型的な洗浄プロセスは、ガラスを希釈過酸化水素および塩基(通常は、水酸化アンモニウムであるが、水酸化テトラメチルアンモニウム溶液、例えば、JT Baker JTB−100またはJTB−111も使用してよい)中で洗浄する、SC1洗浄プロセスである。洗浄により、結合表面から粒子が除去され、表面エネルギーが分かる、すなわち、表面エネルギーの基準が与えられる。洗浄様式でSC1である必要はなく、洗浄のタイプは、表面上のシラノール基に極わずかな影響しかないようであるので、他のタイプの洗浄を使用してもよい。様々な試験の結果が、下記の表1に述べられている。
The treated surface 2 of the bonded surface through hydroxyl reduction by heating can successfully experience FPD processing (ie, the thin sheet 20 remains bonded to the support 10 during processing but still after processing including high temperature processing. The benefit of modifying one or more of the binding surfaces 14, 24 with the surface modification layer 30 is the article 2 having the glass carrier 10 and the thin glass sheet 20 without the surface modification layer 30 in between. Indicated by processing. Specifically, first, the treatment of the binding surfaces 14 and 24 was tried without the surface modification layer 30 but by heating to reduce hydroxyl groups. The carrier 10 and the thin sheet 20 were washed, the bonding surfaces 14 and 24 were bonded together, and then the article 2 was tested. A typical cleaning process for treating glass for bonding involves diluting the glass with hydrogen peroxide and base (usually ammonium hydroxide, but tetramethylammonium hydroxide solution such as JT Baker JTB-100. (Or JTB-111 may also be used). Washing removes particles from the binding surface and provides a surface energy reference, ie, a measure of surface energy. Other types of cleaning may be used, as the cleaning mode does not have to be SC1 and the type of cleaning appears to have a negligible effect on the silanol groups on the surface. The results of various tests are set forth in Table 1 below.

強力であるが、分離可能な初期の室温での結合、またはファンデルワールスおよび/または水素結合を、各々Eagle XG(登録商標)ディスプレイ用ガラス(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した平均表面粗さRaが0.2nm程度の無アルカリアルミノホウケイ酸塩ガラス)から構成された、100平方mm×100マイクロメートル厚の薄いガラスシート、および0.50または0.63mm厚の直径150mmのシングル・ミーン・フラット(single mean flat)(SMF)ウエハーのガラス担体を洗浄するだけで生じさせた。この例において、40:1:2の脱イオン水:JTB−111:過酸化水素の65℃浴中でガラスを10分間に亘り洗浄した。この薄いガラスまたはガラス担体は、残留水を除去するために、400℃で10分間に亘り窒素中でアニールされていてもいなくてもよい−下記の表1の「担体」の列または「薄いガラス」の列における「400℃」の表記は、サンプルを、400℃で10分間に亘り窒素中でアニールしたことを表す。FPDプロセス適合性試験は、このSC1−SC1初期、室温、結合が、真空、SRDおよび超音波試験に合格するのに十分に機械的に強力であることを示している。しかしながら、400℃以上での加熱は、薄いガラスと担体との間に永久的な結合を形成した、すなわち、薄いガラスシートは、薄いガラスシートおよび担体のいずれか一方または両方を損傷せずには、担体から除去できなかった。そして、これは、表面ヒドロキシルの濃度を減少させるために、担体および薄いガラスの各々にアニール工程を行った、例1cについてさえも、そうであった。したがって、加熱のみによる、結合表面14、24の上述した処理、次いで表面改質層30を用いない、担体10および薄いシート20の結合は、温度が400℃以上になるFPDプロセスにとって、適切に制御された結合ではない。   Strong but separable initial room temperature bonds, or van der Waals and / or hydrogen bonds, each averaged from Eagle XG® display glass (Corning Incorporated, Corning, NY) A thin glass sheet of 100 square mm × 100 μm thickness, and a single of 150 mm in diameter with a thickness of 0.50 or 0.63 mm, made of a non-alkali aluminoborosilicate glass having a surface roughness Ra of about 0.2 nm • Generated by simply cleaning the glass support of a single mean flat (SMF) wafer. In this example, the glass was cleaned in a 65 ° C. bath of 40: 1: 2 deionized water: JTB-111: hydrogen peroxide for 10 minutes. This thin glass or glass support may or may not be annealed in nitrogen for 10 minutes at 400 ° C. to remove residual water—the “support” column in Table 1 below or “thin glass” The “400 ° C.” notation in the column indicates that the sample was annealed in nitrogen at 400 ° C. for 10 minutes. FPD process compatibility testing shows that this SC1-SC1 initial, room temperature, bond is mechanically strong enough to pass vacuum, SRD and ultrasonic testing. However, heating above 400 ° C formed a permanent bond between the thin glass and the carrier, i.e., the thin glass sheet does not damage either or both of the thin glass sheet and the carrier. , Could not be removed from the carrier. And this was true even for Example 1c, where the support and thin glass were each annealed to reduce the concentration of surface hydroxyl. Therefore, the above-described treatment of the bonding surfaces 14, 24 by heating alone, and then the bonding of the carrier 10 and the thin sheet 20 without using the surface modification layer 30, is appropriately controlled for the FPD process where the temperature is 400 ° C. or higher. Is not a combined.

ヒドロキシルの減少および表面改質層による結合表面の処理
例えば、熱処理によるような、ヒドロキシルの減少、および表面改質層30を一緒に使用して、結合表面14、24の相互作用を制御してもよい。例えば、結合表面14、24の結合エネルギー(極性/分散エネルギー成分による、室温でのファンデルワールスおよび/または水素結合、並びに共有エネルギー成分による高温での共有結合の両方)は、室温での結合が難しい強度から、−高温加工後に−損傷なく表面を分離するのを妨げる強度まで、様々な結合強度を提供するように、制御することができる。いくつかの用途において、結合が非常に弱いか全くない(表面が「非結合」領域内にある場合、「非結合」領域が特許文献1の薄いシート/担体の概念に記載され、下記に記載されているような場合)ことが望ましいであろう。他の用途において、例えば、FPDプロセスなど(500℃以上、または600℃以上、かつ650℃までのプロセス温度となることがある)のための再利用できる担体を提供する場合、最初に薄いシートおよび担体を一緒にくっつけるのに十分な、室温でのファンデルワールスおよび/または水素結合を有し、それでも、高温での共有結合を防ぐまたは制限することが望ましい。さらに他の用途について、最初に薄いシートおよび担体を一緒にくっつけるのに十分な室温での結合を有し、また高温で強力な共有結合を生じる(表面が、「結合領域」内にある場合、「結合領域」が、特許文献1の薄いシート/担体の概念に記載され、下記に記載されているような)ことが望ましいことがある。理論により束縛する意図はないが、ある場合には、それにより薄いシートおよび担体が最初に互いにくっつけられる室温での結合を制御するために、表面改質層を使用してもよいのに対し、共有結合、特に高温での共有結合を制御するために、表面上のヒドロキシル基の減少(例えば、表面の加熱により、またはヒドロキシル基の表面改質層との反応により)を使用してもよい。
Treatment of the binding surface with hydroxyl reduction and surface modification layer For example, the reduction of hydroxyl, such as by heat treatment, and the surface modification layer 30 may be used together to control the interaction of the binding surfaces 14, 24. Good. For example, the binding energy of the binding surfaces 14, 24 (both van der Waals and / or hydrogen bonding at room temperature due to polar / dispersive energy components and covalent bonding at high temperatures due to covalent energy components) It can be controlled to provide a variety of bond strengths, from difficult strengths to strengths that prevent separation of surfaces without damage-after high temperature processing. In some applications, the bond is very weak or not at all (if the surface is in the “non-bonded” region, the “non-bonded” region is described in the thin sheet / carrier concept of US Pat. Would be desirable). In other applications, for example when providing a reusable carrier for FPD processes and the like (500 ° C. or higher, or 600 ° C. or higher and may be up to 650 ° C. process temperature) It is desirable to have van der Waals and / or hydrogen bonds at room temperature sufficient to attach the supports together, yet prevent or limit high temperature covalent bonds. For still other applications, it has a room temperature bond that is initially sufficient to attach the thin sheet and the carrier together and also produces a strong covalent bond at high temperatures (if the surface is in the “bonding region” It may be desirable for the “bond region” to be described in the thin sheet / carrier concept of US Pat. While not intending to be bound by theory, in some cases a surface modified layer may be used to control the bonding at room temperature by which the thin sheet and carrier are first attached to each other, whereas Reduction of hydroxyl groups on the surface (eg, by heating the surface or by reacting the hydroxyl groups with a surface modified layer) may be used to control covalent bonding, particularly at elevated temperatures.

表面改質層30の材料は、それによって表面が弱い結合しか生じないエネルギー(例えば、一表面について測定して、40mJ/m2未満のエネルギー、極性および分散成分を含む)を結合表面14、24に与えるであろう。一例において、ヘキサメチルジシラザン(HMDS)を使用して、表面のヒドロキシルと反応させて、トリメチルシリル(TMS)末端表面を残すことによって、この低エネルギー表面を形成してもよい。表面改質層としてのHMDSを、ヒドロキシル濃度を減少させるための表面加熱と共に使用して、室温と高温両方の結合を制御してもよい。各結合表面14、24について適切な結合表面処理を選択することにより、様々な範囲の能力を有する物品を達成することができる。より詳しくは、LTPS加工のために再利用できる担体を提供することにとって興味深いことに、真空、SRD、400℃(項目aおよびc)、および600℃(項目aおよびc)の処理試験の各々に耐える(合格する)ように、薄いガラスシート20とガラス担体10との間に適切な結合を達成することができる。 The material of the surface modification layer 30 provides energy that causes only weak bonding on the surface (eg, includes energy less than 40 mJ / m 2 as measured for one surface, polarity and dispersion components). Would give to. In one example, hexamethyldisilazane (HMDS) may be used to form this low energy surface by reacting with surface hydroxyl to leave a trimethylsilyl (TMS) terminal surface. HMDS as a surface modification layer may be used with surface heating to reduce the hydroxyl concentration to control both room temperature and high temperature bonding. By selecting an appropriate bonding surface treatment for each bonding surface 14, 24, articles having various ranges of capabilities can be achieved. More particularly, for providing a reusable carrier for LTPS processing, it is interesting to note that each of the vacuum, SRD, 400 ° C. (items a and c), and 600 ° C. (items a and c) processing tests. Appropriate bonding can be achieved between the thin glass sheet 20 and the glass carrier 10 to withstand (pass).

一例において、薄いガラスシートおよびガラス担体の両方のSC1洗浄と、それに続くHMDS処理は、弱く結合される表面を作り出し、それは、ファンデルワールス(および/または水素結合)力により室温で結合することが難しい。薄いガラスを担体に結合させるために、機械力を印加する。表2の例2aに示したように、この結合は、担体の反りが、真空試験およびSRD処理において観察され、400℃および600℃の熱プロセスにおいて、気泡発生(ガス放出のためであろう)が観察され、粒状欠陥が、超音波処理後に観察されたほど十分に弱い。   In one example, SC1 cleaning of both a thin glass sheet and a glass carrier followed by HMDS treatment creates a weakly bonded surface that can be bonded at room temperature by van der Waals (and / or hydrogen bonding) forces. difficult. A mechanical force is applied to bond the thin glass to the carrier. As shown in Example 2a of Table 2, this binding is observed for carrier warpage in vacuum testing and SRD processing, and in the thermal processes at 400 ° C. and 600 ° C. bubble formation (which may be due to outgassing). And granular defects are weak enough that they were observed after sonication.

別の例において、一表面だけ(言及された例においては担体)のHMDS処理により、真空およびSRD処理に耐えるより強力な室温での付着が生じる。しかしながら、400℃以上での熱プロセスにより、薄いガラスが担体に永久的に結合した。完全にヒドロキシル化されたシリカについての4.6〜4.9/nm2のヒドロキシル濃度に対して、シリカ上のトリメチルシリル基の最大表面被覆が2.8/nm2であるとSindorf and Maciel in J. Phys. Chem. 1982, 86, 5208-5219により計算され、2.7/nm2であるとSuratwala et. al. in Journal of Non-Crystalline Solids 316 (2003) 349-363により測定されたので、このことは、予期せぬことではない。すなわち、トリメチルシリル基はいくらかの表面ヒドロキシルと結合するが、いくらかの未結合のヒドロキシルが残る。それゆえ、所定の十分な時間および温度が与えられれば、薄いガラスおよび担体を永久的に結合する表面シラノール基の縮合が予測されるであろう。 In another example, HMDS treatment of only one surface (support in the example mentioned) results in stronger room temperature deposition that can withstand vacuum and SRD processing. However, the thin glass was permanently bonded to the support due to the thermal process above 400 ° C. Sindorf and Maciel in J have a maximum surface coverage of trimethylsilyl groups on silica of 2.8 / nm 2 for a hydroxyl concentration of 4.6 to 4.9 / nm 2 for fully hydroxylated silica. Phys. Chem. 1982, 86, 5208-5219 and as measured by Suratwala et. Al. In Journal of Non-Crystalline Solids 316 (2003) 349-363 to be 2.7 / nm 2 . This is not unexpected. That is, the trimethylsilyl group binds to some surface hydroxyl but leaves some unbound hydroxyl. Therefore, given a given sufficient time and temperature, condensation of surface silanol groups that permanently bond the thin glass and support would be expected.

HMDS曝露の前に、ガラス表面を加熱して表面ヒドロキシル濃度を減少させることにより、変更された表面エネルギーを作り出し、表面エネルギーの極性成分を増加させることができる。この両方により、高温でのSi−O−Si共有結合を形成するための推進力が減少し、より強力な室温での結合、例えば、ファンデルワールス(および/または水素)結合がもたらされる。図4は、アニール後、およびHMDS処理後の、「Eagle XG」ディスプレイ用ガラスの表面エネルギーを示している。HMDS曝露前の上昇したアニール温度は、極性の寄与(線404)を増加させることによって、HMDS曝露後の全(極性および分散)表面エネルギー(線402)を増加させる。また、全表面エネルギーに対する分散の寄与(線406)は、熱処理により大幅に変わらないままであることも分かる。理論により束縛する意図はないが、HMDS処理後の表面におけるエネルギーの極性成分、それにより、全エネルギーの増加は、HMDSによる副単分子層のTMS被覆率のために、HMDS処理後でさえ、いくらかの曝露されたガラス表面積があるためであるように思える。   Prior to HMDS exposure, heating the glass surface to reduce the surface hydroxyl concentration can create altered surface energy and increase the polar component of the surface energy. Both of these reduce the driving force for forming Si—O—Si covalent bonds at high temperatures, resulting in stronger room temperature bonds, such as van der Waals (and / or hydrogen) bonds. FIG. 4 shows the surface energy of the “Eagle XG” display glass after annealing and after HMDS treatment. The elevated annealing temperature before HMDS exposure increases the total (polarity and dispersion) surface energy (line 402) after HMDS exposure by increasing the polarity contribution (line 404). It can also be seen that the contribution of dispersion to the total surface energy (line 406) remains largely unchanged by heat treatment. While not intending to be bound by theory, the polar component of energy at the surface after HMDS treatment, and hence the increase in total energy, is somewhat due to TMS coverage of the submonolayer by HMDS, even after HMDS treatment. Seems to be due to the exposed glass surface area.

例2bにおいて、薄いガラスシートを、HMDSのコーティングを有する非熱処理担体との結合前に、1時間に亘り真空中において150℃の温度で加熱した。薄いガラスシートのこの熱処理は、400℃以上の温度でこの薄いガラスシートが担体に永久的に結合するのを防ぐのに十分ではなかった。   In Example 2b, a thin glass sheet was heated at a temperature of 150 ° C. in a vacuum for 1 hour prior to bonding with a non-heat treated support having a coating of HMDS. This heat treatment of the thin glass sheet was not sufficient to prevent the thin glass sheet from permanently binding to the support at temperatures above 400 ° C.

表2の例2c〜2eに示されるように、HMDS曝露前のガラス表面のアニール温度を変えると、ガラス担体と薄いガラスシートとの間の結合を制御するように、ガラス表面の結合エネルギーを変えることができる。   As shown in Table 2 examples 2c-2e, changing the annealing temperature of the glass surface prior to HMDS exposure changes the binding energy of the glass surface to control the bond between the glass support and the thin glass sheet. be able to.

例2cにおいて、担体を1時間に亘り真空中において190℃の温度でアニールし、その後、HMDS曝露を行って、表面改質層30を提供した。その上、薄いガラスシートを、担体との結合前に、1時間に亘り真空中において450℃の温度でアニールした。結果として得られた物品は、真空、SRD、および400℃の試験(項目aおよびc、しかし、気泡発生が増加したので、項目bには合格しなかった)に耐えたが、600℃の試験には不可であった。したがって、例2bと比べて、高温結合に対する耐性は増加したが、これは、担体が再利用できる、600℃以上での加工(例えば、LTPS加工)のための物品を製造するのに十分ではなかった。   In Example 2c, the support was annealed in vacuum at a temperature of 190 ° C. for 1 hour, after which HMDS exposure was performed to provide the surface modified layer 30. In addition, the thin glass sheet was annealed at a temperature of 450 ° C. in a vacuum for 1 hour prior to bonding with the support. The resulting article withstood the vacuum, SRD, and 400 ° C tests (items a and c, but did not pass item b because of increased bubble generation), but the 600 ° C test It was impossible. Thus, compared to Example 2b, the resistance to high temperature bonding was increased, but this was not sufficient to produce articles for processing above 600 ° C. (eg LTPS processing) where the carrier could be reused. It was.

例2dにおいて、担体を1時間に亘り真空中において340℃の温度でアニールし、その後、HMDS曝露を行って、表面改質層30を提供した。重ねて、薄いガラスシートを、担体との結合前に、1時間に亘り真空中において450℃の温度でアニールした。結果は、2cの結果と似ており、物品は、真空、SRD、および400℃の試験(項目aおよびc、しかし、気泡発生が増加したので、項目bには合格しなかった)に耐えたが、600℃の試験には不可であった。   In Example 2d, the support was annealed at a temperature of 340 ° C. in a vacuum for 1 hour, after which HMDS exposure was performed to provide the surface modified layer 30. Once again, the thin glass sheet was annealed at a temperature of 450 ° C. in vacuum for 1 hour prior to bonding with the support. The results are similar to those of 2c, and the article withstood the vacuum, SRD, and 400 ° C. tests (items a and c, but did not pass item b because of increased bubble generation). However, the test at 600 ° C. was not possible.

例2eに示されるように、薄いガラスおよび担体の両方を1時間に亘り真空中において450℃でアニールし、その後、担体をHMDSに曝露し、次いで、担体および薄いガラスシートを結合すると、永久的な結合に対する温度耐性が改善される。両表面の450℃へのアニールにより、10分間に亘る600℃でのRTPアニール後に永久的な結合が防がれる、すなわち、このサンプルは、600℃処理試験に合格した(項目aおよびc、しかし、気泡発生が増加したので、項目bには合格しなかった;400℃の試験について、同様の結果が見られた)。   As shown in Example 2e, both the thin glass and the support are annealed at 450 ° C. in vacuum for 1 hour, after which the support is exposed to HMDS, and then the support and the thin glass sheet are bonded to make the permanent Temperature resistance to unfavorable bonding is improved. Annealing both surfaces to 450 ° C. prevents permanent bonding after RTP annealing at 600 ° C. for 10 minutes, ie the sample passed the 600 ° C. treatment test (items a and c, but Item b was not passed because of increased bubble generation; similar results were seen for the 400 ° C. test).

先の例2aから2eにおいて、担体および薄いシートの各々は、「Eagle XG」ガラスであり、担体は、厚さが630マイクロメートル、直径150mmのSMFウエハーであり、薄いシートは、100平方mm×100マイクロメートル厚であった。HMDSは、YES−5 HMDSオーブン(カリフォルニア州、サンノゼ所在のYield Engineering Systems社から入手した)内においてパルス蒸着法により施し、1原子層厚(すなわち、約0.2から1nm)であったが、表面被覆率は、1未満の単分子層であろう、すなわち、表面ヒドロキシルのいくらかが、Macielにより示され、先に論じられたように、HMDSによって覆われていない。表面改質層の小さい厚さのために、デバイス製造において汚染を生じ得るガス放出のリスクがほとんどない。また、「SC1」の表記により表2に示されるように、担体および薄いシートの各々は、熱処理または任意のその後のHMDS処理の前に、SC1プロセスを使用して洗浄した。   In the previous examples 2a to 2e, each of the carrier and the thin sheet is “Eagle XG” glass, the carrier is a SMF wafer having a thickness of 630 micrometers and a diameter of 150 mm, and the thin sheet is 100 square mm × It was 100 micrometers thick. The HMDS was applied by pulse deposition in a YES-5 HMDS oven (obtained from Yiel Engineering Systems, San Jose, Calif.) And was one atomic layer thickness (ie, about 0.2 to 1 nm), The surface coverage will be less than 1 monolayer, ie, some of the surface hydroxyls are not covered by HMDS as shown by Maciel and discussed above. Because of the small thickness of the surface modification layer, there is little risk of outgassing that can cause contamination in device manufacturing. Also, as shown in Table 2 by the designation “SC1”, each of the carrier and thin sheet was cleaned using the SC1 process prior to heat treatment or any subsequent HMDS treatment.

例2aを例2bと比べると、薄いシートと担体との間の結合エネルギーが、表面改質層を含む表面の数を変えることによって、制御できることが示される。そして、結合エネルギーの制御を使用して、2つの結合表面の間の結合力を制御することができる。また、例2b〜2eの比較は、表面の結合エネルギーが、表面改質層の施用の前に結合表面に施される熱処理のパラメータを変えることによって、制御できることを示す。重ねて、熱処理を使用して、表面ヒドロキシルの数を減少させ、共有結合の程度、特に、高温での程度を制御することができる。   Comparing Example 2a with Example 2b shows that the binding energy between the thin sheet and the carrier can be controlled by changing the number of surfaces including the surface modification layer. Binding energy control can then be used to control the binding force between the two binding surfaces. Also, the comparison of Examples 2b-2e shows that the surface binding energy can be controlled by changing the parameters of the heat treatment applied to the binding surface prior to the application of the surface modified layer. Again, heat treatment can be used to reduce the number of surface hydroxyls and control the degree of covalent bonding, particularly at high temperatures.

結合表面上の表面エネルギーを制御するために異なる様式で機能するであろう他の材料を、2つの表面の間の室温および高温での結合力を制御するように、表面改質層30に使用してもよい。例えば、一方または両方の結合表面が、担体と薄いシートとの間の強力な永久的な共有結合の高温での形成を防ぐために、化学種、例えば、ヒドロキシルを覆うか、または立体障害する表面改質層で、適度の結合力を作り出すように改質される場合、再利用できる担体も作製することができる。調製可能な表面エネルギーを作り出し、表面ヒドロキシルを覆って共有結合の形成を防ぐための1つの方法は、プラズマ高分子膜、例えば、フルオロポリマー膜の堆積である。プラズマ重合は、供給ガス、例えば、フッ化炭素源(CF4、CHF3、C26、C36、C22、CH3F、C48、クロロフルオロカーボン、またはヒドロクロロフルオロカーボンを含む)、炭化水素、例えば、アルカン(メタン、エタン、プロパン、ブタンを含む)、アルケン(エチレン、プロピレンを含む)、アルキン(アセチレンを含む)、および芳香族化合物(ベンゼン、トルエンを含む)、水素、および他のガス源、例えば、SF6からの大気圧または減圧下でのプラズマ励起(DCまたはRF平行平板、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)、下流マイクロ波またはRFプラズマ)で高分子膜を堆積させる。プラズマ重合は、高度に架橋した材料の層を生じさせる。反応条件および供給ガスの制御を使用して、膜厚、密度、および官能基を所望の用途に合わせるための化学的性質を制御することができる。 Other materials that will function in different ways to control the surface energy on the binding surface are used in the surface modification layer 30 to control the bonding force between the two surfaces at room and high temperatures May be. For example, one or both binding surfaces may cover chemical species such as hydroxyl or sterically hinder surface modification to prevent the formation of strong permanent covalent bonds between the support and the thin sheet at high temperatures. A carrier that can be reused can also be made if it is modified with a stratified layer to create a moderate bond strength. One way to create a surface energy that can be prepared and to cover the surface hydroxyl and prevent the formation of covalent bonds is the deposition of a plasma polymer film, such as a fluoropolymer film. Plasma polymerization can be accomplished with a feed gas such as a fluorocarbon source (CF 4 , CHF 3 , C 2 F 6 , C 3 F 6 , C 2 F 2 , CH 3 F, C 4 F 8 , chlorofluorocarbon, or hydrochloro Fluorocarbons), hydrocarbons such as alkanes (including methane, ethane, propane, butane), alkenes (including ethylene, propylene), alkynes (including acetylene), and aromatics (including benzene, toluene) , Hydrogen, and other gas sources, eg, plasma excitation from atmospheric pressure or reduced pressure from SF 6 (DC or RF parallel plate, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream microwave or RF A polymer film is deposited by plasma. Plasma polymerization produces a layer of highly cross-linked material. Reaction conditions and feed gas control can be used to control film thickness, density, and chemistry to tailor the functional group to the desired application.

図5は、Oxford ICP380エッチング機器(英国、オックスフォードシャー州所在のOxford Instruments社から入手した)により、CF4−C48混合物から堆積したプラズマ重合フルオロポリマー(PPFP)膜の全(線502)表面エネルギー(極性(線504)および分散(線506)成分を含む)を示している。膜は、「Eagle XG」ガラスのシート上に堆積され、分光偏光解析法は、それらの膜が1〜10nm厚であることを示した。図5から分かるように、40%未満のC48を含有するプラズマ重合フルオロポリマー膜で処理したガラス担体は、40mJ/m2超の表面エネルギーを示し、ファンデルワールスまたは水素結合により、室温で薄いガラスと担体との間に制御された結合を生じる。室温で担体および薄いガラスを最初に結合したときに、促進された結合が観察される。すなわち、薄いシートを担体上に配置し、ある地点でそれらを一緒に加圧した場合、表面改質層をその上に持たない、SC1処理した表面について観察されるよりも遅い速度であるが、担体に渡り波面が移動する。この制御された結合は、真空、ウェット、超音波、および600℃までの熱プロセスを含む標準的なFPDプロセスの全てに耐えるのに十分である、すなわち、この制御された結合は、担体から薄いガラスが動かずにまたは剥離せずに、600℃処理試験に合格した。剥離は、上述したように、カミソリの刃および/または「Kapton」テープによる剥がしによって行った。2つの異なるPPFP膜(先に記載したように堆積した)のプロセス適合性が、表3に示されている。例3aのPPFP1は、C48/(C48+CF4)=0で形成した、すなわち、C48ではなく、CF4/H2で形成し、例3bのPPFP2は、C48/(C48+CF4)=0.38で堆積した。両方のタイプのPPFP膜は、真空、SRD、400℃および600℃処理試験に耐えた。しかしながら、PPFP2の20分間の超音波洗浄後に剥離が観察され、そのような処理に耐えるのに不十分な接着力を示す。それにもかかわらず、PPFP2の表面改質層は、超音波処理が必要ない場合のように、ある用途にとって有用であろう。 FIG. 5 shows the total (line 502) of a plasma polymerized fluoropolymer (PPFP) film deposited from a CF 4 -C 4 F 8 mixture with an Oxford ICP380 etching apparatus (obtained from Oxford Instruments, Oxfordshire, UK). The surface energy (including polar (line 504) and dispersion (line 506) components) is shown. The films were deposited on a sheet of “Eagle XG” glass and spectroscopic ellipsometry showed that the films were 1-10 nm thick. As can be seen from FIG. 5, the glass support treated with a plasma polymerized fluoropolymer film containing less than 40% C 4 F 8 exhibits a surface energy of greater than 40 mJ / m 2 , due to van der Waals or hydrogen bonding at room temperature. A controlled bond between the thin glass and the carrier. Accelerated binding is observed when the support and thin glass are first bonded at room temperature. That is, when thin sheets are placed on a carrier and pressed together at some point, the rate is slower than that observed for an SC1-treated surface that does not have a surface modification layer on it, The wavefront moves across the carrier. This controlled bond is sufficient to withstand all standard FPD processes including vacuum, wet, ultrasonic, and thermal processes up to 600 ° C., ie the controlled bond is thin from the support The glass passed the 600 ° C. treatment test without moving or peeling. Peeling was performed by peeling with a razor blade and / or “Kapton” tape as described above. The process compatibility of two different PPFP films (deposited as described above) is shown in Table 3. The PPFP1 of Example 3a was formed with C 4 F 8 / (C 4 F 8 + CF 4 ) = 0, ie formed with CF 4 / H 2 instead of C 4 F 8 , and PPFP2 of Example 3b Deposited at 4 F 8 / (C 4 F 8 + CF 4 ) = 0.38. Both types of PPFP films withstood vacuum, SRD, 400 ° C. and 600 ° C. processing tests. However, delamination is observed after 20 minutes ultrasonic cleaning of PPFP2, indicating insufficient adhesion to withstand such treatment. Nevertheless, a surface modified layer of PPFP2 may be useful for certain applications, such as when sonication is not required.

先の例3aおよび3bにおいて、担体および薄いシートの各々は、「Eagle XG」ガラスであり、担体は、厚さが630マイクロメートル、直径150mmのSMFウエハーであり、薄いシートは、100平方mm×100マイクロメートル厚であった。表面改質層の小さい厚さのために、デバイス製造において汚染を生じ得るガス放出のリスクがほとんどない。さらに、表面改質層は劣化しないように見えたので、重ねて、ガス放出のリスクはさらに少ない。また、表3に示されるように、薄いシートの各々は、真空中における1時間に亘る150℃での熱処理前に、SC1プロセスを使用して洗浄した。   In the previous examples 3a and 3b, each of the carrier and thin sheet is “Eagle XG” glass, the carrier is an SMF wafer 630 micrometers thick and 150 mm in diameter, and the thin sheet is 100 square mm × It was 100 micrometers thick. Because of the small thickness of the surface modification layer, there is little risk of outgassing that can cause contamination in device manufacturing. Furthermore, since the surface modified layer appeared not to deteriorate, the risk of outgassing is even less. Also, as shown in Table 3, each of the thin sheets was cleaned using the SC1 process prior to heat treatment at 150 ° C. for 1 hour in vacuum.

表面エネルギーを制御するために異なる様式で機能するであろうさらに他の材料を、薄いシートと担体との間の室温および高温での結合力を制御するための表面改質層として使用してもよい。例えば、制御された結合を生じることのできる結合表面は、ガラス担体および/またはガラスの薄いシートをシラン処理することによって、形成することができる。シランは、適切な表面エネルギーを生じるように、またその用途にとって十分な熱安定性を有するように、選択される。処理すべき担体または薄いガラスは、シランが表面シラノール基と反応するのを干渉するであろう有機物質および他の不純物(例えば、金属)を除去するために、あるプロセス、例えば、O2プラズマまたはUV−オゾン、およびSC1またはスタンダード・クリーン2(当該技術分野でSC2として知られている)により洗浄してもよい。他の化学物質に基づく洗浄液、例えば、HF、またはH2SO4洗浄化学物質を使用してもよい。担体または薄いガラスは、シランの施用の前に表面ヒドロキシル濃度を制御するために加熱してよい(HMDSの表面改質層に関して先に述べたように)、および/または表面ヒドロキシルとのシラン縮合を完了するために、シランの施用後に加熱してもよい。シラン処理後の未反応のヒドロキシル基の濃度は、400℃以上の温度で薄いガラスと担体との間の永久的な結合を防ぐように、すなわち、制御された結合を形成するように、結合前に十分に低くしてもよい。この手法が下記に記載されている。 Still other materials that may function in different ways to control the surface energy may be used as a surface modification layer to control the bonding force between the thin sheet and the carrier at room and high temperatures. Good. For example, a bonding surface capable of producing controlled bonding can be formed by silane treatment of a glass carrier and / or a thin sheet of glass. The silane is selected to produce a suitable surface energy and to have sufficient thermal stability for the application. The support or thin glass to be treated is used to remove organic materials and other impurities (eg, metals) that would interfere with the reaction of the silane with surface silanol groups, such as O 2 plasma or You may clean with UV-ozone and SC1 or Standard Clean 2 (known in the art as SC2). Other chemical based cleaning fluids may be used, such as HF or H 2 SO 4 cleaning chemicals. The support or thin glass may be heated to control the surface hydroxyl concentration prior to silane application (as described above with respect to the surface modification layer of HMDS) and / or silane condensation with the surface hydroxyl. To complete, it may be heated after application of the silane. The concentration of unreacted hydroxyl groups after the silane treatment is such that the temperature before 400 ° C. is increased so as to prevent permanent bonding between the thin glass and the carrier, that is, to form a controlled bond. May be sufficiently low. This approach is described below.

例4a
次いで、結合表面をO2プラズマおよびSC1処理したガラス担体を、トルエン中の1%のドデシルトリエトキシシラン(DDTS)で処理し、1時間に亘り真空中において150℃でアニールして、縮合を完了した。DDTS処理表面は、45mJ/m2の表面エネルギーを示す。表4に示されるように、ガラスの薄いシート(SC1洗浄し、1時間に亘り真空中において400℃で加熱された)を、上にDDTS表面改質層を有する担体の結合表面に結合した。この物品は、ウェットおよび真空プロセス試験に耐えたが、シランの熱分解のために、担体の下に気泡を形成せずに、400℃超での熱プロセスに耐えられなかった。この熱分解は、良好な熱安定性のコーティングを生じるメチル、ジメチル、およびトリメチルシラン(x=1から3、R1=CH3)を除いて、全ての直鎖アルコキシおよびクロロアルキルシランR1xSi(OR2)y(Cl)z(x=1から3、y+z=4−x)について予測される。
Example 4a
The glass substrate treated with O 2 plasma and SC1 on the binding surface was then treated with 1% dodecyltriethoxysilane (DDTS) in toluene and annealed at 150 ° C. in vacuum for 1 hour to complete the condensation. did. The DDTS treated surface exhibits a surface energy of 45 mJ / m 2 . As shown in Table 4, a thin sheet of glass (SC1 cleaned and heated at 400 ° C. in vacuum for 1 hour) was bonded to the binding surface of a carrier having a DDTS surface modification layer thereon. This article withstood wet and vacuum process tests, but due to the thermal decomposition of silane, it did not withstand thermal processes above 400 ° C. without forming bubbles under the support. This pyrolysis results in all linear alkoxy and chloroalkyl silanes R1 x Si (except for methyl, dimethyl, and trimethylsilane (x = 1 to 3, R1 = CH 3 ) resulting in a good heat stable coating. OR2) predicted for y (Cl) z (x = 1 to 3, y + z = 4-x).

例4b
次いで、結合表面をO2プラズマおよびSC1処理したガラス担体を、トルエン中の1%の3,3,3−トリフルオロプロピルトリエトキシシラン(TFTS)で処理し、1時間に亘り真空中において150℃でアニールして、縮合を完了した。TFTS処理表面は、47mJ/m2の表面エネルギーを示す。表4に示されるように、ガラスの薄いシート(SC1洗浄し、次いで1時間に亘り真空中において400℃で加熱された)を、上にTFTS表面改質層を有する担体の結合表面に結合した。この物品は、ガラスの薄いシートがガラス担体に永久的には結合せずに、真空、SRD、および400℃プロセス試験に耐えた。しかしながら、600℃試験では、シランの熱分解のために担体の下で気泡が形成された。プロピル基の限られた熱安定性のために、これは、予測されなくはなかった。このサンプルは、気泡発生のために600℃試験には不可であったが、この例の材料および熱処理は、気泡およびその悪影響、例えば、表面平坦性の低下、または増加した波むらが許容され得る、いくつかの用途に使用してもよい。
Example 4b
The glass substrate treated with O 2 plasma and SC1 on the binding surface was then treated with 1% 3,3,3-trifluoropropyltriethoxysilane (TFT) in toluene and 150 ° C. in vacuo for 1 hour. To complete the condensation. The TFTS treated surface exhibits a surface energy of 47 mJ / m 2 . As shown in Table 4, a thin sheet of glass (SC1 cleaned and then heated at 400 ° C. in vacuum for 1 hour) was bonded to the binding surface of a carrier having a TFTS surface modification layer thereon. . This article withstood vacuum, SRD, and 400 ° C. process tests without a thin sheet of glass permanently bonded to the glass carrier. However, in the 600 ° C. test, bubbles were formed under the support due to the thermal decomposition of silane. This was not unexpected due to the limited thermal stability of the propyl group. Although this sample was not capable of 600 ° C. testing due to bubble generation, the materials and heat treatments of this example can tolerate bubbles and their adverse effects, such as reduced surface flatness or increased undulations. May be used for several applications.

例4c
次いで、結合表面をO2プラズマおよびSC1処理したガラス担体を、トルエン中の1%のフェニルトリエトキシシラン(PTS)で処理し、1時間に亘り真空中において200℃でアニールして、縮合を完了した。PTS処理表面は、54mJ/m2の表面エネルギーを示す。表4に示されるように、ガラスの薄いシート(SC1洗浄し、次いで1時間に亘り真空中において400℃で加熱された)を、PTS表面改質層を有する担体の結合表面に結合した。この物品は、ガラスの薄いシートがガラス担体に永久的には結合せずに、真空、SRD、および600℃までの熱プロセスに耐えた。
Example 4c
The glass substrate treated with O 2 plasma and SC1 on the binding surface was then treated with 1% phenyltriethoxysilane (PTS) in toluene and annealed at 200 ° C. in vacuum for 1 hour to complete the condensation. did. The PTS treated surface exhibits a surface energy of 54 mJ / m 2 . As shown in Table 4, a thin sheet of glass (SC1 cleaned and then heated at 400 ° C. in vacuum for 1 hour) was bonded to the binding surface of the support with the PTS surface modification layer. This article withstood vacuum, SRD, and thermal processes up to 600 ° C. without a thin sheet of glass permanently bonded to the glass carrier.

例4d
次いで、結合表面をO2プラズマおよびSC1処理したガラス担体を、トルエン中の1%のジフェニルジエトキシシラン(DPDS)で処理し、1時間に亘り真空中において200℃でアニールして、縮合を完了した。DPDS処理表面は、47mJ/m2の表面エネルギーを示す。表4に示されるように、ガラスの薄いシート(SC1洗浄し、次いで1時間に亘り真空中において400℃で加熱された)を、DPDS表面改質層を有する担体の結合表面に結合した。この物品は、ガラスの薄いシートがガラス担体に永久的には結合せずに、真空およびSRD試験、並びに600℃までの熱プロセスに耐えた。
Example 4d
The glass substrate treated with O 2 plasma and SC1 on the binding surface was then treated with 1% diphenyldiethoxysilane (DPDS) in toluene and annealed at 200 ° C. in vacuum for 1 hour to complete the condensation. did. The DPDS treated surface exhibits a surface energy of 47 mJ / m 2 . As shown in Table 4, a thin sheet of glass (SC1 cleaned and then heated at 400 ° C. in vacuum for 1 hour) was bonded to the binding surface of the support with the DPDS surface modification layer. This article withstood vacuum and SRD testing and thermal processes up to 600 ° C. without a thin sheet of glass permanently bonded to the glass carrier.

例4e
次いで、結合表面をO2プラズマおよびSC1処理したガラス担体を、トルエン中の1%の4−ペンタフルオロフェニルトリエトキシシラン(PFPTS)で処理し、1時間に亘り真空中において200℃でアニールして、縮合を完了した。PFPTS処理表面は、57mJ/m2の表面エネルギーを示す。表4に示されるように、ガラスの薄いシート(SC1洗浄し、次いで1時間に亘り真空中において400℃で加熱された)を、 PFPTS表面改質層を有する担体の結合表面に結合した。この物品は、ガラスの薄いシートがガラス担体に永久的には結合せずに、真空およびSRD試験、並びに600℃までの熱プロセスに耐えた。
Example 4e
The glass surface treated with O 2 plasma and SC1 was then treated with 1% 4-pentafluorophenyltriethoxysilane (PFPTS) in toluene and annealed at 200 ° C. in vacuo for 1 hour. The condensation was complete. The PFPTS treated surface exhibits a surface energy of 57 mJ / m 2 . As shown in Table 4, a thin sheet of glass (SC1 cleaned and then heated at 400 ° C. in vacuum for 1 hour) was bonded to the binding surface of the support with the PFPTS surface modification layer. This article withstood vacuum and SRD testing and thermal processes up to 600 ° C. without a thin sheet of glass permanently bonded to the glass carrier.

先の例4aから4eにおいて、担体および薄いシートの各々は、「Eagle XG」ガラスであり、担体は、厚さが630マイクロメートル、直径150mmのSMFウエハーであり、薄いシートは、100平方mm×100マイクロメートル厚であった。シラン層は、自己組織化単分子層(SAM)であり、それゆえ、厚さが約2nm未満程度であった。上記例において、SAMは、アリールまたはアルキル非極性尾部およびモノ、ジ、またはトリ−アルコキシド頭部基を有するオルガノシランを使用して形成した。これらは、ガラス上のシラノール表面と反応して、有機官能基に直接付着する。非極性頭部基間の弱い相互作用により、有機層が組織化される。表面改質層の厚さが小さいために、デバイス製造において汚染を生じ得るガス放出のリスクがほとんどない。さらに、表面改質層は例4c、4d、および4eにおいて劣化しないように見えたので、重ねて、ガス放出のリスクはさらに少ない。また、表4に示されるように、ガラスの薄いシートの各々は、真空中における1時間に亘る400℃での熱処理前に、SC1プロセスを使用して洗浄した。   In the previous examples 4a to 4e, each of the carrier and the thin sheet is “Eagle XG” glass, the carrier is a SMF wafer having a thickness of 630 micrometers and a diameter of 150 mm, and the thin sheet is 100 square mm × It was 100 micrometers thick. The silane layer was a self-assembled monolayer (SAM) and therefore had a thickness on the order of less than about 2 nm. In the above examples, the SAM was formed using an organosilane having an aryl or alkyl nonpolar tail and a mono, di, or tri-alkoxide head group. They react with the silanol surface on the glass and attach directly to the organic functional groups. The organic layer is organized by weak interactions between nonpolar head groups. Due to the small thickness of the surface modification layer, there is little risk of outgassing that can cause contamination in device manufacturing. Furthermore, the surface modification layer appeared to not deteriorate in Examples 4c, 4d, and 4e, so the risk of outgassing is even less. Also, as shown in Table 4, each thin glass sheet was cleaned using the SC1 process prior to heat treatment at 400 ° C. for 1 hour in vacuum.

例4a〜4eの比較から分かるように、初期の室温での結合を促進するように、結合表面の表面エネルギーを40mJ/m2超となるように制御することは、FPD加工に耐え、それでも損傷せずに薄いシートを担体から除去できる制御された結合を形成することに対する唯一の検討事項ではない。具体的には、例4a〜4eから分かるように、各担体は、40mJ/m2超の表面エネルギーを有し、これにより、物品が真空およびSRD処理に耐えるように初期の室温での結合が促進された。しかしながら、例4aおよび4bは、600℃処理試験に合格しなかった。上述したように、特定の用途について、結合が、薄いシートおよび担体を一緒に保持するのに不十分な点まで劣化させずに、結合が高温(例えば、物品が使用されるように設計されたプロセスに見合った、400℃以上、500℃以上、または600℃以上、650℃まで)までの加工に耐え、また薄いシートと担体との間に永久的な結合がないように、そのような高温で生じる共有結合を制御することも重要である。表4の例により示されるように、芳香族シラン、特に、フェニルシランは、初期の室温での結合を促進し、FPD加工に耐え、それでも、損傷せずに薄いシートを担体から除去できる制御された結合を提供するのに有用である。 As can be seen from the comparison of Examples 4a to 4e, controlling the surface energy of the bonding surface to be greater than 40 mJ / m 2 to withstand initial room temperature bonding can withstand FPD processing and still damage It is not the only consideration for creating a controlled bond that allows the thin sheet to be removed from the carrier without it. Specifically, as can be seen from Examples 4a-4e, each support has a surface energy of greater than 40 mJ / m 2 , which provides an initial bonding at room temperature so that the article can withstand vacuum and SRD processing. Was promoted. However, Examples 4a and 4b did not pass the 600 ° C. treatment test. As noted above, for certain applications, the bond was designed to be used at high temperatures (eg, articles are used without degrading to a point where it is insufficient to hold the thin sheet and carrier together. Such high temperatures that it can withstand processing up to 400 ° C or higher, 500 ° C or higher, or 600 ° C or higher, up to 650 ° C, suitable for the process, and that there is no permanent bond between the thin sheet and the carrier. It is also important to control the covalent bonds that occur in As shown by the examples in Table 4, aromatic silanes, particularly phenyl silanes, promote controlled initial room temperature bonding, withstand FPD processing, and still be able to remove thin sheets from the carrier without damage. Useful for providing additional bonds.

フッ化炭素表面改質層、およびその処理
プラズマ重合膜を使用して、結合表面の表面エネルギーを調整し、その上の代わりの極性結合部位を作り出す別の例は、フッ化炭素ガス源の混合物から表面改質層の薄膜を堆積させ、次いで、様々な方法を使用することによって、その表面改質層上に窒素系極性基を形成することである。
Another example of using a fluorocarbon surface modification layer and its treated plasma polymerized film to adjust the surface energy of the binding surface and create an alternative polar binding site thereon is a mixture of fluorocarbon gas sources Depositing a thin film of the surface modified layer from the substrate, and then forming nitrogen-based polar groups on the surface modified layer by using various methods.

その表面改質層は、S.Wu(1971)により開発された理論モデルを3つの異なる試験液体(この場合、脱イオン水(水)、ヘキサデカン(HD)、およびジヨードメタン(DIM))の接触角(CA)に合わせることにより計算した、約50mJ/m2超の表面エネルギーを含む、様々な表面エネルギーを提供するように、フッ化炭素ガス源の様々な混合物のプラズマ重合により形成してよい(文献:S. Wu, J. Polym. Sci. C, 34, 19, 1971;以後、「Wuモデル」と称する)。担体の結合表面上の約50mJ/m2超の表面エネルギーは、担体を薄いガラスシートに結合させるのに有益である。何故ならば、それにより、担体の薄いガラスシートへの初期の室温での結合が促進され、プロセス中にそれらを剥離させずに、担体/薄いガラスシートのFPD加工が可能になるからである。ある場合には、表面改質層の組成および堆積条件に応じて、この表面エネルギーを有する表面改質層は、担体および薄いガラスシートを約600℃までの温度で、ある場合には、さらに高い温度で処理した後でさえも、剥がし(peeling)により剥離することができる。一般に、供給ガスは、エッチングガスおよび高分子形成ガスの混合物を含む。図5に関して先に論じたように、エッチングガスはCF4であってよく、一方で、高分子形成ガスはC48であってよい。あるいは、図13に示されるように、エッチングガスはCF4であってよく、一方で、高分子形成ガスはCHF3であってよい。図5および図13の両方に示されるように、一般に、高分子形成ガスの割合が低いほど、結果として得られる結合表面の全表面エネルギー502、1312は大きくなり、ここで、全表面エネルギーは、極性成分504、1314(三角形のデータ点)および分散成分506、1316(正方形のデータ点)の組合せである。プラズマ重合中の高分子形成ガス(例えば、CHF3)の割合は、mJ/m2で全表面エネルギーを示す、図13Aに示されるように、不活性ガス(例えば、Ar)を使用することにより、結果としての表面エネルギーを制御するために、同様に制御されるであろう。理論により束縛する意図はないが、不活性ガスは、エッチャント、希釈剤、またはその両方として働くことがある。いずれにせよ、ガス流中にCF4を含まずに、CHF3のみにより、担体ガラスの表面エネルギーを変えられることが明らかである。表面改質層の堆積は、大気圧または減圧下で行われてもよく、プラズマ励起、例えば、DCまたはRF平行平板、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)、下流マイクロ波またはRFプラズマにより行われる。プラズマ重合表面改質層は、担体、薄いシート、またはその両方の上に堆積させてもよい。表3の例について先に述べたように、プラズマ重合は、高度に架橋した材料の層を形成する。反応条件および供給ガスの制御を使用して、表面改質層の膜厚、密度、および官能基を所望の用途に合わせるための化学的性質を制御することができる。そして、膜の性質を制御することにより、担体の結合表面の表面エネルギーを調整することができる。しかしながら、表面エネルギーは、結合の程度を制御する上での1つの検討事項にすぎない。 The surface modification layer is S.I. The theoretical model developed by Wu (1971) was calculated by fitting the contact angle (CA) of three different test liquids, in this case deionized water (water), hexadecane (HD), and diiodomethane (DIM). May be formed by plasma polymerization of various mixtures of fluorocarbon gas sources to provide various surface energies, including surface energies greater than about 50 mJ / m 2 (reference: S. Wu, J. Polym Sci. C, 34, 19, 1971; hereinafter referred to as “Wu model”). A surface energy above about 50 mJ / m 2 on the binding surface of the carrier is beneficial for bonding the carrier to a thin glass sheet. This is because it facilitates the initial room temperature bonding of the support to the thin glass sheet and allows the FPD processing of the support / thin glass sheet without peeling them during the process. In some cases, depending on the composition of the surface modification layer and the deposition conditions, the surface modification layer with this surface energy will have the support and thin glass sheet at temperatures up to about 600 ° C., and in some cases even higher. Even after processing at temperature, it can be peeled off by peeling. In general, the feed gas comprises a mixture of an etching gas and a polymer forming gas. As discussed above with respect to FIG. 5, the etching gas may be CF 4 , while the polymer forming gas may be C 4 F 8 . Alternatively, as shown in FIG. 13, the etching gas may be CF 4 , while the polymer forming gas may be CHF 3 . As shown in both FIG. 5 and FIG. 13, in general, the lower the proportion of polymer-forming gas, the greater the total surface energy 502, 1312 of the resulting binding surface, where the total surface energy is A combination of polar components 504, 1314 (triangular data points) and variance components 506, 1316 (square data points). The proportion of polymer-forming gas (eg, CHF 3 ) during plasma polymerization is by using an inert gas (eg, Ar) as shown in FIG. 13A, which shows the total surface energy in mJ / m 2 . In order to control the resulting surface energy, it will be similarly controlled. While not intending to be bound by theory, the inert gas may act as an etchant, a diluent, or both. In any case, it is clear that the surface energy of the carrier glass can be changed only by CHF 3 without containing CF 4 in the gas flow. The deposition of the surface modification layer may be performed under atmospheric pressure or reduced pressure, and plasma excitation such as DC or RF parallel plates, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream microwave or RF Performed by plasma. The plasma polymerized surface modification layer may be deposited on the support, thin sheet, or both. As described above for the examples in Table 3, plasma polymerization forms a layer of highly crosslinked material. Reaction conditions and feed gas control can be used to control the thickness, density, and chemistry for tailoring the functional groups to the desired application. The surface energy of the binding surface of the carrier can be adjusted by controlling the properties of the film. However, surface energy is only one consideration in controlling the degree of bonding.

制御された結合の程度、または適度の結合は、所望の表面エネルギーを達成するのに使用した極性結合を制御することによって、さらに調整することができる。極性結合を制御する方法の1つは、表面改質層(先のように形成された)に、極性基を含ませるためのさらに別の処理、例えば、窒素含有プラズマによる処理を施すことである。この処理は、薄い表面改質層上の窒素系極性官能基の形成により、接着力を増加させる。この続いての処理中に形成された窒素系極性基は、シラノール基と縮合して永久的な共有結合を生じることがなく、それゆえ、薄いシート上に膜または構造体を堆積させるために行われるその後の処理中に、薄いシートと担体との間の結合の程度を制御することができる。窒素系極性基を形成する方法の例としては、窒素プラズマ処理(例5b〜d、k、l)、アンモニアプラズマ処理(例5e、f、h〜j)、および窒素/水素プラズマ処理(例5m)が挙げられる。   The degree of controlled coupling, or moderate coupling, can be further tuned by controlling the polar coupling used to achieve the desired surface energy. One way to control polar coupling is to subject the surface modification layer (formed as before) to a further treatment to include polar groups, such as treatment with nitrogen-containing plasma. . This treatment increases adhesion by forming nitrogen-based polar functional groups on the thin surface modified layer. The nitrogen-based polar groups formed during this subsequent process do not condense with the silanol groups to form permanent covalent bonds and are therefore used to deposit films or structures on thin sheets. During subsequent processing, the degree of bonding between the thin sheet and the carrier can be controlled. Examples of methods for forming nitrogen-based polar groups include nitrogen plasma treatment (Examples 5b-d, k, l), ammonia plasma treatment (Examples 5e, f, hj), and nitrogen / hydrogen plasma treatment (Example 5m). ).

窒素含有プラズマで処理された表面改質層により結合した薄いガラスシートおよびガラス担体は、600℃でのアニール後に、永久的には付着しないことが観察される、すなわち、それらは、600℃の温度試験の項目(c)に合格する。また、この適度の結合は、FPD加工(上述した真空試験(1)、ウェットプロセス試験(2)、および超音波試験(5)を含む)に耐えるのに十分に強力であり、十分な剥離力の印加によって剥離可能なままである。剥離により、薄いガラス上に製造されたデバイスの取り外し、および担体の再利用が可能である。表面改質層の窒素プラズマ処理は、以下の利点の内の1つ以上を得るであろう:最初の結合後の気泡欠陥が最小で、薄いシートと担体との間の強力な付着をもたらす、高い表面エネルギーおよび低い水接触角(例5b〜f、およびi〜lを参照のこと);表面改質層の改善された熱安定性による、熱処理されたときの欠陥形成の低減(例5c、5d、5k、5l、すなわち、N2により処理されたサンプルは、目視観測して、低下した気泡形成を示した);および/または表面改質層の形成と処理の分離により、担体/表面改質層並びに表面改質層/薄いガラスの界面を最適化するための異なるプロセスが可能になるので、より容易なプロセスウインドウ(例5f〜fおよびh〜m)。すなわち、表面改質層自体の基礎材料および堆積プロセスは、表面改質層と担体の結合表面との間の相互作用を最適化するように考案されるであろう。次いで、それとは別に、表面改質層を担体上に堆積した後、表面改質層の性質は、表面改質層の、その上に配置すべき薄いシートとの相互作用を最適化するための処理によって変えてもよい。 It is observed that thin glass sheets and glass supports bonded by surface modified layers treated with nitrogen-containing plasma do not permanently adhere after annealing at 600 ° C., ie they are at a temperature of 600 ° C. Pass test item (c). This moderate bond is also strong enough to withstand FPD processing (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above) and sufficient peel force It remains peelable by application of. Peeling allows removal of devices made on thin glass and reuse of the carrier. Nitrogen plasma treatment of the surface modification layer will obtain one or more of the following advantages: minimal bubble defects after the first bond, leading to strong adhesion between the thin sheet and the support, High surface energy and low water contact angle (see Examples 5b-f and i-1); reduced defect formation when heat treated due to improved thermal stability of surface modified layer (Example 5c, Samples treated with 5d, 5k, 5l, ie N 2 , visually observed to show reduced bubble formation); and / or due to separation of the surface modification layer formation and treatment, Easier process windows (examples 5f-f and hm) as different processes are possible to optimize the quality layer as well as the surface modification layer / thin glass interface. That is, the basic material of the surface modification layer itself and the deposition process will be devised to optimize the interaction between the surface modification layer and the binding surface of the support. Then, separately, after depositing the surface modified layer on the support, the nature of the surface modified layer is to optimize the interaction of the surface modified layer with the thin sheet to be placed thereon. You may change with processing.

下記の表5の例において、ガラス担体上にプラズマ重合膜を堆積させるために様々な条件を使用した。ガラス担体は、アルミノホウケイ酸塩無アルカリディスプレイ用ガラスである、Corning(登録商標)「Eagle XG」(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された基板であった。表面改質層の堆積前に、担体は、SC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。膜は、コイルおよびプラテン両方の、13.56MHz RF源を備えたOxford Plasmalab 380誘電結合プラズマ(ICP)システム内で堆積させ、プラテンの温度は30℃に固定した。三極管電極配置モードのSTS Multiplex PECVD装置(英国、ニューポート所在のSPTS社から入手した)内で、サンプル5a〜5jについて表面改質層の窒素およびアンモニアプラズマ処理を行った。特定のワット数の380kHzのRFエネルギーが印加される、200℃に加熱されたプラテン上に担体を置き、プラテンの上にシャワーヘッドを配置し、これに特定のワット数の13.5MHzのRFエネルギーを印加した。Oxford ICPおよびSTS PECVDの両方に印加したエネルギーについて、数値は#/#Wと示され、ここで、スラッシュの前の数字は、上部電極(ICP上のコイルまたはPECVD上のシャワーヘッド)に印加されたワット数であり、スラッシュ後の数字は、プラテンに印加されたワット数である。1つの数字だけが示されている場合、これは、上部電極のものである。槽内への気体の流量は、表5に示されたようなものであった(流量は、標準条件下の毎分立方センチメートル−sccmである)。それゆえ、例えば、例5gについて表5の「表面処理」の列における表記は、以下のように読める:Oxford ICP装置において、圧力が5ミリトル(約0.67Pa)の槽内に、30sccmのCF4、10sccmのC48、および20sccmのH2を一緒に流し込んだ;1000Wの13.5MHzのRFエネルギーをコイルに印加し、50Wの13.56MHzのRFエネルギーを、担体が上に置かれた30℃のプラテンに印加した;堆積時間は60秒であった。残りの例に関する表面処理の列の表記は、同様に読むことができる。さらに別の例として、「プラズマ処理」の列において、例5hの処理の表記は、以下のように読める:例5hの表面処理の列のパラメータにより、表面改質層を形成した後、次いで、圧力が1トル(約133Pa)であり、温度が200℃のSTS PECVD槽に100sccmのNH3を供給する;100Wの13.56MHzをシャワーヘッドに印加し;処理を30秒間に亘り行う。残りの例に関する「プラズマ処理」の列の表記は、同様に読める。極性成分および分散成分両方の表面エネルギーは、Wuモデルを3つの異なる試験液体(この場合、脱イオン水(水)、ヘキサデカン(HD)、およびジヨードメタン(DIM))の接触角(CA)に合わせることにより、mJ/m2(平方メートル当たりのミリジュール)で計算した。表面エネルギーについて、極性(P)および分散(D)成分、並びに合計(T)が示されている。 In the example of Table 5 below, various conditions were used to deposit the plasma polymerized film on the glass support. The glass support was a substrate made from Corning® “Eagle XG” (obtained from Corning Incorporated, Corning, NY), an aluminoborosilicate alkali-free display glass. Prior to deposition of the surface modified layer, the support was cleaned using SC1 and / or SC2 chemistry and standard cleaning techniques. The film was deposited in an Oxford Plasmalab 380 Inductively Coupled Plasma (ICP) system equipped with both coil and platen 13.56 MHz RF source, and the platen temperature was fixed at 30 ° C. Samples 5a-5j were subjected to nitrogen and ammonia plasma treatment of the surface modified layers in a STS Multiplex PECVD apparatus in triode electrode placement mode (obtained from SPTS, Newport, UK). A carrier is placed on a platen heated to 200 ° C. to which a specific wattage of 380 kHz RF energy is applied, and a showerhead is placed on the platen, to which a specific wattage of 13.5 MHz RF energy is placed. Was applied. For energy applied to both Oxford ICP and STS PECVD, the number is shown as # / # W, where the number before the slash is applied to the top electrode (coil on ICP or showerhead on PECVD). The number after the slash is the wattage applied to the platen. If only one number is shown, this is that of the top electrode. The gas flow rate into the vessel was as shown in Table 5 (flow rate is cubic centimeters per minute-sccm under standard conditions). Thus, for example, the notation in the “Surface Treatment” column of Table 5 for Example 5g can be read as follows: In an Oxford ICP device, in a vessel with a pressure of 5 millitorr (about 0.67 Pa), a CF of 30 sccm 4 , 10 sccm of C 4 F 8 and 20 sccm of H 2 were flushed together; 1000 W of 13.5 MHz RF energy was applied to the coil and 50 W of 13.56 MHz RF energy was applied to the carrier. Applied to a platen at 30 ° C .; the deposition time was 60 seconds. The surface treatment column notation for the remaining examples can be read similarly. As yet another example, in the “plasma treatment” column, the treatment notation of example 5h can be read as follows: after forming the surface modified layer according to the parameters of the surface treatment column of example 5h, then Supply 100 sccm of NH 3 to an STS PECVD bath with a pressure of 1 Torr (about 133 Pa) and a temperature of 200 ° C .; apply 100 W of 13.56 MHz to the showerhead; and perform the treatment for 30 seconds. The “Plasma Processing” column notation for the remaining examples can be read similarly. The surface energy of both polar and dispersive components fits the Wu model to the contact angle (CA) of three different test liquids, in this case deionized water (water), hexadecane (HD), and diiodomethane (DIM). From mJ / m 2 (millijoule per square meter). For surface energy, the polar (P) and dispersed (D) components and the total (T) are shown.

表5の例5b〜5fおよび5h〜5lにおいて、表面改質層上に窒素系極性基が形成される。ここで、これらの極性基は、FPD加工に耐えるのに十分に強いが、剥離を可能にするのに十分に弱い、一時的結合を形成するために、担体と薄いシート(例えば、ガラス担体とガラスの薄いシート)との間に適度な付着を生じる。処理後、表面改質層の表面上の極性基の濃度は、表面改質層の内部の濃度よりも大きい。   In Examples 5b to 5f and 5h to 5l in Table 5, nitrogen-based polar groups are formed on the surface modified layer. Here, these polar groups are strong enough to withstand FPD processing but weak enough to allow delamination to form a temporary bond with a carrier and a thin sheet (e.g., a glass carrier and A moderate adhesion occurs with a thin glass sheet). After the treatment, the concentration of polar groups on the surface of the surface modified layer is greater than the concentration inside the surface modified layer.

NH3プラズマによる処理の例(5e、f、およびh〜j)
適度な表面エネルギーのSMLを、1500Wのコイルおよび50WのプラテンのRF出力により、5ミリトル(約0.67Pa)で、30sccmのCF4、10sccmのC48、20sccmのH2からICPプラズマシステム内で堆積し(対照例5a)、別のものを、1000Wのコイルおよび50WのプラテンのRF出力により、5ミリトル(約0.67Pa)で、30sccmのCF4、10sccmのC48、20sccmのH2から堆積した(対照例5g)。未処理のフルオロポリマー膜の表面エネルギーが、表5に示されている。サンプルをSTS PECVDシステムに移し、表5に列挙された条件でアンモニアプラズマに曝露した(例5e、5f、5h〜j)。Wuの式によって脱イオン水およびヘキサデカンで測定した表面張力が、アンモニアプラズマ条件に応じて、約40から約65〜80mJ/m2に増加した。これらのNH3プラズマ改質サンプルの各々に、薄いガラスシートを結合させた。600℃の温度試験後、気泡面積において、目視でほとんど変化は観察されず(正式なガス放出試験は行わなかった)、これらのサンプルの全てにおいて、薄いガラスシートは手で容易に剥離した。
Example of treatment with NH 3 plasma (5e, f, and hj)
The SML moderate surface energy, the RF output of the platen of the coil and 50W of 1500 W, 5 mTorr (about 0.67 Pa), 30 sccm of CF 4, 10 sccm of C 4 F 8, 20sccm ICP plasma system from of H 2 (Control example 5a), and another with a 1000 W coil and a 50 W platen RF output at 30 sccm CF 4 , 10 sccm C 4 F 8 , 20 sccm at 5 millitorr (about 0.67 Pa). Of H 2 (control 5 g). The surface energy of the untreated fluoropolymer film is shown in Table 5. Samples were transferred to an STS PECVD system and exposed to ammonia plasma under the conditions listed in Table 5 (Examples 5e, 5f, 5h-j). The surface tension measured with deionized water and hexadecane according to the Wu equation increased from about 40 to about 65-80 mJ / m 2 depending on the ammonia plasma conditions. A thin glass sheet was bonded to each of these NH 3 plasma modified samples. After the temperature test at 600 ° C., little change in the bubble area was visually observed (no formal gas release test was performed), and in all of these samples the thin glass sheets were easily peeled off by hand.

2プラズマによる処理の例(5c、d、k、l)
適度な表面エネルギーのSMLを、1500Wのコイルおよび50WのプラテンのRF出力により、5ミリトル(約0.67Pa)で、30sccmのCF4、10sccmのC48、20sccmのH2からICPプラズマシステム内で堆積し(対照例5a)、別のものを、1000Wのコイルおよび50WのプラテンのRF出力により、5ミリトル(約0.67Pa)で、30sccmのCF4、10sccmのC48、20sccmのH2から堆積した(対照例5g)。未処理のフルオロポリマー膜の表面エネルギーが、表5に示されている。サンプル5c、d、k、lを、表5に列挙された条件でICPシステム内でその場でN2プラズマ処理した。表面エネルギーが、アンモニアプラズマ条件に応じて、約40から70mJ/m2超に増加した。これらのサンプルの各々に、薄いガラスシートを結合させた。600℃の温度試験後、これらのサンプル全ての薄いガラスシートは手で容易に剥離した。
Example of treatment with N 2 plasma (5c, d, k, l)
The SML moderate surface energy, the RF output of the platen of the coil and 50W of 1500 W, 5 mTorr (about 0.67 Pa), 30 sccm of CF 4, 10 sccm of C 4 F 8, 20sccm ICP plasma system from of H 2 (Control example 5a), and another with a 1000 W coil and a 50 W platen RF output at 30 sccm CF 4 , 10 sccm C 4 F 8 , 20 sccm at 5 millitorr (about 0.67 Pa). Of H 2 (control 5 g). The surface energy of the untreated fluoropolymer film is shown in Table 5. Samples 5c, d, k, l were N 2 plasma treated in situ in the ICP system under the conditions listed in Table 5. The surface energy increased from about 40 to over 70 mJ / m 2 depending on the ammonia plasma conditions. A thin glass sheet was bonded to each of these samples. After the 600 ° C. temperature test, the thin glass sheets of all these samples were easily peeled off by hand.

同時N2およびH2プラズマによる処理の例(5m)
適度な表面エネルギーのSMLを、1000Wのコイルおよび50WのプラテンのRF出力により、5ミリトル(約0.67Pa)で、30sccmのCF4、10sccmのC48、20sccmのH2からICPプラズマシステム内で堆積した(対照例5g)。未処理のフルオロポリマー膜の表面エネルギーが、表5に示されている。サンプル5mに、表5に列挙された条件でICPシステム内でその場で同時にN2+H2プラズマ処理を行った。表面エネルギーは、未処理のフルオロポリマー膜と異なるのが示されなかった。
Example of treatment with simultaneous N 2 and H 2 plasma (5m)
Moderate surface energy of the SML, the RF output of the coil and 50W platens 1000W, 5 millitorr (about 0.67 Pa) at, 30 sccm of CF 4, 10 sccm of C 4 F 8, 20sccm ICP plasma system from of H 2 (Control Example 5g). The surface energy of the untreated fluoropolymer film is shown in Table 5. Sample 5m was subjected to N 2 + H 2 plasma treatment simultaneously in situ in the ICP system under the conditions listed in Table 5. The surface energy was not shown to be different from the untreated fluoropolymer film.

連続N2およびH2プラズマによる処理の例(5b)
適度な表面エネルギーのSMLを、1500Wのコイルおよび50WのプラテンのRF出力により、5ミリトル(約0.67Pa)で、30sccmのCF4、10sccmのC48、20sccmのH2からICPプラズマシステム内で堆積した(対照例5a)。未処理のフルオロポリマー膜の表面エネルギーが、表5に示されている。次いで、このサンプルに、表5に列挙された条件でICPシステム内でその場で連続してN2およびH2プラズマ処理を行った。表面エネルギーは、70mJ/m2超に上昇した。この値は、アンモニアまたは窒素プラズマにより得られた値と同程度である。薄いガラスシートをこのサンプルに結合させ、600℃の温度試験を行い、その後、薄いガラスシートは、担体から剥離できた、すなわち、このサンプルは、600℃処理試験の項目(c)に合格した。
Example of treatment with continuous N 2 and H 2 plasma (5b)
The SML moderate surface energy, the RF output of the platen of the coil and 50W of 1500 W, 5 mTorr (about 0.67 Pa), 30 sccm of CF 4, 10 sccm of C 4 F 8, 20sccm ICP plasma system from of H 2 (Control Example 5a). The surface energy of the untreated fluoropolymer film is shown in Table 5. This sample was then subjected to N 2 and H 2 plasma treatments continuously in situ in the ICP system under the conditions listed in Table 5. The surface energy increased to over 70 mJ / m 2 . This value is comparable to that obtained with ammonia or nitrogen plasma. A thin glass sheet was bonded to the sample and subjected to a temperature test at 600 ° C., after which the thin glass sheet could be peeled from the carrier, ie, the sample passed item (c) of the 600 ° C. treatment test.

XPSデータは、表面改質層に対するアンモニアおよび窒素プラズマ処理の影響を明らかにした。特に、アンモニアプラズマ処理は、表面改質層の炭素含有量をおおよそ半分にし、フッ素濃度を約1/4減少させ、約0.4at%の窒素を加える。ケイ素、酸素、および他のガラス成分も、表面に少量の窒素種を加えながら、フルオロポリマーを除去するアンモニアプラズマに一致して、同様に増加するのが見られる。窒素プラズマ処理は、窒素含有量を2at%まで増加させるが、アンモニアと同様に、炭素およびフッ素の含有量も減少させる。ケイ素、酸素および他のガラス成分も、膜厚の減少と一致して増加する。このように、アンモニアおよび窒素プラズマ処理は、表面改質層に極性基を加えるが、表面層の厚さを減少させることも示される。表面改質層の結果として厚さは、一般に、20nm未満であった。それゆえ、効果的な表面改質層は、一般に、制御された結合を達成するためのその後の表面処理時間との、表面改質層の厚さのバランスをとる。   XPS data revealed the effect of ammonia and nitrogen plasma treatment on the surface modification layer. In particular, the ammonia plasma treatment approximately halves the carbon content of the surface modified layer, reduces the fluorine concentration by about 1/4, and adds about 0.4 at% nitrogen. Silicon, oxygen, and other glass components are seen to increase as well, consistent with an ammonia plasma that removes the fluoropolymer while adding a small amount of nitrogen species to the surface. Nitrogen plasma treatment increases the nitrogen content to 2 at%, but also reduces the carbon and fluorine content as well as ammonia. Silicon, oxygen and other glass components also increase consistent with the decrease in film thickness. Thus, ammonia and nitrogen plasma treatments are also shown to add polar groups to the surface modified layer but reduce the thickness of the surface layer. As a result of the surface modification layer, the thickness was generally less than 20 nm. Therefore, an effective surface modification layer generally balances the thickness of the surface modification layer with subsequent surface treatment times to achieve controlled bonding.

上述したように、表5の例のように担体に結合した薄いガラスシートは、アルミノホウケイ酸塩無アルカリガラスである、「Corning」Willow(登録商標)Glass(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された、100、130、および150マイクロメートルの厚さを有する基板であった。結合前に、この「Willow」Glassは、酸素プラズマと、その後のSC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。   As noted above, the thin glass sheet bonded to the carrier as in the example of Table 5 is an aluminoborosilicate alkali-free glass, “Corning” Willow® Glass (Corning Incorporated, Corning, NY). Substrate having a thickness of 100, 130, and 150 micrometers. Prior to bonding, the “Willow” Glass was cleaned using an oxygen plasma followed by SC1 and / or SC2 chemistry and standard cleaning techniques.

表5の例において、表面改質層が上に配置された結合表面はガラスであったが、その必要はない。代わりに、結合表面は、ガラスと同様の表面エネルギーおよび性質を有する別の適切な材料、例えば、シリコン、ポリシリコン、単結晶シリコン、セラミック、ガラスセラミック、サファイア、または石英であってよい。   In the example of Table 5, the bonding surface with the surface modified layer disposed thereon was glass, but this is not necessary. Alternatively, the bonding surface may be another suitable material having surface energy and properties similar to glass, such as silicon, polysilicon, single crystal silicon, ceramic, glass ceramic, sapphire, or quartz.

ガラスの結合表面の結合エネルギーを制御するための、厚さが20nm未満のプラズマ重合フルオロポリマー表面改質層の使用が、表3および5の例において示された。上に表面改質層を有するそのようなガラス担体へのガラスの薄いシートの最初の結合は、ガラスとガラスの結合に似ている:薄いシートと被覆されたガラス担体との間の強力な引力相互作用のために、結合フロントが急激に移動する。この引力相互作用の物理的原因は、水素結合分子水の有無にかかわらずに、薄いガラスシート上の極性基(主にシラノール基)と、担体の表面改質層上の極性基との間の双極子間(ケーソム(Keesom))相互作用である。しかしながら、フルオロポリマー表面改質処理が、デバイス製造に関連する600℃までの温度で、薄いシートが担体に永久的に結合するのを防ぐ。より厚いガラスの低収率酸薄化(acid thinning)に対する圧倒的な費用優位を提供するために、担体を再利用する必要がある。フルオロポリマー堆積プロセスは、担体の表面をエッチングするので、フッ素化された表面改質層を使用する場合、このことは懸念である。それらの表面改質層を有する担体の再利用が示されているが、表面粗さは0.3nmから約1.2nmのRaに増加する。粗さのこの増加は、結合面積が制限されるために、結合エネルギー(表面改質層の堆積、除去、および再堆積後に再利用された担体上の)を減少させることによって、担体の再利用可能性に影響し得る。また、表面粗さの増加は、新規のガラスの粗さに関する仕様を満たさないことにより、ディスプレイ用基板としての担体自体の使用などの他の用途における担体の再利用を制限し得る。薄いガラスシートおよび担体の結合対を300℃超の温度でアニールした後、薄いガラスシートの結合表面側に粗さが導入されたことも観察された。薄いシートの結合表面上の増加した粗さは、表面改質層処理された担体の結合表面から脱着されたフッ素含有ガスによる薄いガラスの結合表面のエッチングのためであろう。ある場合には、結合表面のこの粗さの増加は、必然ではない。他の場合には、粗さの増加は小さいが、この増加により、例えば、担体の再利用が制限されるかもしれないので、これは許容できないであろう。その上、特定の製造作業においてフッ素化ガスを使用することには、望ましくない理由、例えば、健康および安全性の理由があるかもしれない。   The use of a plasma polymerized fluoropolymer surface modification layer with a thickness of less than 20 nm to control the binding energy of the glass binding surface was demonstrated in the examples of Tables 3 and 5. The initial bonding of a thin sheet of glass to such a glass carrier with a surface modification layer on top resembles the bonding of glass to glass: a strong attractive force between the thin sheet and the coated glass carrier Due to the interaction, the coupling front moves rapidly. The physical cause of this attractive interaction is between the polar groups on the thin glass sheet (mainly silanol groups) and the polar groups on the surface modification layer of the support, with or without hydrogen-bonded molecular water. It is a dipole-to-dipole (Keesom) interaction. However, the fluoropolymer surface modification process prevents the thin sheet from permanently bonding to the carrier at temperatures up to 600 ° C. associated with device manufacturing. In order to provide an overwhelming cost advantage over the low yield acid thinning of thicker glasses, the support needs to be reused. Since the fluoropolymer deposition process etches the surface of the support, this is a concern when using a fluorinated surface modification layer. Recycling of the carriers with their surface modified layers has been shown, but the surface roughness increases from 0.3 nm to about 1.2 nm Ra. This increase in roughness reduces the binding energy (on the recycled carrier after deposition, removal, and redeposition of the surface modification layer) due to the limited bonding area, thereby reusing the carrier. Can affect the potential. Also, the increased surface roughness may limit the reuse of the carrier in other applications, such as the use of the carrier itself as a display substrate, by not meeting the specifications for new glass roughness. It was also observed that after annealing the thin glass sheet and carrier bond pair at a temperature above 300 ° C., roughness was introduced on the bond surface side of the thin glass sheet. The increased roughness on the bonding surface of the thin sheet may be due to the etching of the thin glass bonding surface with a fluorine-containing gas desorbed from the bonding surface of the surface modified layer treated support. In some cases, this increase in roughness of the binding surface is not necessary. In other cases, the increase in roughness is small, but this would be unacceptable as this increase may, for example, limit carrier reuse. Moreover, the use of fluorinated gases in certain manufacturing operations may have undesirable reasons, such as health and safety reasons.

このように、制御された結合、すなわち、FPD加工に耐えるのに十分に強いが、それでも、損傷せずに薄いシートを担体から分離できる(高温加工、例えば、400℃または600℃超の温度での加工の後でさえも)結合を形成するために、代わりの極性結合を使用して、十分な表面エネルギー(例えば、表5の例に関して先に論じたように、50mJ/m2超)を形成することが望ましい場合があるであろう。したがって、本出願の発明者等は、薄いシートを担体に制御して結合させるのに使用できる適切な極性結合を形成する代わりの方法を研究した。 Thus, it is strong enough to withstand controlled bonding, ie FPD processing, but it can still separate thin sheets from the carrier without damage (high temperature processing, eg at temperatures above 400 ° C. or above 600 ° C. In order to form a bond (even after processing), an alternative polar bond is used to provide sufficient surface energy (eg, greater than 50 mJ / m 2 as discussed above with respect to the example in Table 5). It may be desirable to form. Accordingly, the inventors of the present application have studied alternative methods of forming suitable polar bonds that can be used to control and bond thin sheets to a carrier.

本願の発明者等は、ガラスをエッチングするのにフッ素がほとんどまたは全く利用されないような、炭化水素高分子、またはより一般には、炭素質層の使用を研究した。しかしながら、いくつかの重要な課題を克服しなければならなかった。炭素質層の表面エネルギーは、この炭素質層がガラスと結合するためには、約50mJ/m2超でなければならない。薄いシートと担体との間に液体浸透がなくウェット処理に耐えるのに十分に強い結合を提供するために、ある場合には、炭素質表面改質層は、65mJ/m2以上の表面エネルギーを有するべきである。65mJ/m2で、担体の表面エネルギー(薄いガラスシートに結合するための)は、その後の加工中に、担体と薄いシートとの間の液体(例えば、水)浸透を防ぐのに十分である。約50mJ/m2の表面エネルギーでは、薄いガラスシートに対する結合は、ほとんどのFPD加工にとって十分であろうが、液体浸透を防ぐために熱処理を必要とすることがある。具体的には、炭化水素層の極性成分は、薄いガラスシートのシラノール基と直接強力な双極子間結合を達成するために、増加させる、または水素結合分子水により媒介される必要がある。この炭素質層は、少なくともアモルファスシリコン(aSi)TFT、カラーフィルタ(CF)、または容量タッチデバイスの製造プロセスを経験する担体・薄いシートの物品に有用であるように、熱、化学、および真空適合性も示すべきである。このことは、ポリエチレンのような脂肪族炭化水素は、不活性雰囲気中で大きい熱安定性を示すので、可能と思われた。特定の状況下で解重合することもあるフルオロポリマーと異なり、HDPEは単に焦げる。HDPEは焦げるかもしれないが、高分子の厚さが十分に小さい場合、それでも、そこを通して見ることができる。最終的な懸念は、機械的安定性およびウェットプロセスの適合性が、ファンデルワールス力のみによって達成できる付着よりも高い付着を必要とすると思われることであった。約250から約275mJ/m2の結合エネルギーが、使用されるガラスの薄いシートに関する湿式超音波処理に耐えるのに有益であることが分かった。この大きい結合エネルギーは、結合プロセスの基本要件ではなく、粒子およびエッジ欠陥によるものであろう。よくても、2つの清浄なガラス表面を結合することで、約150mJ/m2の結合エネルギーを生じることができる。250〜275mJ/m2の結合強度を達成するには、ある程度の共有結合が必要である。 The inventors of the present application have studied the use of hydrocarbon polymers, or more generally carbonaceous layers, where little or no fluorine is utilized to etch glass. However, some important challenges had to be overcome. The surface energy of the carbonaceous layer must be greater than about 50 mJ / m 2 for this carbonaceous layer to bond with the glass. In some cases, the carbonaceous surface modification layer has a surface energy of 65 mJ / m 2 or more to provide a sufficiently strong bond between the thin sheet and the carrier to withstand wet processing without liquid penetration. Should have. At 65 mJ / m 2 , the surface energy of the carrier (for bonding to a thin glass sheet) is sufficient to prevent liquid (eg, water) penetration between the carrier and the thin sheet during subsequent processing. . At a surface energy of about 50 mJ / m 2 , bonding to a thin glass sheet will be sufficient for most FPD processing, but may require heat treatment to prevent liquid penetration. Specifically, the polar component of the hydrocarbon layer needs to be increased or mediated by hydrogen-bonded molecular water to achieve strong dipolar bonding directly with the silanol groups of the thin glass sheet. This carbonaceous layer is heat, chemical, and vacuum compatible so that it is useful for at least carrier / thin sheet articles that experience the manufacturing process of amorphous silicon (aSi) TFTs, color filters (CF), or capacitive touch devices. Sex should also be shown. This seemed possible because aliphatic hydrocarbons such as polyethylene exhibited great thermal stability in an inert atmosphere. Unlike fluoropolymers, which can depolymerize under certain circumstances, HDPE simply burns. HDPE may burn, but if the polymer thickness is small enough, it can still be seen there. The ultimate concern was that the mechanical stability and wet process suitability seemed to require higher adhesion than can be achieved by van der Waals forces alone. A binding energy of about 250 to about 275 mJ / m 2 has been found to be beneficial to withstand wet sonication on the thin sheet of glass used. This large binding energy is not due to the basic requirements of the bonding process, but to particles and edge defects. At best, bonding two clean glass surfaces can produce a binding energy of about 150 mJ / m 2 . In order to achieve a bond strength of 250-275 mJ / m 2 , some degree of covalent bonding is required.

表6〜12の例において研究した表面改質層は、フッ素を含有しない材料源に基づく有機層である。下記により詳しく説明するように、非晶質炭化水素層(または単に炭素質層)をガラス担体上に製造することができた(表6)が、表面エネルギーは、FPD加工に耐えるのに、きれいなガラス表面に対する十分な付着を生じなかった。メタンおよび水素に基づく有機表面改質層は、強い極性基を含有しなかったので、このことは意外ではなかった。薄いガラスシートへの結合に利用できる極性基を増加させるために、追加の気体を、プラズマ重合中に加え、十分な表面エネルギーを達成することができた(表7)。しかしながら、ある場合には、十分な表面エネルギーを達成できたが、この一段階プロセスは、材料源の適切な混合物を得る上で、ある程度の複雑さを伴う。したがって、二段階プロセスを開発した:第一段階において、表面改質層を形成した(例えば、表6の例において行った方法と同様に、2種類の気体から);次いで、第二段階において、表面改質層を様々な様式で処理して、薄いガラスシートへの結合に利用できる極性基および表面エネルギーを増加させた。段階が多くなったが、このプロセスは、所望の結果をなんとかして得るのにそれほど複雑ではなかった。これらの処理により、薄いシートに結合される表面改質層の表面にある極性基が増加する。このように、表面改質層の内部は、ある場合には、極性基を含まないかもしれないが、炭素質層を薄いシートに結合するために、極性基が利用できる。初期表面改質層を処理する様々な方法が、表8〜12の例において研究されている:表8の例において、表面改質層はNH3により処理される;表9の例において、表面改質層はN2により処理される;表10の例において、表面改質層はN2により、次いで、H2により連続的に処理される;表11の例において、表面改質層は、N2−O2により、次いで、N2により連続的に処理される;表12の例において、表面改質層は、N2−O2により処理される;表12に続く代わりの例において、表面改質層は、O2のみにより処理される。これらの例は、窒素および酸素の極性基の使用を示しているが、他の極性基も可能であろう。 The surface modified layers studied in the examples of Tables 6 to 12 are organic layers based on material sources that do not contain fluorine. As explained in more detail below, an amorphous hydrocarbon layer (or simply a carbonaceous layer) could be produced on a glass support (Table 6), but the surface energy was clean enough to withstand FPD processing. Not enough adhesion to the glass surface. This was not surprising since organic surface modification layers based on methane and hydrogen did not contain strong polar groups. In order to increase the polar groups available for bonding to the thin glass sheet, additional gas could be added during plasma polymerization to achieve sufficient surface energy (Table 7). However, in some cases, sufficient surface energy could be achieved, but this one-step process involves some degree of complexity in obtaining an appropriate mixture of material sources. Therefore, a two-stage process was developed: in the first stage, a surface modified layer was formed (eg, from two gases, similar to the method performed in the example of Table 6); The surface modification layer was treated in various ways to increase the polar groups and surface energy available for bonding to the thin glass sheet. Although there were many steps, this process was not so complex to somehow get the desired result. These treatments increase the polar groups on the surface of the surface modification layer that are bonded to the thin sheet. Thus, the interior of the surface modified layer may not contain polar groups in some cases, but polar groups can be used to bond the carbonaceous layer to a thin sheet. Various methods of treating the initial surface modified layer have been studied in the examples of Tables 8-12: In the example of Table 8, the surface modified layer is treated with NH 3 ; in the example of Table 9, the surface The modified layer is treated with N 2 ; in the example of Table 10, the surface modified layer is treated sequentially with N 2 and then with H 2 ; in the example of Table 11, the surface modified layer is Treated with N 2 —O 2 and then continuously with N 2 ; in the example of Table 12, the surface modified layer is treated with N 2 —O 2 ; in the alternative example following Table 12, The surface modification layer is treated only with O 2 . These examples show the use of nitrogen and oxygen polar groups, but other polar groups could be possible.

炭化水素(例えば、メタンCH 4 )、および必要に応じて水素(例えば、H 2 )による炭素質表面改質層の形成
結合表面の表面エネルギーを調整し、その上を表面ヒドロキシルで覆うためにプラズマ重合膜を使用する別の例は、プラズマ重合中に、必要に応じて、別のガス(例えば、水素H2)と共に、炭素含有ガス、例えば、炭化水素ガス、例えば、メタンからの、表面改質層の薄膜の堆積である。けれども、ほとんどの場合、そうでなければ堆積された材料が黒鉛状であり、黒い傾向にあり、低いバンドギャップを有するので、水素流が好ましい。このことは、表6〜12および16の炭素質表面改質層の例を通じて同じである。表面改質層は、大気圧または減圧で形成してもよく、プラズマ励起、例えば、DCまたはRF平行平板、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)、下流マイクロ波またはRFプラズマにより行われる。プラズマ重合された表面改質層は、担体、薄いシート、またはその両方に堆積させてもよい。表3の例に関して先に述べたように、プラズマ重合により、高度に架橋した材料の層が形成される。反応条件および供給ガスの制御を使用して、表面改質層の膜厚、密度、および官能基を所望の用途に合わせるための化学的性質を制御することができ、膜の性質を制御することにより、結合表面の表面エネルギーを調整することができる。表面エネルギーは、結合の程度を制御するように、すなわち、薄いシート上に膜または構造体を堆積させるために行われるその後の処理中に、薄いシートと担体との間の永久的な共有結合を防ぐように、調整することができる。
Formation of a carbonaceous surface modification layer with a hydrocarbon (eg, methane CH 4 ), and optionally hydrogen (eg, H 2 ) Plasma to adjust the surface energy of the bonded surface and cover it with surface hydroxyl Another example of using a polymerized membrane is surface modification from a carbon-containing gas, such as a hydrocarbon gas, such as methane, optionally with another gas (such as hydrogen H 2 ) during plasma polymerization. It is the deposition of a thin film of a porous layer. However, in most cases, a hydrogen flow is preferred because the otherwise deposited material is graphitic, tends to be black, and has a low band gap. This is the same throughout the examples of carbonaceous surface modification layers in Tables 6-12 and 16. The surface modification layer may be formed at atmospheric pressure or reduced pressure and is performed by plasma excitation, for example, DC or RF parallel plate, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream microwave or RF plasma. Is called. The plasma polymerized surface modification layer may be deposited on a support, a thin sheet, or both. As described above with respect to the example of Table 3, plasma polymerization forms a layer of highly crosslinked material. Using reaction conditions and feed gas control, the thickness, density, and chemical properties of the surface modification layer can be controlled to tailor the desired application and control the film properties Thus, the surface energy of the binding surface can be adjusted. The surface energy controls the degree of bonding, i.e. during the subsequent processing performed to deposit a film or structure on the thin sheet, the permanent covalent bond between the thin sheet and the carrier. It can be adjusted to prevent.

下記の表6の例において、様々な条件を使用して、ガラス担体上にプラズマ重合膜を堆積させた。表6の例において研究した堆積パラメータは:ガス比(メタン:水素);圧力、ICPコイルおよびRFバイアス出力であった。ガラス担体は、アルミノホウケイ酸塩無アルカリディスプレイ用ガラスである、「Corning」「Eagle XG」(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された基板であった。膜の堆積前に、担体は、SC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。膜は、誘電結合プラズマ(ICP)機器である、Oxford Plasmalab 380 ICP(英国、オックスフォードシャー州所在のOxford Instruments社から入手した)内で堆積させた。ここで、担体をプラテン上に置き、それに、特定のワット数(「RFバイアス」の列に記載)の13.56MHzのRFエネルギーを印加し、プラテンの上にコイルを配置し、これに、特定のワット数(「コイル」の列に記載)の13.5MHzのRFエネルギーを印加した。槽内へのメタン(CH4)源および水素(H2)源の流量は、それぞれ、CH4およびH2の列に示されているようなものであった(流量は、標準件下の毎分立方センチメートル−sccmである)。CH4およびH2ガスを一緒に流した。「H2/CH4」の列にH2:CH4の供給ガスの比率、および「圧力」の列に槽の圧力(ミリトル)も示されている。このように、例えば、例6aの表6における表記は、以下のように読める:Oxford ICP装置において、6.7sccmのCH4および33.3sccmのH2を、圧力が20ミリトル(約2.67Pa)の槽内に一緒に流した;1500Wの13.5MHzのRFエネルギーをコイルに印加し、300Wの13.56MHzのRFエネルギーを、担体を置いたプラテンに印加した。プラテンの温度は、全ての堆積について30℃であった。残りの例に関する表記は、同様に読むことができる。表面エネルギーは、3つの異なる試験液体(この場合、脱イオン水(「W」の列に示されている)、ヘキサデカン(「H」の列に示されている)、およびジヨードメタン(「DIM」の列に示されている))の接触角(CA)およびWuモデルを使用することにより、mJ/m2(平方メートル当たりのミリジュール)で計算した。表面エネルギーについて、極性(P)および分散(D)成分、並びに合計(T)が示されている。 In the example of Table 6 below, a plasma polymerized film was deposited on a glass support using various conditions. The deposition parameters studied in the examples of Table 6 were: gas ratio (methane: hydrogen); pressure, ICP coil and RF bias power. The glass support was a substrate made from “Corning” “Eagle XG” (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free display glass. Prior to film deposition, the support was cleaned using SC1 and / or SC2 chemistry and standard cleaning techniques. The film was deposited in an Oxford Plasmalab 380 ICP (obtained from Oxford Instruments, Oxfordshire, UK), an inductively coupled plasma (ICP) instrument. Here, the carrier is placed on the platen, to which a 13.56 MHz RF energy of a specific wattage (described in the “RF bias” column) is applied, a coil is placed on the platen, and Of 13.5 MHz RF energy was applied (in the "Coil" column). The flow rates of the methane (CH 4 ) and hydrogen (H 2 ) sources into the tank were as shown in the CH 4 and H 2 columns, respectively (flow rates were as per standard). Minute cubic centimeter-sccm). CH 4 and H 2 gases were flowed together. The “H 2 / CH 4 ” column also shows the ratio of H 2 : CH 4 feed gas, and the “Pressure” column also shows the tank pressure (millitorr). Thus, for example, the notation in Table 6 of Example 6a can be read as follows: In an Oxford ICP device, 6.7 sccm of CH 4 and 33.3 sccm of H 2 at a pressure of 20 millitorr (about 2.67 Pa). ); 1500 W of 13.5 MHz RF energy was applied to the coil and 300 W of 13.56 MHz RF energy was applied to the platen on which the carrier was placed. The platen temperature was 30 ° C. for all depositions. The notation for the remaining examples can be read similarly. The surface energies were measured in three different test liquids (in this case deionized water (shown in the “W” column), hexadecane (shown in the “H” column), and diiodomethane (“DIM”). Calculated in mJ / m 2 (millijoule per square meter) by using the contact angle (CA)) and Wu model)). For surface energy, the polar (P) and dispersed (D) components and the total (T) are shown.

例6a〜6jに関する表面エネルギーは、約40から約50mJ/m2まで様々であった。しかしながら、概して、これらの例の表面エネルギーは、約50mJ/m2未満であった(ガラス担体をガラスの薄いシートに制御可能に結合するのに適切であると考えられる)。表面改質層の厚さは約6nmであった。これらの例は、FPD加工に耐えるのに、担体と薄いシートとの間に十分な付着を生じなかった、すなわち、それらは、真空試験中に気泡が生じるのが観察され、ウェットプロセス試験中に温水浸透が生じたのが観察された。 Examples surface energy related 6a~6j varied from about 40 to about 50 mJ / m 2. In general, however, the surface energy of these examples was less than about 50 mJ / m 2 (which is considered suitable for controllably bonding the glass carrier to a thin sheet of glass). The thickness of the surface modification layer was about 6 nm. These examples did not produce sufficient adhesion between the carrier and the thin sheet to withstand FPD processing, i.e. they were observed to generate bubbles during the vacuum test and during the wet process test. It was observed that warm water penetration occurred.

これらの表面改質層自体は、薄いガラスシートに結合するのに適切ではなかったが、それらは、他の用途、例えば、下記に記載するように、薄い高分子シート上に電子または他の構造を加工するためにガラス担体に高分子の薄いシートを施すのに使用してもよい。あるいは、薄いシートは、ガラス担体に結合してよい高分子表面を有する複合シートであってもよい。この場合、その複合シートは、電子または他の構造を堆積してよいガラス層を備えることがあるのに対し、その高分子部分は、ガラス担体と制御結合するための結合表面を形成する。   Although these surface modified layers themselves were not suitable for bonding to thin glass sheets, they were not suitable for other applications, such as electrons or other structures on thin polymer sheets, as described below. It may be used to apply a thin sheet of polymer to a glass carrier for processing. Alternatively, the thin sheet may be a composite sheet having a polymeric surface that may be bonded to a glass carrier. In this case, the composite sheet may comprise a glass layer on which electrons or other structures may be deposited, whereas the polymer portion forms a binding surface for controlled bonding with the glass carrier.

表6の例において、表面改質層が上に配置された結合表面はガラスであったが、その必要はない。代わりに、結合表面は、ガラスと同様の表面エネルギーおよび性質を有する別の適切な材料、例えば、シリコン、ポリシリコン、単結晶シリコン、セラミック、ガラスセラミック、サファイア、または石英であってよい。   In the example of Table 6, the bonding surface with the surface modification layer disposed thereon was glass, but this is not necessary. Alternatively, the bonding surface may be another suitable material having surface energy and properties similar to glass, such as silicon, polysilicon, single crystal silicon, ceramic, glass ceramic, sapphire, or quartz.

非フッ素化源の混合物による表面改質層の一段階形成
結合表面の表面エネルギーを調整し、その上を表面ヒドロキシルで覆うためにプラズマ重合膜を使用する別の例は、炭素含有ガス、例えば、炭化水素を含む、非フッ素化ガス源の混合物からの表面改質層の薄膜の堆積である。表面改質層の堆積は、大気圧または減圧で行ってよく、プラズマ励起、例えば、DCまたはRF平行平板、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)、下流マイクロ波またはRFプラズマにより行われる。プラズマ重合された表面改質層は、担体、薄いシート、またはその両方に堆積させてもよい。表3の例に関して先に述べたように、プラズマ重合により、高度に架橋した材料の層が形成される。反応条件および供給ガスの制御を使用して、表面改質層の膜厚、密度、および官能基を所望の用途に合わせるための化学的性質を制御することができ、膜の性質を制御することにより、結合表面の表面エネルギーを調整することができる。表面エネルギーは、結合の程度を制御するように、すなわち、薄いシート上に膜または構造体を堆積させるために行われるその後の処理中に、薄いシートと担体との間の永久的な共有結合を防ぐように、調整することができる。
Another example of using a plasma polymerized film to adjust the surface energy of a surface modified layer with a mixture of non-fluorinated sources and to coat it with surface hydroxyl is a carbon-containing gas, for example, Deposition of a thin film of a surface modified layer from a mixture of non-fluorinated gas sources, including hydrocarbons. The surface modification layer may be deposited at atmospheric pressure or reduced pressure and may be performed by plasma excitation, eg, DC or RF parallel plate, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream microwave or RF plasma. Is called. The plasma polymerized surface modification layer may be deposited on a support, a thin sheet, or both. As described above with respect to the example of Table 3, plasma polymerization forms a layer of highly crosslinked material. Using reaction conditions and feed gas control, the thickness, density, and chemical properties of the surface modification layer can be controlled to tailor the desired application and control the film properties Thus, the surface energy of the binding surface can be adjusted. The surface energy controls the degree of bonding, i.e. during the subsequent processing performed to deposit a film or structure on the thin sheet, the permanent covalent bond between the thin sheet and the carrier. It can be adjusted to prevent.

下記の表7の例において、様々な条件を使用して、ガラス担体上にプラズマ重合膜を堆積させた。ガラス担体は、アルミノホウケイ酸塩無アルカリディスプレイ用ガラスである、「Corning」「Eagle XG」(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された基板であった。膜の堆積前に、担体は、SC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。膜は、誘電結合プラズマ(ICP)構成モードの、Oxford Plasmalab 380 ICP(英国、オックスフォードシャー州所在のOxford Instruments社から入手した)内で堆積させた。ここで、担体をプラテン上に置き、それに、特定のワット数(「RFバイアス」の列に記載)の13.56MHzのRFエネルギーを印加し、プラテンの上にコイルを配置し、これに、特定のワット数(「コイル」の列に記載)の13.5MHzのRFエネルギーを印加した。槽内へのメタン(CH4)、窒素(N2)および水素(H2)の供給ガスの流量は、それぞれ、CH4、N2およびH2の列に示されているようなものであった(流量は、標準件下の毎分立方センチメートル−sccmである)。CH4、N2およびH2ガスを一緒に流した。「N2/CH4」の列にN2:CH4の供給ガスの比率、および「圧力」の列に槽の圧力(ミリトル)も示されている。このように、例えば、例7gの表7における表記は、以下のように読める:Oxford 380 ICP装置において、15.4sccmのCH4、3.8sccmのN2および30.8sccmのH2を、圧力が5ミリトル(約0.67Pa)の槽内に一緒に流した;1500Wの13.5MHzのRFエネルギーをシャワーヘッドに印加し、50Wの13.56MHzのRFエネルギーを、担体を置いたプラテンに印加した。プラテンの温度は、表7の全てのサンプルについて30℃であった。残りの例に関する表記は、同様に読むことができる。表面エネルギーは、3つの異なる試験液体(この場合、脱イオン水(「W」の列に示されている)、ヘキサデカン(「H」の列に示されている)、およびジヨードメタン(「DIM」の列に示されている))の接触角(CA)およびWuモデルを使用することにより、mJ/m2(平方メートル当たりのミリジュール)で計算した。表面エネルギーについて、極性(P)および分散(D)成分、並びに合計(T)が示されている。さらに、「厚さ」の列に、その特定の例について記載した条件により堆積した表面改質層の厚さ値(オングストローム)が示されている。 In the example of Table 7 below, a plasma polymerized film was deposited on a glass support using various conditions. The glass support was a substrate made from “Corning” “Eagle XG” (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free display glass. Prior to film deposition, the support was cleaned using SC1 and / or SC2 chemistry and standard cleaning techniques. The film was deposited in an Oxford Plasmalab 380 ICP (obtained from Oxford Instruments, Oxfordshire, UK) in an inductively coupled plasma (ICP) configuration mode. Here, the carrier is placed on the platen, to which a 13.56 MHz RF energy of a specific wattage (described in the “RF bias” column) is applied, a coil is placed on the platen, and Of 13.5 MHz RF energy was applied (in the "Coil" column). The flow rates of the methane (CH 4 ), nitrogen (N 2 ) and hydrogen (H 2 ) feed gases into the tank were as shown in the CH 4 , N 2 and H 2 columns, respectively. (The flow rate is cubic centimeter per minute-sccm under standard conditions). CH 4 , N 2 and H 2 gas were flowed together. The “N 2 / CH 4 ” column also shows the ratio of N 2 : CH 4 feed gas, and the “Pressure” column also shows the tank pressure (millitorr). Thus, for example, the notation in Table 7 of Example 7g can be read as follows: In an Oxford 380 ICP device, 15.4 sccm CH 4 , 3.8 sccm N 2 and 30.8 sccm H 2 are Flowed together in a 5 mTorr (approx. 0.67 Pa) bath; 1500 W of 13.5 MHz RF energy applied to the showerhead and 50 W of 13.56 MHz RF energy applied to the platen on which the carrier was placed. did. The platen temperature was 30 ° C. for all samples in Table 7. The notation for the remaining examples can be read similarly. The surface energies were measured in three different test liquids (in this case deionized water (shown in the “W” column), hexadecane (shown in the “H” column), and diiodomethane (“DIM”). Calculated in mJ / m 2 (millijoule per square meter) by using the contact angle (CA)) and Wu model)). For surface energy, the polar (P) and dispersed (D) components and the total (T) are shown. Further, the “thickness” column shows the thickness value (in angstroms) of the surface modified layer deposited under the conditions described for that particular example.

例7aは、メタンのみから製造された表面改質層を示している。これらの堆積条件下で、メタンから形成された表面改質層は、担体上で、たった約44mJ/m2の表面エネルギーしか達成しなかった。これは、ガラスとガラスの制御された結合にとって望ましいレベルにないが、これは、高分子結合表面をガラス担体に結合するのに有用であるかもしれない。 Example 7a shows a surface modified layer made only from methane. Under these deposition conditions, the surface modification layer formed from methane achieved only about 44 mJ / m 2 of surface energy on the support. This is not at the desired level for controlled bonding of glass to glass, but this may be useful for bonding polymer bonded surfaces to glass carriers.

例7bから7eは、N2:CH4の様々な比率での、メタンおよび窒素のプラズマ重合から製造された表面改質層を示している。これらの堆積条件下で、メタンと窒素から形成された表面改質層は、担体上で、約61mJ/m2(例7e)から約64mJ/m2(例7d)までの表面エネルギーを達成した。これらの表面エネルギーは、薄いガラスシートをガラス担体に制御可能に結合するのに十分である。 Examples 7b to 7e show surface modification layers made from plasma polymerization of methane and nitrogen at various ratios of N 2 : CH 4 . Under these deposition conditions, a surface modification layer formed from methane and nitrogen achieved a surface energy on the support of about 61 mJ / m 2 (Example 7e) to about 64 mJ / m 2 (Example 7d). . These surface energies are sufficient to controllably bond the thin glass sheet to the glass carrier.

例7fは、メタンおよび水素(H2)のプラズマ重合から製造された表面改質層を示している。これらの堆積条件下で、メタンと水素から製造された表面改質層は、担体上で、約60mJ/m2の表面エネルギーを達成した。これは、薄いガラスシートをガラス担体に制御可能に結合するのに十分である。 Example 7f shows a surface modification layer made from plasma polymerization of methane and hydrogen (H 2 ). Under these deposition conditions, a surface modification layer made from methane and hydrogen achieved a surface energy of about 60 mJ / m 2 on the support. This is sufficient to controllably bond the thin glass sheet to the glass carrier.

例7gから7jは、メタン、窒素、および水素のプラズマ重合から製造された表面改質層を示している。これらの堆積条件下で、メタンと窒素と水素から製造された表面改質層は、担体上で、約58mJ/m2(例7g)から約67mJ/m2(例7j)までの表面エネルギーを達成した。これは、薄いガラスシートをガラス担体に制御可能に結合するのに十分である。 Examples 7g to 7j show surface modified layers made from plasma polymerization of methane, nitrogen, and hydrogen. Under these deposition conditions, a surface modification layer made from methane, nitrogen and hydrogen has a surface energy of about 58 mJ / m 2 (Example 7g) to about 67 mJ / m 2 (Example 7j) on the support. Achieved. This is sufficient to controllably bond the thin glass sheet to the glass carrier.

例7bから7jにより形成された表面改質層で結合された薄いガラスおよび担体は、450℃でのアニール後に永久的には付着しないことが観察された、すわなち、それらは、400℃温度試験の項目(c)に合格する。剥離により、薄いガラス上に製造されたデバイスの取外し、および担体の再利用が可能である。   It was observed that the thin glass and support bonded with the surface modification layer formed according to Examples 7b to 7j did not permanently adhere after annealing at 450 ° C., ie, the temperature of 400 ° C. Pass test item (c). Exfoliation allows removal of devices made on thin glass and reuse of the carrier.

表7の例(7bから7j)のように担体の各々に結合した薄いガラスシートは、アルミノホウケイ酸塩無アルカリガラスである、「Corning」「Willow」Glass(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された、100、130、および150マイクロメートルの厚さを有する基板であった。結合前に、この「Willow」Glassは、酸素プラズマと、その後のSC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。   The thin glass sheets bonded to each of the supports, as in the examples in Table 7 (7b to 7j), are aluminoborosilicate alkali-free glass, “Corning” “Willow” Glass (Corning Incorporated, Corning, NY). Substrate having a thickness of 100, 130, and 150 micrometers. Prior to bonding, the “Willow” Glass was cleaned using an oxygen plasma followed by SC1 and / or SC2 chemistry and standard cleaning techniques.

表7の例において、表面改質層が上に配置された結合表面はガラスであったが、その必要はない。代わりに、結合表面は、ガラスと同様の表面エネルギーおよび性質を有する別の適切な材料、例えば、シリコン、ポリシリコン、単結晶シリコン、セラミック、ガラスセラミック、サファイア、または石英であってよい。   In the example of Table 7, the bonding surface with the surface modified layer disposed thereon was glass, but this is not necessary. Alternatively, the bonding surface may be another suitable material having surface energy and properties similar to glass, such as silicon, polysilicon, single crystal silicon, ceramic, glass ceramic, sapphire, or quartz.

表7の例の表面改質層は、一段階プロセスで形成される。すなわち、適切な表面エネルギーおよび極性基の包有は、適切な条件下でガスの選択された混合物から表面改質層を堆積させることによって達成される。適切なガスおよび条件が達成されたが、そのプロセスは、適切なガス混合物を達成するのにある程度の複雑さを伴う。それゆえ、より簡単なプロセスが求められた。適切な表面エネルギーおよび適切な極性基は、各工程が簡単かつ安定であろう、二段階プロセスから達成できると仮定した。詳しくは、第一段階において、炭素質表面改質層を堆積させる、一方で、第二段階において、その表面改質層を処理して、表面エネルギーを増加させ、制御された結合に適切な極性基を生じさせると仮定した。その極性基は、バルク材料中よりも、薄いシートが結合する表面改質層の表面でより高濃度であろう。表6の例から、圧力およびコイル出力が、表面エネルギーに最大の影響を有することが分かった。また、膜厚が、バイアスを増加させ、圧力を減少させると増加することが分かった。それゆえ、これらの結果から、表面エネルギーを増加させ、極性基を含ませるための処理をさらに研究するために、厚さ約6.5nmの炭素質表面改質層を形成した、20sccmのCH4、40sccmのH2、5ミリトル(約0.67Pa)、1500/50Wおよび60秒の非晶質炭化水素高分子表面改質層の堆積プロセスを出発点として選択した。この基礎表面改質層に、薄いシートを結合する表面改質層の表面で、極性基、およびその濃度を変えるために、表8〜11の例に述べられたように、様々な処理を第二工程で行った。表面改質層の出発材料および処理材料の特定の例が下記に論じられているが、一般に、炭素質層は炭素含有源から形成され、次いで、その後の処理により極性基が加えられる。同様に、特定の極性基が例を通じて示されているが、他の極性基も可能であろう。 The surface modified layer of the example of Table 7 is formed by a one-step process. That is, proper surface energy and polar group inclusion is achieved by depositing a surface modification layer from a selected mixture of gases under appropriate conditions. Although the proper gas and conditions have been achieved, the process involves some complexity to achieve the proper gas mixture. Therefore, a simpler process was sought. It was assumed that the appropriate surface energy and the appropriate polar group could be achieved from a two-step process where each step would be simple and stable. Specifically, in the first stage, a carbonaceous surface modification layer is deposited, while in the second stage, the surface modification layer is treated to increase the surface energy and provide the appropriate polarity for controlled bonding. It was assumed to generate a group. The polar groups will be more concentrated at the surface of the surface modification layer to which the thin sheet is bonded than in the bulk material. From the examples in Table 6, it was found that pressure and coil power had the greatest effect on surface energy. It has also been found that the film thickness increases with increasing bias and decreasing pressure. Therefore, from these results, 20 sccm of CH 4 with a carbonaceous surface modification layer of about 6.5 nm thickness was formed to further study the process to increase surface energy and include polar groups. A 40 sccm H 2 , 5 millitorr (about 0.67 Pa), 1500/50 W and 60 second amorphous hydrocarbon polymer surface modification layer deposition process was selected as the starting point. In order to change the polar group and its concentration on the surface of the surface modification layer that bonds the thin sheet to this basic surface modification layer, various treatments were performed as described in the examples of Tables 8-11. Performed in two steps. Although specific examples of surface modifying layer starting materials and treatment materials are discussed below, in general, a carbonaceous layer is formed from a carbon-containing source and then polar groups are added by subsequent treatment. Similarly, specific polar groups are shown throughout the examples, but other polar groups could be possible.

NH 3 処理による、炭素質表面改質層への極性基の導入
結合表面の表面エネルギーを調整し、その上に代わりの極性基結合部位を作り出すためにプラズマ重合膜を使用する別の例は、炭素源、例えば、メタン(炭素含有ガス源)から、および水素H2からの表面改質層の薄膜の堆積と、それに続く、形成したばかりの表面改質層の窒素処理である。窒素処理は、例えば、アンモニアプラズマ処理により行ってよい。表面改質層の堆積は、大気圧または減圧下で、プラズマ励起、例えば、DCまたはRF平行平板、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)、下流マイクロ波またはRFプラズマにより行ってよい。プラズマ重合表面改質層は、担体、薄いシート、またはその両方の上に堆積させてもよい。表3の例について先に述べたように、プラズマ重合は、高度に架橋した材料の層を形成する。反応条件および供給ガスの制御を使用して、膜厚、密度、および官能基を所望の用途に合わせるための化学的性質を制御することができ、膜の性質を制御することにより、結合表面の表面エネルギーを調整することができる。その続いてのアンモニアプラズマ処理中に形成される窒素系極性基は、シラノール基と縮合して永久的な共有結合を生じることがなく、それゆえ、薄いシート上に膜または構造体を堆積させるために行われるその後の処理中に、薄いシートと担体との間の結合の程度を制御することができる。
Introduction of polar groups into the carbonaceous surface modification layer by NH 3 treatment Another example of using a plasma polymerized film to adjust the surface energy of the binding surface and create an alternative polar group binding site thereon is as follows: carbon source, for example, from methane (a carbon containing gas source) and the deposition of a thin film of surface modification layer from the hydrogen H 2, followed by a nitrogen treatment of the surface modification layer just formed. Nitrogen treatment may be performed, for example, by ammonia plasma treatment. The surface modification layer may be deposited by plasma excitation, eg, DC or RF parallel plate, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream microwave or RF plasma, at atmospheric pressure or reduced pressure. . The plasma polymerized surface modification layer may be deposited on the support, thin sheet, or both. As described above for the examples in Table 3, plasma polymerization forms a layer of highly crosslinked material. Reaction conditions and feed gas control can be used to control the film thickness, density, and chemistry to tailor the functional group to the desired application. The surface energy can be adjusted. Nitrogen-based polar groups formed during the subsequent ammonia plasma treatment do not condense with silanol groups to form permanent covalent bonds, and therefore to deposit films or structures on thin sheets During subsequent processing, the degree of bonding between the thin sheet and the carrier can be controlled.

下記の表8の例において、ガラス担体上にプラズマ重合表面改質層の膜を堆積させるために様々な条件を使用した。ガラス担体は、アルミノホウケイ酸塩無アルカリディスプレイ用ガラスである、「Corning」「Eagle XG」(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された基板であった。膜の堆積前に、担体は、SC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。表面改質層は、誘電結合プラズマ(ICP)構成モードの、Oxford Plasmalab 380 ICP(英国、オックスフォードシャー州所在のOxford Instruments社から入手した)内で堆積させた。ここで、担体をプラテン上に置き、それに、特定のワット数の13.56MHzのRFエネルギーを印加し、プラテンの上にコイルを配置し、これに、特定のワット数の13.5MHzのRFエネルギーを印加した。印加したエネルギーについて、より一般的に、数値は#/#Wと示され、ここで、スラッシュの前の数字は、コイル(シャワーヘッド)に印加されたワット数であり、スラッシュ後の数字は、プラテンに印加されたワット数である。1つの数字だけが示されている場合、これは、コイルのものである。槽内への気体の流量は、表8に示されたようなものであった(流量は、標準条件下の毎分立方センチメートル−sccmである)。表面改質層(SML)のプラズマ処理中、層の温度は30℃であった。それゆえ、例えば、例8aについて表8の「表面層堆積」の列における表記は、以下のように読める:Oxford ICP装置において、圧力が5ミリトル(約0.67Pa)の槽内に、40sccmのCH4を流し込んだ;1500Wの13.5MHzのRFエネルギーをシャワーヘッドに印加し、50Wの13.56MHzのRFエネルギーを、担体が置かれたプラテンに印加した;槽の温度は30℃であった;堆積時間は60秒であった。残りの例に関する表面処理の列の表記は、表面処理がSTS Multiplex PECVD(英国、ニューポート所在のSPTS社から入手した)内で行われたことを除いて、同様に読むことができる。接地電極上に置いた担体を200℃に維持し、13.56MHzのRF駆動シャワーヘッドを通じて気体を導入した。さらなる例として、「プラズマ処理」の列において、例8aにおける処理の表記は、以下のように読める:例8aの表面層堆積の例におけるパラメータのように表面改質層を形成した後、次いで、100sccmのNH3を、圧力が1トル(約133Pa)であり、温度が200℃の槽に供給する;300Wの13.56MHzのRFをシャワーヘッドに印加し、処理を60秒間に亘り行う。残りの例に関する「プラズマ処理」の列の表記は、同様に読める。表面エネルギーは、3つの異なる試験液体(この場合、脱イオン水(W)、ヘキサデカン(H)、およびジヨードメタン(DIM))の接触角およびWuモデルを使用することにより、mJ/m2(平方メートル当たりのミリジュール)で計算した。表面エネルギーについて、極性(P)および分散(D)成分、並びに合計(T)が示されている。 In the example of Table 8 below, various conditions were used to deposit a plasma polymerized surface modified layer film on a glass support. The glass support was a substrate made from “Corning” “Eagle XG” (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free display glass. Prior to film deposition, the support was cleaned using SC1 and / or SC2 chemistry and standard cleaning techniques. The surface modification layer was deposited in an Oxford Plasmalab 380 ICP (obtained from Oxford Instruments, Oxfordshire, UK) in an inductively coupled plasma (ICP) configuration mode. Here, the carrier is placed on the platen, to which a specific wattage of 13.56 MHz RF energy is applied, and a coil is placed on the platen, which has a specific wattage of 13.5 MHz RF energy. Was applied. More generally for applied energy, the number is indicated as # / # W, where the number before the slash is the wattage applied to the coil (showerhead) and the number after the slash is The wattage applied to the platen. If only one number is shown, this is that of the coil. The gas flow rate into the vessel was as shown in Table 8 (flow rate is cubic centimeters per minute-sccm under standard conditions). During the plasma treatment of the surface modified layer (SML), the temperature of the layer was 30 ° C. Thus, for example, the notation in the “Surface Deposition” column of Table 8 for Example 8a can be read as follows: In an Oxford ICP device, in a vessel with a pressure of 5 millitorr (about 0.67 Pa), 40 sccm CH 4 was flowed; 1500 W of 13.5 MHz RF energy was applied to the showerhead and 50 W of 13.56 MHz RF energy was applied to the platen on which the carrier was placed; the bath temperature was 30 ° C. The deposition time was 60 seconds. The surface treatment column notation for the remaining examples can be read similarly, except that the surface treatment was performed within STS Multiplex PECVD (obtained from SPTS, Newport, UK). The carrier placed on the ground electrode was maintained at 200 ° C. and gas was introduced through a 13.56 MHz RF driven showerhead. As a further example, in the “Plasma Treatment” column, the treatment notation in Example 8a can be read as follows: After forming the surface modification layer as the parameters in the surface layer deposition example of Example 8a, then 100 sccm of NH 3 is supplied to a bath with a pressure of 1 Torr (about 133 Pa) and a temperature of 200 ° C .; 300 W of 13.56 MHz RF is applied to the showerhead and the treatment is carried out for 60 seconds. The “Plasma Processing” column notation for the remaining examples can be read similarly. The surface energy is calculated in mJ / m 2 (per square meter) by using the contact angle and Wu model of three different test liquids, in this case deionized water (W), hexadecane (H), and diiodomethane (DIM). In millijoules). For surface energy, the polar (P) and dispersed (D) components and the total (T) are shown.

例8aおよび8bは、続いて窒素含有ガス(アンモニア)で処理された、プラズマ重合した炭化水素の表面改質層を示している。例8aの場合、アンモニアは、300Wの出力で単独で使用されたが、例8bにおいて、アンモニアはヘリウムで希釈され、重合は50Wのより低い出力で行った。けれども、各場合において、担体の結合表面上に十分な表面エネルギーが達成されて、薄いガラスシートに制御可能に結合することができた。例8cおよび8dは、炭化水素含有(メタン)および水素含有(H2)ガスにより形成され、続いて、窒素含有ガス(アンモニア)で処理された、プラズマ重合した炭化水素の表面改質層を示している。例8cの場合、アンモニアは、300Wの出力で単独で使用されたが、例8dにおいて、アンモニアはヘリウムで希釈され、重合は50Wのより低い出力で行った。例8a〜8dのように形成された表面改質層により結合した薄いガラスおよび担体は、450℃でのアニール後に永久的には付着しないことが観察された、すわなち、それらは、400℃温度試験の項目(c)に耐えることができた。これらのサンプルについて、ガス放出試験は行わなかった。また、これらの例は、FPD加工(上述した真空試験(1)、ウェットプロセス試験(2)、および超音波試験(5)を含む)に耐えるのに十分に強力であり、十分な剥離力の印加で剥離可能なままであった。剥離により、薄いガラス上に製造されたデバイスの取外し、および担体の再利用が可能である。 Examples 8a and 8b show plasma-modified hydrocarbon surface modification layers subsequently treated with a nitrogen-containing gas (ammonia). In Example 8a, ammonia was used alone at a power of 300 W, whereas in Example 8b, ammonia was diluted with helium and the polymerization was conducted at a lower power of 50 W. However, in each case, sufficient surface energy was achieved on the binding surface of the support and could be controllably bonded to a thin glass sheet. Examples 8c and 8d show plasma-modified hydrocarbon surface modification layers formed with hydrocarbon-containing (methane) and hydrogen-containing (H 2 ) gases, followed by treatment with a nitrogen-containing gas (ammonia). ing. In Example 8c, ammonia was used alone at a power of 300 W, but in Example 8d, ammonia was diluted with helium and the polymerization was conducted at a lower power of 50 W. It was observed that the thin glass and support bonded by the surface modification layer formed as in Examples 8a-8d did not permanently adhere after annealing at 450 ° C., ie, 400 ° C. It was able to withstand item (c) of the temperature test. These samples were not tested for gas release. These examples are also strong enough to withstand FPD processing (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above) and have sufficient peel strength It remained peelable upon application. Exfoliation allows removal of devices made on thin glass and reuse of the carrier.

表8の例のように担体の各々に結合した薄いガラスシートは、アルミノホウケイ酸塩無アルカリガラスである、「Corning」「Willow」Glass(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された、100、130、および150マイクロメートルの厚さを有する基板であった。結合前に、この「Willow」Glassは、酸素プラズマと、その後のSC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。   The thin glass sheets bonded to each of the supports as in the examples in Table 8 are from “Corning” “Willow” Glass (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free glass. The produced substrates were 100, 130, and 150 micrometers thick. Prior to bonding, the “Willow” Glass was cleaned using an oxygen plasma followed by SC1 and / or SC2 chemistry and standard cleaning techniques.

表8の例において、表面改質層が上に配置された結合表面はガラスであったが、その必要はない。代わりに、結合表面は、ガラスと同様の表面エネルギーおよび性質を有する別の適切な材料、例えば、シリコン、ポリシリコン、単結晶シリコン、セラミック、ガラスセラミック、サファイア、または石英であってよい。   In the example of Table 8, the bonding surface with the surface modification layer disposed thereon was glass, but this is not necessary. Alternatively, the bonding surface may be another suitable material having surface energy and properties similar to glass, such as silicon, polysilicon, single crystal silicon, ceramic, glass ceramic, sapphire, or quartz.

2 処理による、炭素質表面改質層への極性基の導入
結合表面の表面エネルギーを調整し、その上に代わりの極性基結合部位を作り出すためにプラズマ重合膜を使用する別の例は、炭素源(例えば、炭素含有ガス、例えば、メタン)から、および水素H2からの表面改質層の薄膜の堆積と、それに続く、形成したばかりの表面改質層の窒素処理である。表面改質層上に窒素系極性基を形成するための窒素処理は、N2ガスによるプラズマ処理により行ってよい。表面改質層の堆積は、大気圧または減圧下で、プラズマ励起、例えば、DCまたはRF平行平板、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)、下流マイクロ波またはRFプラズマにより行ってよい。プラズマ重合表面改質層は、担体、薄いシート、またはその両方の上に堆積させてもよい。表3の例について先に述べたように、プラズマ重合は、高度に架橋した材料の層を形成する。反応条件および供給ガスの制御を使用して、表面改質層の膜厚、密度、および官能基を所望の用途に合わせるための化学的性質を制御することができ、膜の性質を制御することにより、結合表面の表面エネルギーを調整することができる。その続いてのプラズマ処理中に形成される窒素系極性基は、シラノール基と縮合して永久的な共有結合を生じることはなく、それゆえ、薄いシート上に膜または構造体を堆積させるために行われるその後の処理中に、薄いシートと担体との間の結合の程度を制御することができる。
Introduction of polar groups into the carbonaceous surface modification layer by N 2 treatment Another example of using a plasma polymerized film to adjust the surface energy of the binding surface and create an alternative polar group binding site thereon is as follows: Deposition of a thin film of a surface modified layer from a carbon source (eg, a carbon-containing gas, such as methane) and from hydrogen H 2 followed by nitrogen treatment of the just formed surface modified layer. Nitrogen treatment for forming nitrogen-based polar groups on the surface modification layer may be performed by plasma treatment with N 2 gas. The surface modification layer may be deposited by plasma excitation, eg, DC or RF parallel plate, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream microwave or RF plasma, at atmospheric pressure or reduced pressure. . The plasma polymerized surface modification layer may be deposited on the support, thin sheet, or both. As described above for the examples in Table 3, plasma polymerization forms a layer of highly crosslinked material. Using reaction conditions and feed gas control, the thickness, density, and chemical properties of the surface modification layer can be controlled to tailor the desired application and control the film properties Thus, the surface energy of the binding surface can be adjusted. Nitrogen-based polar groups formed during the subsequent plasma treatment do not condense with silanol groups to form permanent covalent bonds, and therefore to deposit films or structures on thin sheets During the subsequent processing that takes place, the degree of bonding between the thin sheet and the carrier can be controlled.

下記の表9の例において、ガラス担体上に堆積したプラズマ重合膜を窒素処理するために様々な条件を使用した。ガラス担体は、アルミノホウケイ酸塩無アルカリディスプレイ用ガラスである、「Corning」「Eagle XG」(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された基板であった。表面改質層の堆積前に、担体は、SC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。表面改質層は、誘電結合プラズマ(ICP)構成モードの、Oxford Plasmalab 380 ICP(英国、オックスフォードシャー州所在のOxford Instruments社から入手した)内で堆積させた。ここで、担体をプラテン上に置き、それに、50Wの13.56MHzのエネルギーを印加し、プラテンの上にコイルを配置し、これに、1500Wの13.5MHzのRFエネルギーを印加した。圧力が5ミリトル(約0.67Pa)の槽内に、20sccmのメタン(CH4)および40sccmの水素(H2)を流し込んだ。表9に列挙された全てのサンプルについて、表面処理時間は60秒であり、プラテンの温度は30℃であった。上述した堆積後、表面改質層を窒素で処理した。詳しくは、処理中、特定のワット数(「RFバイアス」の列に記載)の13.56MHzのRFエネルギーをプラテンに印加し、プラテンの上にコイルを配置し、これに、特定のワット数(「コイル」の列に記載)の13.5MHzのRFエネルギーを印加した。N2を、この表に列挙された時間(秒(s))に亘り40sccmの流量で槽内に流した。このように、例えば、例9aについて表9における窒素処理の表記は、以下のように読める:Oxford ICP装置において、40sccmのN2を、圧力が5ミリトル(約0.67Pa)である槽に流し込んだ;1500Wの13.5MHzのRFエネルギーをシャワーヘッドに印加し;300Wの13.56MHzのRFエネルギーを、担体を上に置いたプラテンに印加した。その温度は30℃に制御され、処理は10秒間に亘り行った。残りの例に関する表記は、同様に読むことができる。表面エネルギーは、3つの異なる試験液体(この場合、脱イオン水(「W」の列に示されている)、ヘキサデカン(「HD」の列に示されている)、およびジヨードメタン(「DIM」の列に示されている))の接触角(CA)およびWuモデルを使用することにより、mJ/m2(平方メートル当たりのミリジュール)で計算した。表面エネルギーについて、極性(P)および分散(D)成分、並びに合計(T)が示されている。 In the example of Table 9 below, various conditions were used to nitrogen treat the plasma polymerized film deposited on the glass support. The glass support was a substrate made from “Corning” “Eagle XG” (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free display glass. Prior to deposition of the surface modified layer, the support was cleaned using SC1 and / or SC2 chemistry and standard cleaning techniques. The surface modification layer was deposited in an Oxford Plasmalab 380 ICP (obtained from Oxford Instruments, Oxfordshire, UK) in an inductively coupled plasma (ICP) configuration mode. Here, the carrier was placed on a platen, 50 W of 13.56 MHz energy was applied thereto, a coil was placed on the platen, and 1500 W of 13.5 MHz RF energy was applied thereto. 20 sccm of methane (CH 4 ) and 40 sccm of hydrogen (H 2 ) were poured into a tank having a pressure of 5 mtorr (about 0.67 Pa). For all samples listed in Table 9, the surface treatment time was 60 seconds and the platen temperature was 30 ° C. After the deposition described above, the surface modified layer was treated with nitrogen. Specifically, during processing, a 13.56 MHz RF energy of a specific wattage (described in the “RF Bias” column) is applied to the platen, a coil is placed over the platen, and a specific wattage ( RF energy of 13.5 MHz (described in the “Coil” column) was applied. N 2 was flowed into the vessel at a flow rate of 40 sccm over the time listed in this table (second (s)). Thus, for example, the notation of nitrogen treatment in Table 9 for Example 9a can be read as follows: In an Oxford ICP apparatus, 40 sccm of N 2 is poured into a tank having a pressure of 5 millitorr (about 0.67 Pa). No; 1500 W of 13.5 MHz RF energy was applied to the showerhead; 300 W of 13.56 MHz RF energy was applied to the platen with the carrier on top. The temperature was controlled at 30 ° C. and the treatment was carried out for 10 seconds. The notation for the remaining examples can be read similarly. The surface energies were measured for three different test liquids (in this case deionized water (shown in the “W” column), hexadecane (shown in the “HD” column), and diiodomethane (“DIM”). Calculated in mJ / m 2 (millijoule per square meter) by using the contact angle (CA)) and Wu model)). For surface energy, the polar (P) and dispersed (D) components and the total (T) are shown.

例9a〜9jは、メタン/水素により形成された表面改質層の窒素処理に、様々な条件を使用してよく、それにより、薄いガラスシートへの結合に適している様々な表面エネルギー、すなわち、約53mJ/m2(例9i)から約63mJ/m2(例9b)が得られることがあることを示している。窒素処理後に得られたこれらの表面エネルギーは、約42mJ/m2(メタンと水素のプラズマ重合から形成された基礎層から得られた)から増加した。例9a〜9jのように形成された表面改質層により結合した薄いガラスおよび担体は、450℃でのアニール後に永久的には付着しないことが観察された、すわなち、それらは、400℃温度試験の項目(c)に合格する。これらのサンプルについて、ガス放出試験は行わなかった。また、これらの例は、FPD加工(上述した真空試験(1)、ウェットプロセス試験(2)、および超音波試験(5)を含む)に耐えるのに十分に強力であり、十分な剥離力の印加で剥離可能なままであった。剥離により、薄いガラス上に製造されたデバイスの取外し、および担体の再利用が可能である。 Examples 9a-9j may use various conditions for nitrogen treatment of a surface modified layer formed with methane / hydrogen, thereby varying the surface energy suitable for bonding to a thin glass sheet, i.e. From about 53 mJ / m 2 (Example 9i) to about 63 mJ / m 2 (Example 9b). These surface energies obtained after nitrogen treatment increased from about 42 mJ / m 2 (obtained from the base layer formed from the plasma polymerization of methane and hydrogen). It was observed that the thin glass and support bonded by the surface modification layer formed as in Examples 9a-9j did not permanently adhere after annealing at 450 ° C., ie, 400 ° C. Pass the temperature test item (c). These samples were not tested for gas release. These examples are also strong enough to withstand FPD processing (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above) and have sufficient peel strength It remained peelable upon application. Exfoliation allows removal of devices made on thin glass and reuse of the carrier.

表9の例のように担体の各々に結合した薄いガラスシートは、アルミノホウケイ酸塩無アルカリガラスである、「Corning」「Willow」Glass(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された、100、130、および150マイクロメートルの厚さを有する基板であった。結合前に、この「Willow」Glassは、酸素プラズマと、その後のSC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。   The thin glass sheets bonded to each of the supports as in the examples in Table 9 are from “Corning” “Willow” Glass (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free glass. The produced substrates were 100, 130, and 150 micrometers thick. Prior to bonding, the “Willow” Glass was cleaned using an oxygen plasma followed by SC1 and / or SC2 chemistry and standard cleaning techniques.

表9の例において、表面改質層が上に配置された結合表面はガラスであったが、その必要はない。代わりに、結合表面は、ガラスと同様の表面エネルギーおよび性質を有する別の適切な材料、例えば、シリコン、ポリシリコン、単結晶シリコン、セラミック、ガラスセラミック、サファイア、または石英であってよい。   In the example of Table 9, the bonding surface with the surface modification layer disposed thereon was glass, but this is not necessary. Alternatively, the bonding surface may be another suitable material having surface energy and properties similar to glass, such as silicon, polysilicon, single crystal silicon, ceramic, glass ceramic, sapphire, or quartz.

2 次いでH 2 の連続処理による、炭素質表面改質層への極性基の導入
結合表面の表面エネルギーを調整し、その上に代わりの極性基結合部位を作り出すためにプラズマ重合膜を使用する別の例は、炭素源、例えば、メタン(炭素含有ガス)から、および水素H2からの表面改質層の薄膜の堆積と、それに続く、形成したばかりの表面改質層の窒素と次いで水素の連続処理である。表面改質層の堆積は、大気圧または減圧下で行ってよく、プラズマ励起、例えば、DCまたはRF平行平板、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)、下流マイクロ波またはRFプラズマにより行われる。プラズマ重合表面改質層は、担体、薄いシート、またはその両方の上に堆積させてもよい。表3の例について先に述べたように、プラズマ重合は、高度に架橋した材料の層を形成する。反応条件および供給ガスの制御を使用して、表面改質層の膜厚、密度、および官能基を所望の用途に合わせるための化学的性質を制御することができ、膜の性質を制御することにより、結合表面の表面エネルギーを調整することができる。その続いてのプラズマ処理中に形成される窒素系極性基は、シラノール基と縮合して永久的な共有結合を生じることがなく、それゆえ、薄いシート上に膜または構造体を堆積させるために行われるその後の処理中に、薄いシートと担体との間の結合の程度を制御することができる。
Introduction of polar groups into the carbonaceous surface modification layer by continuous treatment of N 2 and then H 2 Use the plasma polymerized film to adjust the surface energy of the binding surface and create alternative polar group binding sites thereon another example is a carbon source, for example, from methane (a carbon containing gas), and the deposition of a thin film of surface modification layer from the hydrogen H 2, followed by nitrogen surface modification layer just formed and then hydrogen It is a continuous process. The surface modification layer may be deposited under atmospheric pressure or reduced pressure by plasma excitation, eg, DC or RF parallel plate, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream microwave or RF plasma. Done. The plasma polymerized surface modification layer may be deposited on the support, thin sheet, or both. As described above for the examples in Table 3, plasma polymerization forms a layer of highly crosslinked material. Using reaction conditions and feed gas control, the thickness, density, and chemical properties of the surface modification layer can be controlled to tailor the desired application and control the film properties Thus, the surface energy of the binding surface can be adjusted. Nitrogen-based polar groups formed during the subsequent plasma treatment do not condense with silanol groups to form permanent covalent bonds, and therefore to deposit films or structures on thin sheets During the subsequent processing that takes place, the degree of bonding between the thin sheet and the carrier can be controlled.

下記の表10の例において、ガラス担体上に堆積したプラズマ重合膜を処理する(窒素およびそれに続いて水素により)ために様々な条件を使用した。ガラス担体は、アルミノホウケイ酸塩無アルカリディスプレイ用ガラスである、「Corning」「Eagle XG」(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された基板であった。膜の堆積前に、担体は、SC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。これらの膜は、誘電結合プラズマ(ICP)構成モードの、Oxford Plasmalab 380 ICP(英国、オックスフォードシャー州所在のOxford Instruments社から入手した)内で堆積させた。ここで、担体をプラテン上に置き、それに、50Wの13.56MHzのエネルギーを印加し、プラテンの上にコイルを配置し、これに、1500Wの13.5MHzのRFエネルギーを印加した。圧力が5ミリトル(約0.67Pa)の槽内に、20sccmのメタン(CH4)および40sccmの水素(H2)を流し込んだ。表10に列挙された全てのサンプルについて、表面処理時間は60秒であり、プラテンの温度は30℃であった。上述した堆積後、表面改質層を窒素と、次いで、水素により連続的に処理した。詳しくは、各場合において、窒素処理について、40sccmのN2を槽に流し、その槽に、1500Wの13.5MHzのRFエネルギーを印加した;槽の圧力は5ミリトル(約0.67Pa)であり;50Wの13.56MHzのRFエネルギーをプラテンに印加し;処理は60秒間に亘り行った。次いで、水素処理中、特定のワット数(表10の「RF」の列に記載)の13.56MHzのRFエネルギーをプラテンに印加し、プラテンの上にコイルを配置し、これに、特定のワット数(「コイル」の列に記載)の13.5MHzのRFエネルギーを印加した。H2を、この表に列挙された時間(秒(s))に亘り40sccmの流量で槽内に流した。このように、例えば、例10aについて表10における水素処理(先に記載したような、薄膜堆積、およびそのN2処理の後に行われる)の表記は、以下のように読める:Oxford ICP装置において、40sccmのH2を、圧力が20ミリトル(約2.67Pa)である槽に流し込んだ;750Wの13.5MHzのRFエネルギーをシャワーヘッドに印加し;50Wの13.56MHzのRFエネルギーを、担体を上に置いたプラテンに印加し、その処理を15秒間に亘り行った。残りの例に関する表記は、同様に読むことができる。表面エネルギーは、3つの異なる試験液体(この場合、脱イオン水(「W」の列に示されている)、ヘキサデカン(「H」の列に示されている)、およびジヨードメタン(「DIM」の列に示されている))の接触角(CA)およびWuモデルを使用することにより、mJ/m2(平方メートル当たりのミリジュール)で計算した。表面エネルギーについて、極性(P)および分散(D)成分、並びに合計(T)が示されている。 In the example of Table 10 below, various conditions were used to treat (with nitrogen and subsequently hydrogen) the plasma polymerized film deposited on the glass support. The glass support was a substrate made from “Corning” “Eagle XG” (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free display glass. Prior to film deposition, the support was cleaned using SC1 and / or SC2 chemistry and standard cleaning techniques. These films were deposited in an Oxford Plasmalab 380 ICP (obtained from Oxford Instruments, Oxfordshire, UK) in an inductively coupled plasma (ICP) configuration mode. Here, the carrier was placed on a platen, 50 W of 13.56 MHz energy was applied thereto, a coil was placed on the platen, and 1500 W of 13.5 MHz RF energy was applied thereto. 20 sccm of methane (CH 4 ) and 40 sccm of hydrogen (H 2 ) were poured into a tank having a pressure of 5 mtorr (about 0.67 Pa). For all samples listed in Table 10, the surface treatment time was 60 seconds and the platen temperature was 30 ° C. After the deposition described above, the surface modification layer was continuously treated with nitrogen and then with hydrogen. Specifically, in each case, for nitrogen treatment, 40 sccm of N 2 was flowed through the tank, and 1500 W of 13.5 MHz RF energy was applied to the tank; the pressure of the tank was 5 millitorr (about 0.67 Pa). 50 W of 13.56 MHz RF energy was applied to the platen; the treatment was carried out for 60 seconds. Then, during hydrogen treatment, a 13.56 MHz RF energy of a specific wattage (listed in the “RF” column of Table 10) is applied to the platen, and a coil is placed over the platen, where the specific wattage is A number (described in the "Coil" column) of 13.5 MHz RF energy was applied. H 2 was flowed into the vessel at a flow rate of 40 sccm over the time listed in this table (seconds (s)). Thus, for example, the notation of hydrogen treatment in Table 10 for Example 10a (performed after thin film deposition and its N 2 treatment as described above) can be read as follows: In the Oxford ICP device, 40 sccm of H 2 was flowed into a bath having a pressure of 20 millitorr (approx. 2.67 Pa); 750 W of 13.5 MHz RF energy was applied to the showerhead; 50 W of 13.56 MHz RF energy was applied to the carrier It was applied to the platen placed on top and the treatment was carried out for 15 seconds. The notation for the remaining examples can be read similarly. The surface energies were measured in three different test liquids (in this case deionized water (shown in the “W” column), hexadecane (shown in the “H” column), and diiodomethane (“DIM”). Calculated in mJ / m 2 (millijoule per square meter) by using the contact angle (CA)) and Wu model)). For surface energy, the polar (P) and dispersed (D) components and the total (T) are shown.

メタンと水素から形成されたプラズマ重合表面改質層のN2と次いでH2の連続プラズマ処理を、様々な表面エネルギーを達成するために、様々な条件下で行うことができる。表10から分かるように、表面エネルギーは、約60mJ/m2(例10d)から約64mJ/m2(例10a、10n、10o、および10p)まで様々であった。これは、薄いガラスシートに結合するのに適している。例10a〜10pのように形成された表面改質層により結合した薄いガラスおよび担体は、450℃でのアニール後に永久的には付着しないことが観察された、すわなち、それらは、400℃温度試験の項目(c)に合格することができた。また、これらの例は、FPD加工(上述した真空試験(1)、ウェットプロセス試験(2)、および超音波試験(5)を含む)に耐えるのに十分に強力であり、十分な剥離力の印加で剥離可能なままであった。剥離により、薄いガラス上に製造されたデバイスの取外し、および担体の再利用が可能である。 A continuous plasma treatment of N 2 and then H 2 of the plasma polymerization surface modification layer formed from methane and hydrogen can be performed under a variety of conditions to achieve a variety of surface energies. As can be seen from Table 10, the surface energy varied from about 60 mJ / m 2 (Example 10d) to about 64 mJ / m 2 (Examples 10a, 10n, 10o, and 10p). This is suitable for bonding to thin glass sheets. It was observed that the thin glass and support bonded by the surface modification layer formed as in Examples 10a to 10p did not permanently adhere after annealing at 450 ° C., ie, 400 ° C. We were able to pass the item (c) of the temperature test. These examples are also strong enough to withstand FPD processing (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above) and have sufficient peel strength It remained peelable upon application. Exfoliation allows removal of devices made on thin glass and reuse of the carrier.

表10の例のように担体の各々に結合した薄いガラスシートは、アルミノホウケイ酸塩無アルカリガラスである、「Corning」「Willow」Glass(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された、100、130、および150マイクロメートルの厚さを有する基板であった。結合前に、この「Willow」Glassは、酸素プラズマと、その後のSC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。   Thin glass sheets bonded to each of the supports as in the example of Table 10 are from “Corning” “Willow” Glass (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free glass. The produced substrates were 100, 130, and 150 micrometers thick. Prior to bonding, the “Willow” Glass was cleaned using an oxygen plasma followed by SC1 and / or SC2 chemistry and standard cleaning techniques.

表10の例において、表面改質層が上に配置された結合表面はガラスであったが、その必要はない。代わりに、結合表面は、ガラスと同様の表面エネルギーおよび性質を有する別の適切な材料、例えば、シリコン、ポリシリコン、単結晶シリコン、セラミック、ガラスセラミック、サファイア、または石英であってよい。   In the example of Table 10, the bonding surface with the surface modification layer disposed thereon was glass, but this is not necessary. Alternatively, the bonding surface may be another suitable material having surface energy and properties similar to glass, such as silicon, polysilicon, single crystal silicon, ceramic, glass ceramic, sapphire, or quartz.

表10の例の変更例として、メタンから形成された表面改質層に、窒素とそれに続く水素の連続処理も行った。この場合、ガラス担体上に最初の表面改質層をプラズマ重合により形成したときに、メタンを単独で(水素を含まずに)使用した。詳しくは、40sccmのメタンを5ミリトル(約0.67Pa)の圧力で、60秒間に亘り1500/50Wの出力下で流した。その表面エネルギーは約42mJ/m2であると測定された。窒素(15秒間に亘る、5ミリトル(約0.67Pa)の圧力、1500/50Wの出力で、40sccmのN2)と、次いで、水素(15秒間に亘る、5ミリトル(約0.67Pa)の圧力、1500/50Wの出力で、40sccmのH2)による連続処理の際に、担体の結合表面上で達成された表面エネルギーは、薄いガラスシートをガラス担体に結合するのに適した、約64mJ/m2まで増加した。 As a modification of the example in Table 10, nitrogen and the subsequent hydrogen treatment were also performed on the surface modification layer formed from methane. In this case, when the first surface modification layer was formed on the glass support by plasma polymerization, methane was used alone (without hydrogen). Specifically, 40 sccm of methane was allowed to flow at a pressure of 5 mTorr (about 0.67 Pa) at an output of 1500/50 W for 60 seconds. Its surface energy was measured to be about 42 mJ / m 2 . Nitrogen (5 mTorr (about 0.67 Pa) pressure for 15 seconds, 40 sccm N 2 at 1500/50 W output), then hydrogen (5 mTorr (about 0.67 Pa) for 15 seconds) The surface energy achieved on the support surface of the support during continuous treatment with pressure, 1500/50 W output, 40 sccm H 2 ) is about 64 mJ, suitable for binding a thin glass sheet to a glass support. / M 2 increased.

上述したような、炭素質表面改質層のN2およびH2連続処理は、約64mJ/m2の表面エネルギーを達成し、フッ素化表面改質層に典型的な結合フロント速度よりわずかに遅い速度で、薄いガラスシートに最初の室温での結合を形成する。表10の例に関して、これらのサンプルは、450℃でのアニール後に永久的には付着しないことが観察された、すわなち、それらは、400℃温度試験の項目(c)に合格することができた。また、これらの例は、FPD加工(上述した真空試験(1)、ウェットプロセス試験(2)、および超音波試験(5)を含む)に耐えるのに十分に強力であり、十分な剥離力の印加で剥離可能なままであった。剥離により、薄いガラス上に製造されたデバイスの取外し、および担体の再利用が可能である。 The N 2 and H 2 continuous treatment of the carbonaceous surface modified layer, as described above, achieves a surface energy of about 64 mJ / m 2 and is slightly slower than the bond front speed typical for fluorinated surface modified layers. At a rate, an initial room temperature bond is formed on a thin glass sheet. With respect to the examples in Table 10, these samples were observed to not permanently adhere after annealing at 450 ° C., ie they may pass item (c) of the 400 ° C. temperature test. did it. These examples are also strong enough to withstand FPD processing (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above) and have sufficient peel strength It remained peelable upon application. Exfoliation allows removal of devices made on thin glass and reuse of the carrier.

2 −O 2 次いでN 2 の連続処理による、炭素質表面改質層への極性基の導入
表面上により多くの極性イミド基を作り出して結合フロント速度を増加させようと試みる発想に基づいて、炭素質表面改質層のN2−O2次いでN2の連続プラズマ処理を研究した。
Based on the idea to create more polar imide groups on the surface of the introduction of polar groups into the carbonaceous surface modified layer by continuous treatment of N 2 —O 2 and then N 2 to increase the binding front speed, we studied the continuous plasma treatment of N 2 -O 2 then N 2 carbonaceous surface modification layer.

結合表面の表面エネルギーを調整し、その上に代わりの極性基結合部位を作り出すためにプラズマ重合膜を使用するこの例は、炭素源、例えば、炭素含有ガス(例えば、メタン)から、および水素H2からの炭素質表面改質層の薄膜の堆積と、それに続く、形成したばかりの表面改質層のN2−O2次いでN2の連続処理である。表面改質層の堆積は、大気圧または減圧下で、プラズマ励起、例えば、DCまたはRF平行平板、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)、下流マイクロ波またはRFプラズマにより行ってよい。プラズマ重合表面改質層は、担体、薄いシート、またはその両方の上に堆積させてもよい。表3の例について先に述べたように、プラズマ重合は、高度に架橋した材料の層を形成する。反応条件および供給ガスの制御を使用して、表面改質層の膜厚、密度、および官能基を所望の用途に合わせるための化学的性質を制御することができ、膜の性質を制御することにより、結合表面の表面エネルギーを調整することができる。その続いてのプラズマ処理中に形成される窒素系極性基は、シラノール基と縮合して永久的な共有結合を生じることがなく、それゆえ、薄いシート上に膜または構造体を堆積させるために行われるその後の処理中に、薄いシートと担体との間の結合の程度を制御することができる。 This example of using a plasma polymerized membrane to tune the surface energy of the binding surface and create an alternative polar group binding site thereon includes carbon sources such as carbon-containing gases (eg methane) and hydrogen H the deposition of a thin film of a carbonaceous surface modification layer from 2, followed by a continuous treatment of N 2 -O 2 then N 2 surface modification layer just formed. The surface modification layer may be deposited by plasma excitation, eg, DC or RF parallel plate, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream microwave or RF plasma, at atmospheric pressure or reduced pressure. . The plasma polymerized surface modification layer may be deposited on the support, thin sheet, or both. As described above for the examples in Table 3, plasma polymerization forms a layer of highly crosslinked material. Using reaction conditions and feed gas control, the thickness, density, and chemical properties of the surface modification layer can be controlled to tailor the desired application and control the film properties Thus, the surface energy of the binding surface can be adjusted. Nitrogen-based polar groups formed during the subsequent plasma treatment do not condense with silanol groups to form permanent covalent bonds, and therefore to deposit films or structures on thin sheets During the subsequent processing that takes place, the degree of bonding between the thin sheet and the carrier can be controlled.

下記の表11の例において、ガラス担体上に堆積したプラズマ重合膜を処理して、表面エネルギーを増加させ、極性基を含ませるために様々な条件を使用した。ガラス担体は、アルミノホウケイ酸塩無アルカリディスプレイ用ガラスである、「Corning」「Eagle XG」(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された基板であった。表面改質層の堆積前に、担体は、SC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。   In the example of Table 11 below, various conditions were used to treat the plasma polymerized film deposited on the glass support to increase the surface energy and include polar groups. The glass support was a substrate made from “Corning” “Eagle XG” (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free display glass. Prior to deposition of the surface modified layer, the support was cleaned using SC1 and / or SC2 chemistry and standard cleaning techniques.

工程1において、表面改質層は、誘電結合プラズマ(ICP)構成モードの、Oxford Plasmalab 380 ICP(英国、オックスフォードシャー州所在のOxford Instruments社から入手した)内で堆積させた。ここで、担体をプラテン上に置き、それに、50Wの13.56MHzのエネルギーを印加し、プラテンの上にコイルを配置し、これに、1500Wの13.5MHzのRFエネルギーを印加した。圧力が5ミリトル(約0.67Pa)の槽内に、20sccmのメタン(CH4)および40sccmの水素(H2)を流し込んだ。表11に列挙された全てのサンプルについて、表面処理時間は60秒であり、プラテンの温度は30℃であった。 In step 1, the surface modification layer was deposited in an Oxford Plasmalab 380 ICP (obtained from Oxford Instruments, Oxfordshire, UK) in an inductively coupled plasma (ICP) configuration mode. Here, the carrier was placed on a platen, 50 W of 13.56 MHz energy was applied thereto, a coil was placed on the platen, and 1500 W of 13.5 MHz RF energy was applied thereto. 20 sccm of methane (CH 4 ) and 40 sccm of hydrogen (H 2 ) were poured into a tank having a pressure of 5 mtorr (about 0.67 Pa). For all samples listed in Table 11, the surface treatment time was 60 seconds and the platen temperature was 30 ° C.

工程1の上述した堆積後、工程2において、表面改質層を窒素および酸素で処理した。詳しくは、工程2の処理中に、プラテンに、50Wの13.56MHzのRFエネルギーをプラテンに印加し、プラテンの上にコイルを配置し、これに、800Wの13.5MHzのRFエネルギーを印加した。N2およびO2を、この表に列挙された時間(秒(s))に亘り特定の流量(sccm)で槽内に流した。このように、例えば、例11aについて表11における工程2の表記は、以下のように読める:工程1における表面改質層の堆積後、Oxford ICP装置において、35sccmのN2を、5sccmのO2と共に、圧力が15ミリトル(約2.0Pa)である槽に流し込んだ;800Wの13.5MHzのRFエネルギーをシャワーヘッドに印加し;50Wの13.56MHzのRFエネルギーを、担体を上に置いたプラテンに印加し、その担体は30℃に温度制御され、その処理は5秒間に亘り行った。残りの例に関する表記は、同様に読むことができる。 After the above-described deposition in step 1, in step 2, the surface modified layer was treated with nitrogen and oxygen. Specifically, during the process of step 2, 50 W of 13.56 MHz RF energy was applied to the platen, a coil was placed on the platen, and 800 W of 13.5 MHz RF energy was applied thereto. . N 2 and O 2 were flowed into the vessel at the specified flow rate (sccm) for the time listed in this table (seconds (s)). Thus, for example, for Example 11a, the notation of step 2 in Table 11 can be read as follows: After deposition of the surface modified layer in step 1, 35 sccm N 2 is added to the 5 sccm O 2 in the Oxford ICP apparatus. With a pressure of 15 millitorr (approx. 2.0 Pa); 800 W of 13.5 MHz RF energy applied to the showerhead; 50 W of 13.56 MHz RF energy placed on the carrier Applied to the platen, the carrier was temperature controlled at 30 ° C. and the treatment was carried out for 5 seconds. The notation for the remaining examples can be read similarly.

工程2の上述した処理後、工程3において、表面改質層を窒素で処理した。詳しくは、工程3の処理中に、プラテンに、50Wの13.56MHzのRFエネルギーをプラテンに印加し、プラテンの上にコイルを配置し、これに、1500Wの13.5MHzのRFエネルギーを印加した。N2を、この表に列挙された時間(秒(s))に亘り特定の流量(sccm)で槽内に流した。このように、例えば、例11aについて表11における工程3の表記は、以下のように読める:工程1における表面改質層の堆積後であって、工程2における窒素−酸素処理後、Oxford ICP装置において、40sccmのN2を、圧力が5ミリトル(約0.67Pa)である槽に流し込んだ;1500Wの13.5MHzのRFエネルギーをシャワーヘッドに印加し;50Wの13.56MHzのRFエネルギーを、担体を上に置いたプラテンに印加し、その担体は30℃に温度制御され、その処理は15秒間に亘り行った。残りの例に関する表記は、同様に読むことができる。 After the above-described treatment in Step 2, in Step 3, the surface modified layer was treated with nitrogen. Specifically, during the process of step 3, 50 W of 13.56 MHz RF energy was applied to the platen, a coil was placed on the platen, and 1500 W of 13.5 MHz RF energy was applied thereto. . N 2 was flowed into the vessel at the specified flow rate (sccm) over the time listed in this table (seconds (s)). Thus, for example, for Example 11a, the notation of step 3 in Table 11 can be read as follows: After deposition of the surface modified layer in step 1, after the nitrogen-oxygen treatment in step 2, the Oxford ICP device At 40 sccm of N 2 was poured into a bath having a pressure of 5 millitorr (approx. 0.67 Pa); 1500 W of 13.5 MHz RF energy was applied to the showerhead; 50 W of 13.56 MHz RF energy was The carrier was applied to the platen placed above, the carrier was temperature controlled at 30 ° C., and the treatment was carried out for 15 seconds. The notation for the remaining examples can be read similarly.

表面エネルギーは、3つの異なる試験液体(この場合、脱イオン水、ヘキサデカン、およびジヨードメタン)の接触角(CA)およびWuモデルを使用することにより、mJ/m2(平方メートル当たりのミリジュール)で計算した。表面エネルギーについて、合計(T、極性成分および分散成分の両方を含む)が示されている。結合エネルギーは、上述したように、mJ/m2で計算した。最初の結合後の気泡の数は、「23C面積%」と題する列に示され、一方で、400℃温度試験後の気泡の数は、「400C面積%」と題する列に示されている。気泡の数は、「ガス放出」に関して下記に記載したように、オプティカルスキャナによって決定した。最後に、最初の23℃でのものから、400℃温度試験後までの気泡面積の変化が、「差分面積%」と題する列に示されている。 Surface energy is calculated in mJ / m 2 (millijoule per square meter) by using the contact angle (CA) and Wu model of three different test liquids (in this case deionized water, hexadecane, and diiodomethane) did. For surface energy, the sum (including T, both polar and dispersive components) is shown. The binding energy was calculated as mJ / m 2 as described above. The number of bubbles after the first bond is shown in the column entitled “23 C area%”, while the number of bubbles after the 400 ° C. temperature test is shown in the column entitled “400 C area%”. The number of bubbles was determined by an optical scanner as described below for “gas release”. Finally, the change in bubble area from the first at 23 ° C. to after the 400 ° C. temperature test is shown in the column entitled “Difference Area%”.

例11a〜11eは、メタン/水素により形成された表面改質層の窒素−酸素、次いで窒素の連続処理に、様々な条件を使用してよく、それにより、薄いガラスシートへの結合に適している、薄いガラスシートへの結合に適している様々な表面エネルギー、すなわち、約65mJ/m2(例11aおよび11e)から約70mJ/m2(例11bおよび11d)が得られることがあることを示している。窒素−酸素、次いで窒素の連続処理後に得られたこれらの表面エネルギーは、約40〜50mJ/m2(メタンと水素のプラズマ重合から形成された基礎層から得られた)から増加した。例11a〜11fのように形成された表面改質層により結合した薄いガラスおよび担体は、400℃でのアニール後に永久的には付着しないことが観察された、すわなち、それらは、400℃温度試験の項目(c)に合格する。例11a〜11eに示されるように、400℃でのアニール中の気泡面積%の変化は、ガス放出のないことに一致する。他方で、例11fに関する400℃でのアニール中の気泡面積%の変化は、表面改質層における材料のある程度のガス放出に一致する。それゆえ、表11の条件にしたがって堆積した表面改質層にガス放出がないためには、工程3が重要である。しかしながら、工程1および2に関する他の堆積/処理条件下で、工程3は、例11a〜eに関して工程3により得られるのと同様なガス放出がない結果を得る必要はないであろう。また、これらの例は、FPD加工(上述した真空試験(1)、ウェットプロセス試験(2)、および超音波試験(5)を含む)に耐えるのに十分に強力であり、400℃温度試験後に、十分な剥離力の印加で剥離可能なままであった。剥離により、薄いガラス上に製造されたデバイスの取外し、および担体の再利用が可能である。 Examples 11a-11e may use various conditions for continuous treatment of nitrogen-oxygen, then nitrogen, of a surface modified layer formed by methane / hydrogen, thereby making it suitable for bonding to thin glass sheets. A variety of surface energies suitable for bonding to thin glass sheets, ie from about 65 mJ / m 2 (Examples 11a and 11e) to about 70 mJ / m 2 (Examples 11b and 11d) may be obtained. Show. These surface energies obtained after continuous treatment of nitrogen-oxygen and then nitrogen increased from about 40-50 mJ / m 2 (obtained from the base layer formed from the plasma polymerization of methane and hydrogen). It was observed that the thin glass and support bonded by the surface modification layer formed as in Examples 11a-11f did not permanently adhere after annealing at 400 ° C., that is, they were 400 ° C. Pass the temperature test item (c). As shown in Examples 11a-11e, the change in bubble area% during annealing at 400 ° C. is consistent with no outgassing. On the other hand, the change in bubble area% during annealing at 400 ° C. for Example 11f is consistent with some outgassing of the material in the surface modified layer. Therefore, step 3 is important so that the surface modified layer deposited according to the conditions in Table 11 does not emit gas. However, under other deposition / processing conditions for steps 1 and 2, step 3 would not need to obtain the same outgassing results as obtained by step 3 for examples 11a-e. These examples are also powerful enough to withstand FPD processing (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above) and after the 400 ° C. temperature test The film remained peelable when a sufficient peeling force was applied. Exfoliation allows removal of devices made on thin glass and reuse of the carrier.

表面エネルギー、結合エネルギー、および気泡発生に対するこれらの連続工程の影響が、表11に示されている。N2−O2工程において酸素分率を増加させると、表面エネルギーが減少し、ガス放出試験中の気泡発生が増加した。短い(約5秒)低酸素分率(38/2)のN2−O2工程およびそれに続く短い(15秒)N2プラズマ処理(例11d)に関する性能により、400℃温度試験中に69mJ/m2の表面エネルギーおよび1.2%の気泡面積が生じる(23℃からの気泡面積%の変化は−0.01であり、ガス放出がないことを示す)。例11a〜eの性能は、400℃温度試験までの用途でのフッ素化表面改質層に匹敵する。 The effects of these continuous processes on surface energy, binding energy, and bubble generation are shown in Table 11. Increasing the oxygen fraction in the N 2 -O 2 step, the surface energy is reduced, the bubbles generated in the gas discharge test was increased. The performance for a short (about 5 seconds) low oxygen fraction (38/2) N 2 —O 2 step followed by a short (15 seconds) N 2 plasma treatment (Example 11d) gave 69 mJ / d during the 400 ° C. temperature test. A surface energy of m 2 and a bubble area of 1.2% result (change in bubble area% from 23 ° C. is −0.01, indicating no outgassing). The performance of Examples 11a-e is comparable to fluorinated surface modified layers in applications up to 400 ° C. temperature test.

表11の例のように担体の各々に結合した薄いガラスシートは、アルミノホウケイ酸塩無アルカリガラスである、「Corning」「Willow」Glass(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された、100、130、および150マイクロメートルの厚さを有する基板であった。結合前に、この「Willow」Glassは、酸素プラズマと、その後のSC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。   The thin glass sheets bonded to each of the supports as in the examples in Table 11 are from “Corning” “Willow” Glass (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free glass. The produced substrates were 100, 130, and 150 micrometers thick. Prior to bonding, the “Willow” Glass was cleaned using an oxygen plasma followed by SC1 and / or SC2 chemistry and standard cleaning techniques.

表11の例において、表面改質層が上に配置された結合表面はガラスであったが、その必要はない。代わりに、結合表面は、ガラスと同様の表面エネルギーおよび性質を有する別の適切な材料、例えば、シリコン、ポリシリコン、単結晶シリコン、セラミック、ガラスセラミック、サファイア、または石英であってよい。   In the example of Table 11, the bonding surface with the surface modification layer disposed thereon was glass, but this is not necessary. Alternatively, the bonding surface may be another suitable material having surface energy and properties similar to glass, such as silicon, polysilicon, single crystal silicon, ceramic, glass ceramic, sapphire, or quartz.

上述した例は、デバイス加工のために薄いガラスシートをガラス担体に制御可能に結合するのに適した薄い有機表面改質層を堆積させるために、誘導結合プラズマ(ICP)システムをどのように利用できるかを例示している。しかしながら、ディスプレイ用途(面積が大きい基板が有利である)に関するこの解決策の拡張性が懸念である。ICP機器は、電流を誘導結合して、イオンを循環させる時間依存性磁場を作り出すために、平面コイル、円筒コイル、または半球コイルを利用する。典型的に、基板が上に載るプラテンに、第2のRF源が接続されている。ICPプラズマの利点は、ICP源が、プラテンRF源により制御される基板のバイアスと関係なく、高レベルのイオン化を達成できることである。現行の平行板反応性イオンエッチング(RIE)システムは、高レベルのイオン化を達成できない。その上、バイアスおよびイオン化は、RF電力および圧力によりカップリングされる。TELなどは、ICPエッチング装置を第五世代まで拡張したが、均一なICPプラズマ源を製造するために、より大きく拡張することは難題である。他方で、RIEモードプロセスは、第十世代まで拡張した平行板機器に適している。それゆえ、本願の発明者等は、RIEモードプロセスにおいて、上述したようなICP機器により達成された結果と類似の結果を達成する様式を研究した。   The above example illustrates how an inductively coupled plasma (ICP) system can be used to deposit a thin organic surface modification layer suitable for controllably bonding a thin glass sheet to a glass support for device processing. Illustrates what can be done. However, the scalability of this solution for display applications (large area substrates are advantageous) is a concern. ICP equipment utilizes planar, cylindrical, or hemispherical coils to inductively couple current to create a time-dependent magnetic field that circulates ions. A second RF source is typically connected to the platen on which the substrate rests. An advantage of the ICP plasma is that the ICP source can achieve a high level of ionization independent of the substrate bias controlled by the platen RF source. Current parallel plate reactive ion etching (RIE) systems cannot achieve high levels of ionization. Moreover, bias and ionization are coupled by RF power and pressure. TEL and others have expanded the ICP etching apparatus to the fifth generation, but it is difficult to expand the ICP etching apparatus to produce a uniform ICP plasma source. On the other hand, the RIE mode process is suitable for parallel plate equipment extended to the tenth generation. Therefore, the inventors of the present application have studied ways to achieve similar results in the RIE mode process to those achieved by ICP equipment as described above.

単に、RIEモード(コイル出力なし)および200Wのバイアス出力で(フッ素化表面改質層の堆積に使用したものと同等)Oxford装置を使用することにより、非フッ素化供給材料からRIEモードの表面改質層を製造する最初の試行により、黒ずんだ厚い層が生じ、これは、窒素改質して、薄いガラスシートに結合することができた。しかしながら、この黒ずんだ材料は、400℃処理試験を経た後、結合面積の約25%を覆う多くの気泡を生じた。分光偏光解析法によるこの黒ずんだ堆積物の特徴付けは、その膜の厚さが約100nmであることを示し、ICP堆積した表面改質層についての1.7eVに対して、ずっと狭い光学バンドギャップ0.6eVを示した。この結果から、その材料は黒鉛であるようであり、水素含有量を増やすことが、気泡発生を減少させるための検討事項であろうと結論付けられた。   Simply use the Oxford device with the RIE mode (no coil power) and 200 W bias power (equivalent to that used to deposit the fluorinated surface modification layer) from the non-fluorinated feed to RIE mode surface modification. The first attempt to produce a quality layer resulted in a darker thick layer that could be nitrogen modified and bonded to a thin glass sheet. However, this dark material produced many bubbles that covered about 25% of the bonded area after undergoing a 400 ° C. treatment test. Characterization of this dark deposit by spectroscopic ellipsometry indicates that the film thickness is about 100 nm, with a much narrower optical band gap compared to 1.7 eV for the ICP deposited surface modification layer 0.6 eV was indicated. From this result, it was concluded that the material appeared to be graphite, and that increasing the hydrogen content would be a consideration for reducing bubble generation.

RIEプロセスの変数、H2/CH4比、RF出力、および圧力をマッピングするために、発光分光法(OES)スペクトルを獲得する実験を行った。しかしながら、使用されているOxford機器のプロセスウインドウ内では、これらの比に一致できなかった。けれども、この実験は、そのプロセスが、高分子形成ガスの非常に高い水素希釈、高いRF出力、および低圧から恩恵を受けるであろうことを示した。 To map RIE process variables, H 2 / CH 4 ratio, RF power, and pressure, experiments were performed to obtain emission spectroscopy (OES) spectra. However, these ratios could not be matched within the process window of the Oxford equipment used. However, this experiment showed that the process would benefit from very high hydrogen dilution of the polymer-forming gas, high RF power, and low pressure.

ICPモードからRIEモードへのプロセス転換を導くOESに加えて、RIEモードにおける水素/メタン比、RF出力、および圧力の関数としてのOxford機器内に存在する気相種をマッピングするために、残留ガス分析(RGA)を使用した。m/e=/16対圧力およびH2/CH4ガス比の等高線図は、再び、高い水素希釈が約44のICP比に適合するのに有益であることを示した。より高級なアルカンは、H2/CH4ガス比の減少および圧力の増加と相関する。この等高線図は、RFおよびH2/CH4ガス比の両方と共に増加するm/e=28/16を示す。RGA応答表面のフィッティングは、H2/CH4比およびC26/CH4比は、40:1のH2/CH4、25ミリトル(約3.3Pa)、275WのRFで一致させられるであろうことを示唆している。この条件で堆積された炭素質RIEモード表面改質層は、ICPモード炭素質表面改質層の約6nmの厚さおよび1.6eVの光学バンドギャップと一致した。炭素質RIE表面改質層の窒素プラズマ処理による最初の実験も、少ない気泡発生を示した。 In addition to OES leading to process conversion from ICP mode to RIE mode, residual gas is used to map the gas phase species present in the Oxford instrument as a function of hydrogen / methane ratio, RF power, and pressure in RIE mode. Analysis (RGA) was used. Contour plots of m / e = / 16 to pressure and H 2 / CH 4 gas ratio again showed that high hydrogen dilution is beneficial to meet an ICP ratio of about 44. Higher alkanes correlate with decreased H 2 / CH 4 gas ratio and increased pressure. This contour plot shows m / e = 28/16 increasing with both RF and H 2 / CH 4 gas ratios. The fitting of the RGA response surface is such that the H 2 / CH 4 ratio and the C 2 H 6 / CH 4 ratio are matched at 40: 1 H 2 / CH 4 , 25 millitorr (about 3.3 Pa), 275 W RF Suggesting that. The carbonaceous RIE mode surface modification layer deposited under this condition was consistent with the ICP mode carbonaceous surface modification layer thickness of about 6 nm and an optical band gap of 1.6 eV. Initial experiments with nitrogen plasma treatment of the carbonaceous RIE surface modified layer also showed less bubble generation.

このRGA実験により特定されるプロセスを使用したRIEモード炭素質表面改質層の堆積の反応速度論が、図14および15に示されている。合計(T)並びに極性(P)および分散(D)成分を含む、表面エネルギーが、図14に示されている。60秒間の堆積時間でわずかなピークを有し、表面エネルギーは比較的不変あり、一方で、図15において、膜厚は、対数・対数目盛でほぼ線形に増加するのが分かる。これは、水素からのエッチバックが、高分子堆積についていけないための、自己限定的プロセスではない。   The kinetics of RIE mode carbonaceous surface modification layer deposition using the process specified by this RGA experiment are shown in FIGS. Surface energy, including total (T) and polar (P) and dispersion (D) components, is shown in FIG. It can be seen that the deposition time of 60 seconds has a slight peak and the surface energy is relatively unchanged, while in FIG. 15, the film thickness increases almost linearly on a logarithmic / logarithmic scale. This is not a self-limiting process because etchback from hydrogen cannot keep up with polymer deposition.

上述したように、経験から、約50mJ/m2以上または約65mJ/m2以上の表面エネルギーは、最初の室温での結合、並びに熱サイクル中の両方で、気泡面積を最小にするのに有益であることが分かった。図14から、表面エネルギーはちょうど境界線上にあることが分かる。ある場合には、経験する時間−温度サイクルに応じて、並びに耐えなければならない他のFPDプロセスに応じて、薄いシートを担体に結合するのに適しているであろう。けれども、他方で、この表面改質層の表面エネルギーを上昇させることが有益であろう。上述したその後の処理、例えば、アンモニア処理、窒素処理、窒素と次いで水素の連続処理、窒素−酸素処理、窒素−酸素と次いで窒素の連続処理のいずれを使用しても差し支えない。一例として、窒素−酸素処理が、表12に関して記載されている。 As described above, from experience, about 50 mJ / m 2 or more or about 65 mJ / m 2 or more surface energy, the binding of the first room, and both during thermal cycling, beneficial to bubble area to minimize It turns out that. From FIG. 14, it can be seen that the surface energy is just on the boundary. In some cases, depending on the time-temperature cycle experienced, as well as other FPD processes that must be tolerated, it may be suitable to bond the thin sheet to the carrier. However, on the other hand, it would be beneficial to increase the surface energy of this surface modified layer. Any of the subsequent treatments described above, for example, ammonia treatment, nitrogen treatment, continuous treatment of nitrogen and then hydrogen, nitrogen-oxygen treatment, and continuous treatment of nitrogen-oxygen and then nitrogen can be used. As an example, a nitrogen-oxygen treatment is described with respect to Table 12.

2 −O 2 処理による、炭素質表面改質層への極性基の導入
結合表面の表面エネルギーを調整し、その上に代わりの極性基結合部位を作り出すためにプラズマ重合膜を使用する別の例は、炭素源(例えば、メタン、炭素含有ガス)から、および水素(H2)からのRIEモードでの表面改質層の薄膜の堆積と、それに続く、形成したばかりの表面改質層の窒素−酸素処理である。その窒素−酸素処理は、例えば、窒素−酸素プラズマ処理によって行ってもよい。表面改質層の堆積は、大気圧または減圧下で行ってもよい。プラズマ重合表面改質層は、担体、薄いシート、またはその両方の上に堆積させてもよい。表3の例について先に述べたように、プラズマ重合は、高度に架橋した材料の層を形成する。反応条件および供給ガスの制御を使用して、膜厚、密度、および官能基を所望の用途に合わせるための化学的性質を制御することができ、膜の性質を制御することにより、結合表面の表面エネルギーを調整することができる。その続いての窒素−酸素処理中に形成される窒素系極性基は、シラノール基と縮合して永久的な共有結合を生じることがなく、それゆえ、薄いシート上に膜または構造体を堆積させるために行われるその後の処理中に、薄いシートと担体との間の結合の程度を制御することができる。
Introducing polar groups into the carbonaceous surface modification layer by N 2 —O 2 treatment Another method of using a plasma polymerized film to adjust the surface energy of the binding surface and create an alternative polar group binding site thereon An example is the deposition of a thin film of a surface modified layer in RIE mode from a carbon source (eg methane, carbon containing gas) and from hydrogen (H 2 ), followed by Nitrogen-oxygen treatment. The nitrogen-oxygen treatment may be performed by, for example, a nitrogen-oxygen plasma treatment. The surface modification layer may be deposited under atmospheric pressure or reduced pressure. The plasma polymerized surface modification layer may be deposited on the support, thin sheet, or both. As described above for the examples in Table 3, plasma polymerization forms a layer of highly crosslinked material. Reaction conditions and feed gas control can be used to control the film thickness, density, and chemistry to tailor the functional group to the desired application. The surface energy can be adjusted. Nitrogen-based polar groups formed during the subsequent nitrogen-oxygen treatment do not condense with silanol groups to form permanent covalent bonds, thus depositing a film or structure on a thin sheet During the subsequent processing performed for this purpose, the degree of bonding between the thin sheet and the carrier can be controlled.

下記の表12の例において、ガラス担体上にプラズマ重合した表面改質層の膜を堆積させるために様々な条件を使用した。ガラス担体は、アルミノホウケイ酸塩無アルカリディスプレイ用ガラスである、「Corning」「Eagle XG」(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された基板であった。膜の堆積前に、担体は、SC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。表面改質層は、RIE構成モードの、Oxford Plasmalab 380 ICP(英国、オックスフォードシャー州所在のOxford Instruments社から入手した)内で堆積させた。ここで、担体をプラテン上に置き、それに、275WのRFエネルギーを印加し、プラテンの上にコイルを配置し、これにはエネルギーを印加しなかった。工程1において、圧力が25ミリトル(約3.3Pa)の槽内に、2sccmのメタン(CH4)および38sccmの水素(H2)を流し込んだ。表12に列挙された全てのサンプルについて、表面処理時間は60秒であり、プラテンの温度は30℃であった。上述した堆積後、工程2において、表面改質層を窒素および酸素で処理した。詳しくは、工程2の処理中に、プラテンに、特定のワット数(「RF」の列に記載)の13.56MHzのRFエネルギーを印加し、プラテンの上にコイルを配置し、これに、エネルギーを印加しなかった。この表の「時間(s)」の列に列挙された時間(秒(s))に亘り、N2を、「N2」の列に列挙されたsccmの流量で槽内に流し、O2を、「O2」の列に列挙されたsccmの流量で槽内に流した。槽は、「Pr」の列に列挙されたような、ミリトルで表された圧力であった。このように、例えば、例12bについて表12における工程2の窒素および酸素処理に関する表記は、以下のように読める:Oxford ICP装置において、25sccmのN2を、25sccmのO2と共に、圧力が10ミリトル(約1.3Pa)である槽に流し込んだ;300Wの13.56MHzのRFエネルギーを、担体を上に置いたプラテンに印加し、その担体を30℃に温度制御し、その処理は10秒間に亘り行った。残りの例に関する表記は、同様に読むことができる。 In the example of Table 12 below, various conditions were used to deposit a plasma polymerized surface modified layer film on a glass support. The glass support was a substrate made from “Corning” “Eagle XG” (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free display glass. Prior to film deposition, the support was cleaned using SC1 and / or SC2 chemistry and standard cleaning techniques. The surface modification layer was deposited in RIE configuration mode, Oxford Plasmalab 380 ICP (obtained from Oxford Instruments, Oxfordshire, UK). Here, the carrier was placed on the platen, 275 W of RF energy was applied to it, and a coil was placed on the platen, and no energy was applied thereto. In step 1, 2 sccm of methane (CH 4 ) and 38 sccm of hydrogen (H 2 ) were poured into a tank having a pressure of 25 millitorr (about 3.3 Pa). For all samples listed in Table 12, the surface treatment time was 60 seconds and the platen temperature was 30 ° C. After the above-described deposition, in step 2, the surface modification layer was treated with nitrogen and oxygen. Specifically, during the process of Step 2, a 13.56 MHz RF energy of a specific wattage (described in the “RF” column) is applied to the platen, and a coil is placed on the platen, where the energy is Was not applied. Over the time (seconds) listed in the “Time (s)” column of this table, N 2 was allowed to flow into the vessel at the flow rate of sccm listed in the “N2” column, and O 2 was flown through. The flow rate was sccm listed in the column of “O 2”, and was flowed into the tank. The tank was at a pressure expressed in millitorr, as listed in the “Pr” column. Thus, for example, for Example 12b, the notation for nitrogen and oxygen treatment in Step 2 in Table 12 can be read as follows: In an Oxford ICP apparatus, 25 sccm N 2 with 25 sccm O 2 and a pressure of 10 millitorr (About 1.3 Pa) was poured into the tank; 300 W of 13.56 MHz RF energy was applied to the platen with the carrier on top, the carrier was temperature controlled to 30 ° C., and the treatment was performed for 10 seconds. I went there. The notation for the remaining examples can be read similarly.

表面エネルギーは、3つの異なる試験液体(この場合、脱イオン水(W)、ヘキサデカン(HD)、およびジヨードメタン(DIM))の接触角およびWuモデルを使用することにより、mJ/m2(平方メートル当たりのミリジュール)で計算した。表面エネルギーについて、極性(P)および分散(D)成分、並びに合計(T)が示されている。表面改質層の厚さ(オングストロームで表された「th」)、表面改質層の堆積およびそのN2−O2処理後の担体の平均表面粗さ(オングストロームで表された「Ra」)、結合エネルギー(mJ/m2で表された「BE」)および気泡面積%変化(室温で表面改質層を介して薄いガラスシートを担体に最初に結合した後の気泡面積と、400℃プロセス試験により担体を加熱した後の気泡面積との間の「Δ気泡%」)も示されている。 The surface energy is calculated in mJ / m 2 (per square meter) by using the contact angle and Wu model of three different test liquids (in this case deionized water (W), hexadecane (HD), and diiodomethane (DIM)). In millijoules). For surface energy, the polar (P) and dispersed (D) components and the total (T) are shown. The thickness of the surface modification layer (expressed in Å "th"), the deposition of the surface modification layer and N 2 -O 2 average surface roughness of the support after the treatment (expressed in Å "Ra") , Binding energy (“BE” expressed in mJ / m 2 ) and bubble area% change (bubble area after first bonding a thin glass sheet to the support through the surface modification layer at room temperature and the 400 ° C. process. The test also shows “Δ bubble%” between the bubble area after heating the support).

表12の例のように担体の各々に結合した薄いガラスシートは、アルミノホウケイ酸塩無アルカリガラスである、「Corning」「Willow」Glass(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された、100、130、および150マイクロメートルの厚さを有する基板であった。結合前に、この「Willow」Glassは、酸素プラズマと、その後のSC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。   Thin glass sheets bonded to each of the supports as in the examples in Table 12 are from “Corning” “Willow” Glass (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free glass. The manufactured substrates were 100, 130, and 150 micrometers thick. Prior to bonding, the “Willow” Glass was cleaned using an oxygen plasma followed by SC1 and / or SC2 chemistry and standard cleaning techniques.

表12の例において、表面改質層が上に配置された結合表面はガラスであったが、その必要はない。代わりに、結合表面は、ガラスと同様の表面エネルギーおよび性質を有する別の適切な材料、例えば、シリコン、ポリシリコン、単結晶シリコン、セラミック、ガラスセラミック、サファイア、または石英であってよい。   In the example of Table 12, the bonding surface with the surface modification layer disposed thereon was glass, but this is not necessary. Alternatively, the bonding surface may be another suitable material having surface energy and properties similar to glass, such as silicon, polysilicon, single crystal silicon, ceramic, glass ceramic, sapphire, or quartz.

表12の例における処理から、400℃の処理後:例12aから12jの全ては、2未満の気泡面積パーセントの変化を示し、これは、この温度でのガス放出がないことと一致する(表12のΔ気泡%の列を参照)こと、およびサンプル12a、12b、12c、12g、および12jの各々は、この温度試験後に薄いシートの担体からの剥離が可能である結合エネルギーを有した(表12のBEの列を参照)が、例12d、12e、12f、12h、および12iは、表12のBEの列の2500の値により示されるように、400℃プロセス試験後に剥離できなかったことが分かる。   From the treatment in the example of Table 12, after treatment at 400 ° C .: All of Examples 12a to 12j show a change in percent bubble area of less than 2, which is consistent with no outgassing at this temperature (Table And the samples 12a, 12b, 12c, 12g, and 12j each had a binding energy that was capable of peeling from the thin sheet carrier after this temperature test (Table 1). Example 12d, 12e, 12f, 12h, and 12i could not be peeled after 400 ° C. process testing, as indicated by the 2500 values in the BE column of Table 12. I understand.

表面エネルギー、気泡面積、結合エネルギー、および偏光解析法による厚さが、表12の例のように、%O2、RF、および圧力の関数としてマッピングされている。厚さの減少が、増加するRF出力(例12gを例12bと比較)および%O2(例12aを例12bと比較)と相関するのが分かり、これは、炭化水素層の灰化と一致する。結合エネルギーは、圧力のみに依存した:10ミリトル(約1.3Pa)で処理したサンプルは、400℃でのアニール後に剥離できた(例12a、12b、12c、12gを参照)。35ミリトル(約4.7Pa)以上で処理したものはできなかった。例えば、40ミリトル(約5.3Pa)で処理した、2500の結合エネルギーを有する例12d、および70ミリトル(約9.3Pa)の圧力および2500の結合エネルギーを有する例12eも参照のこと。「BE」の列の2500の結合エネルギーは、薄いガラスシートが担体から剥離できなかったことを示す。処理した膜の全ての表面エネルギーは、厚さに関係なく、65〜72mJ/m2であった。例12aから12i、および12kを参照のこと。これらの結果は、高圧のN2−O2プラズマ処理により不連続な膜が生じることを示唆している。実際に、高圧は膜を急激に取り除き、それにより、低圧が有益である。気泡発生に関して、その量は、%O2*RFが増加するにつれて減少するようであった。さらに、H2Oの分圧は、増加する%O2および増加するRFと共に減少し;表面改質層の厚さは、増加する工程2における圧力と共に減少すること、およびΔ気泡%は、増加する圧力と共に増加し(したがって、工程2中に、より低い圧力が有益である);処理時間が増加するにつれて、表面改質層の厚さは減少すること、並びに極性基が減少し、したがって、より短い処理時間が有益となることが分かった。 Surface energy, bubble area, binding energy, and ellipsometric thickness are mapped as a function of% O2, RF, and pressure, as in the example in Table 12. It can be seen that the decrease in thickness correlates with increasing RF power (comparing Example 12g with Example 12b) and% O2 (Comparing Example 12a with Example 12b), which is consistent with the ashing of the hydrocarbon layer. . The binding energy depended only on the pressure: the sample treated at 10 mTorr (about 1.3 Pa) was able to peel after annealing at 400 ° C. (see Examples 12a, 12b, 12c, 12g). What processed at 35 millitorr (about 4.7 Pa) or more was not made. See, for example, Example 12d having a binding energy of 2500 treated at 40 millitorr (about 5.3 Pa) and Example 12e having a pressure of 70 millitorr (about 9.3 Pa) and a binding energy of 2500. The binding energy of 2500 in the “BE” column indicates that the thin glass sheet could not be peeled from the carrier. All surface energies of the treated films were 65-72 mJ / m 2 regardless of thickness. See Examples 12a through 12i and 12k. These results suggest that a discontinuous film is formed by the high-pressure N 2 —O 2 plasma treatment. In fact, the high pressure removes the membrane rapidly, so that the low pressure is beneficial. With respect to bubble generation, the amount appeared to decrease as% O2 * RF increased. Furthermore, the partial pressure of H 2 O decreases with increasing% O 2 and increasing RF; the thickness of the surface modification layer decreases with increasing pressure in step 2 and the Δ bubble% increases. Increases with pressure (thus lower pressure is beneficial during step 2); as the processing time increases, the thickness of the surface modified layer decreases, as well as the polar groups decrease and therefore more It turns out that a short processing time is beneficial.

適切な結合エネルギーおよび気泡発生のバランスを求めた。窒素−酸素処理の出発点は、50%のO2、10ミリトル(約1.3Pa)、300Wおよび様々な処理時間であった。3組のサンプルを、20秒、60秒および180秒のRIE CH4−H2堆積と、続いて、0秒、5秒、15秒、および60秒のN2−O2プラズマ処理により調製した。表面エネルギーおよび結合エネルギーの両方とも、CH4−H2堆積時間に関係なく、5〜15秒のN2−O2プラズマ処理時間でピークとなる。薄い20秒のCH4−H2層は、取り除かれ、薄いガラスシートは担体に永久的に結合する。その高分子層が取り除かれる前に、ピークが生じ、これは、単にガラス基板を露出するアブレーションよりもむしろ、高分子膜上の極性基の形成に矛盾しない。気泡面積は、増加する表面改質層の堆積時間と共に増加し、よって、その後のN2−O2表面処理中の多すぎるアブレーションを避けるために単に表面改質層の厚さを増すことは、有益ではない。したがって、結合と気泡面積との間の良好な折衷は、表面改質層の堆積時間とN2−O2処理時間のバランスである。表面改質層の堆積時間(ガス放出の増加をもたらすより大きい厚さにつながるであろうから、長すぎない)と、N2−O2処理時間(−表面改質層を取り除くまたは除去する(担体の薄いシートへの永久的な結合をもたらす)ように長すぎないが、表面改質層に極性基を含ませるのに十分に長いもの)とバランスをとることに基づく。良好な折衷は、炭素質層の60秒のRIE堆積と、それに続く5〜10秒の短いN2−O2処理時間である。RIEモードについて、例12a、12b、12c、12g、および12kがうまく働く。 The appropriate binding energy and bubble generation balance was determined. The starting point for the nitrogen-oxygen treatment was 50% O 2 , 10 mtorr (about 1.3 Pa), 300 W and various treatment times. Three sets of samples were prepared by RIE CH 4 —H 2 deposition at 20 seconds, 60 seconds and 180 seconds, followed by 0, 5, 15 and 60 seconds N 2 —O 2 plasma treatment. . Both the surface energy and the binding energy, regardless of the CH 4 -H 2 deposition time, the peak in the N 2 -O 2 plasma treatment time of 5-15 seconds. The thin 20 second CH 4 —H 2 layer is removed and the thin glass sheet is permanently bonded to the carrier. A peak occurs before the polymer layer is removed, which is consistent with the formation of polar groups on the polymer film, rather than simply ablation exposing the glass substrate. Bubble area increases with deposition time of the surface modified layer increases, thus, simply increasing the thickness of the surface-modified layer in order to avoid subsequent too ablation in N 2 -O 2 surface treatment, Not useful. Thus, the good compromise between the coupling and the bubble area, is the balance of the deposition time of the surface modification layer and the N 2 -O 2 processing time. Surface modification layer deposition time (not too long as it will lead to greater thickness resulting in increased outgassing) and N 2 —O 2 treatment time (—removing or removing the surface modification layer ( It is based on balancing with (not long enough to provide a permanent bond to a thin sheet of support) but long enough to include polar groups in the surface modification layer). Good compromise has a 60-second RIE deposition of carbonaceous layer, 5 to 10 seconds shorter N 2 -O 2 processing time subsequent. For RIE mode, examples 12a, 12b, 12c, 12g, and 12k work well.

表面改質層上への極性基の組み込み
XPS N1sスペシエーションを使用して、N2−O2プラズマ処理が高極性表面を形成する機構を研究した。これらの表面改質層のスペシエーションを研究し、確認するために、ガラスを完全に覆うように「Eagle XG」ガラスウエハー上に堆積し、続いて、様々な期間に亘りN2/O2プラズマで処理した、CH4/H2の比較的厚い膜の表面化学を研究した。厚い炭化水素膜の利点は、炭化水素膜上のみに生じる窒素種を識別し、これらを、露出されたガラス上に生じるものとは区別することができることである。
Use the built-XPS N1s speciation polar groups to the surface modification layer, N 2 -O 2 plasma treatment was studied a mechanism for forming a high-polar surface. In order to study and confirm the speciation of these surface modification layers, they were deposited on an “Eagle XG” glass wafer to completely cover the glass, followed by N 2 / O 2 plasma for various periods of time. The surface chemistry of the treated, CH 4 / H 2 relatively thick film was studied. The advantage of a thick hydrocarbon film is that it can identify nitrogen species that occur only on the hydrocarbon film and distinguish them from those that occur on the exposed glass.

「Eagle XG」ガラスウエハーの表面組成物を最初に600秒のCH4/H2プラズマに曝露して、厚い炭化水素膜を堆積させ、続いて、5、15、60および600秒に亘りN2/O2プラズマに曝露する。ガラス中に存在する元素(AlおよびCaなど)は、5秒および15秒の処理について検出されず、それらの場合、炭素質膜層は、約10nmであるXPSのプローブ深さよりも厚いことを示している。 The surface composition of the “Eagle XG” glass wafer is first exposed to a 600 second CH 4 / H 2 plasma to deposit a thick hydrocarbon film, followed by N 2 for 5, 15, 60 and 600 seconds. / Exposed to O 2 plasma. Elements present in the glass (such as Al and Ca) are not detected for the 5 and 15 second treatments, indicating that the carbonaceous film layer is thicker than the XPS probe depth, which is about 10 nm. ing.

この炭素質膜の60秒および600秒のN2/O2プラズマへの曝露により、それらの場合、XPSがガラス中に生じる元素を検出できるので、炭素質層のある程度の薄化がもたらされる。この観察は、炭素の表面濃度を検討することにより、さらに確認される。60秒および600秒の処理について、C濃度は、10at%未満であり、それらの場合、表面が炭素質層により部分的に覆われていることを強く示唆している。 Exposure of this carbonaceous film to 60 and 600 seconds of N 2 / O 2 plasma results in some thinning of the carbonaceous layer, in which case XPS can detect elements that occur in the glass. This observation is further confirmed by examining the surface concentration of carbon. For treatments of 60 seconds and 600 seconds, the C concentration is less than 10 at%, which strongly suggests that in those cases the surface is partially covered by the carbonaceous layer.

NH3 +種は、相当な量の炭素質膜がエッチングで除去された場合のみに検出される。このことは、NH3 +種が、ガラス上のみに存在するようであり、他の種は、窒素と炭素質層との間の反応を主に含むことを非常に強く示唆している。表面上の全ての元素の百分率(すなわち、種の分率×検出された窒素の分率)としての窒素種のスペシエーションが、下記の表13に示されている。 NH 3 + species are detected only when a substantial amount of the carbonaceous film is removed by etching. This suggests very strongly that the NH 3 + species appear to be present only on the glass, and that other species mainly include reactions between nitrogen and the carbonaceous layer. The speciation of the nitrogen species as a percentage of all elements on the surface (ie, the fraction of the species x the fraction of nitrogen detected) is shown in Table 13 below.

このN2−O2処理の主要な作用は、炭素質表面改質層のエッチングであるのが分かる。実際に、60および600秒の処理について、表面上にはごくわずかしか炭素質材料は存在しない。他の観察は、非常に短いN2−O2処理時間、例えば、5および15秒の後でさえも、表面改質層上に窒素種が存在することである。その後、窒素種は急激に減少するのに対し、アンモニア種(下にあるガラス表面の存在を示す)は急激に増加する。炭素質表面改質層の5秒のN2−O2プラズマ処理に関する炭素スペシエーションのXPS評価により、酸素および窒素を含有するいくつかの異なる種が表面改質層上に存在することも明らかになった。酸素含有種が存在したことは、表面改質層に極性基を与えるためには、O2プラズマのみで十分であろうという考えに至る。実際に、このことが、そうであることが分かり、下記に論じられる。 It can be seen that the main effect of this N 2 —O 2 treatment is etching of the carbonaceous surface modified layer. In fact, for the 60 and 600 second treatments, there is very little carbonaceous material on the surface. Another observation is the presence of nitrogen species on the surface modified layer even after very short N 2 —O 2 treatment times, eg 5 and 15 seconds. The nitrogen species then decreases rapidly, while the ammonia species (indicating the presence of the underlying glass surface) increases rapidly. By XPS evaluation of carbon speciation about N 2 -O 2 plasma treatment for 5 seconds carbonaceous surface modification layer, several different species containing oxygen and nitrogen becomes also clear that on the surface modification layer It was. The presence of the oxygen-containing species leads to the idea that only O 2 plasma would be sufficient to give the surface modified layer polar groups. In fact, this turns out to be so and is discussed below.

NH3 +種がガラス上のみに生じ、炭素質層上には生じないという仮定に基づいて、NH3 +/Σ(全窒素化合物)の比を計算することによって、表面被覆率を推定することができる。この表面被覆率の推定の結果が、図17に与えられている。5秒と15秒との間には、ほとんど変化はない。最大の変化は、15秒と60秒のN2−O2プラズマ処理時間の間に現れる。 Estimating surface coverage by calculating the ratio of NH 3 + / Σ (total nitrogen compounds) based on the assumption that NH 3 + species only occur on the glass and not on the carbonaceous layer Can do. The result of estimation of this surface coverage is given in FIG. There is almost no change between 5 and 15 seconds. The biggest change appears between 15 and 60 seconds of N 2 -O 2 plasma treatment time.

炭素質表面改質層のN2−O2プラズマ処理のモデルは、以下のとおりである。CH4−H2堆積により、連続した炭化水素層が生じる。N2−O2プラズマ処理の最初の数秒において、炭化水素層が酸化され、アブレーションされるので、極性−NH2基が高分子表面上に形成される。イミドまたはアミド基も、このときに形成されるかもしれないが、そのXPSは不確定である。N2−O2プラズマ処理が長くなると、高分子除去がガラス表面に到達し、そこで、N2−O2プラズマとガラス表面との相互作用から、極性−NH3 +基が形成される。 Model N 2 -O 2 plasma treatment of the carbonaceous surface modification layer is as follows. The CH 4 —H 2 deposition results in a continuous hydrocarbon layer. In the first few seconds of the N 2 —O 2 plasma treatment, the hydrocarbon layer is oxidized and ablated so that polar —NH 2 groups are formed on the polymer surface. Imido or amide groups may also be formed at this time, but their XPS is indeterminate. As the N 2 —O 2 plasma treatment is lengthened, polymer removal reaches the glass surface, where polar —NH 3 + groups are formed from the interaction between the N 2 —O 2 plasma and the glass surface.

表面改質層の表面処理として単独のO 2
炭素質層のN2−O2処理の代わりとして、表面エネルギーを増加させ、炭素質層上に極性基を形成するために、O2単独の使用も研究した。上述したように、炭素質層の5秒のN2−O2プラズマ処理のXPS炭素スペシエーションにより、酸素含有種は、実際に、表面改質層上に存在することが示された。それゆえ、その炭素質層のO2処理を試みた。O2処理は、ICPモード、およびRIEモードの両方で行った。
Single O 2 as surface treatment for surface modification layer
As an alternative to N 2 -O 2 processing carbonaceous layer increases the surface energy, in order to form a polar group on the carbonaceous layer, the use of O 2 alone was also studied. As noted above, XPS carbon speciation of the carbonaceous layer with a 5 second N 2 —O 2 plasma treatment indicated that the oxygen-containing species was actually present on the surface modified layer. Therefore, an O 2 treatment of the carbonaceous layer was attempted. O 2 treatment was performed in both ICP mode and RIE mode.

ICPモードにおいて、先の表11の工程1のように、基礎炭素質層を形成した。次いで、工程2の表面処理を、15ミリトル(約2.0Pa)の圧力下において800/50Wの出力で、40sccmのO2、0sccmのN2を流すことによって行い、これにより、表面エネルギーが所望のように増加し、炭素質層の表面に所望の極性基が生成された。その薄いガラスシートは、室温で、表面改質層に容易に結合した。また、このサンプルは、450℃でのアニール後に、永久的には結合しないことが観察された、すなわち、このサンプルは、400℃処理試験の項目(c)に合格することができた。このサンプルは、FPD加工(上述した真空試験(1)、ウェットプロセス試験(2)、および超音波試験(5)を含む)に耐えるのに十分に強力であり、十分な剥離力の印加で剥離可能なままであった。剥離により、薄いガラス上に製造されたデバイスの取外し、および担体の再利用が可能である。 In the ICP mode, a basic carbonaceous layer was formed as in Step 1 of Table 11 above. Next, the surface treatment of Step 2 is performed by flowing 40 sccm of O 2 and 0 sccm of N 2 at a power of 800/50 W under a pressure of 15 millitorr (about 2.0 Pa). The desired polar group was generated on the surface of the carbonaceous layer. The thin glass sheet was easily bonded to the surface modification layer at room temperature. It was also observed that this sample did not permanently bond after annealing at 450 ° C., ie, this sample was able to pass item (c) of the 400 ° C. treatment test. This sample is strong enough to withstand FPD processing (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above), and peels off upon application of sufficient peel force Remained possible. Exfoliation allows removal of devices made on thin glass and reuse of the carrier.

RIEモードにおいて、先の表12の工程1のように、基礎炭素質層を形成した。次いで、工程2の表面処理を、50ミリトル(約6.7Pa)の圧力下において200Wの出力で、50sccmのO2、0sccmのN2を流すことによって行った。ICPモードと同様に、これらの条件により、表面エネルギーが所望のように増加し、炭素質層の表面に所望の極性基が生成された。その薄いガラスシートは、室温で、表面改質層に容易に結合した。また、このサンプルは、450℃でのアニール後に、永久的には結合しないことが観察された、すなわち、このサンプルは、400℃処理試験の項目(c)に合格することができた。また、このサンプルは、FPD加工(上述した真空試験(1)、ウェットプロセス試験(2)、および超音波試験(5)を含む)に耐えるのに十分に強力であり、十分な剥離力の印加で剥離可能なままであった。剥離により、薄いガラス上に製造されたデバイスの取外し、および担体の再利用が可能である。 In the RIE mode, a basic carbonaceous layer was formed as in Step 1 of Table 12 above. Next, the surface treatment of step 2 was performed by flowing 50 sccm of O 2 and 0 sccm of N 2 at an output of 200 W under a pressure of 50 mtorr (about 6.7 Pa). Similar to the ICP mode, these conditions increased the surface energy as desired and produced the desired polar groups on the surface of the carbonaceous layer. The thin glass sheet was easily bonded to the surface modification layer at room temperature. It was also observed that this sample did not permanently bond after annealing at 450 ° C., ie, this sample was able to pass item (c) of the 400 ° C. treatment test. The sample is also strong enough to withstand FPD processing (including the vacuum test (1), wet process test (2), and ultrasonic test (5) described above) and applying sufficient peel force. It remained peelable. Exfoliation allows removal of devices made on thin glass and reuse of the carrier.

このように、O2処理は、N2−O2処理と同様に挙動することが分かった。最初の表面改質層の堆積時間(厚さを増す)およびO2処理のバランスに関して、同様な考察が当てはまる。 Thus, O 2 treatment, was found to behave similarly to N 2 -O 2 process. Similar considerations apply regarding the balance of initial surface modification layer deposition time (increasing thickness) and O 2 treatment.

少量のフッ素
ICPモードで炭化水素高分子が堆積した炭素質層のXPS分析において、いくらかのアトミック%のF、約2.2%のFが見つけられた。これは、Oxfordが、ガラス、誘電体、および金属のフッ素および塩素エッチングに使用されるという事実に帰着する。炭化水素が堆積した表面改質層の性質にとって少量のフッ素が有益であることが分かった。典型的な反応装置の洗浄プロセスは、SF6−O2洗浄と、それに続く、O2洗浄よびH2プラズマ洗浄である。各工程は、30分の長さであり、工程の間にポンプ/パージ工程を含む。炭化水素高分子のエッチング速度はO2単独よりも著しく速いので、最初の洗浄に、SF6−O2が使用される。H2プラズマ洗浄工程は、反応装置の壁上の堆積物から混入量のフッ素のほとんどを除去するであろう。H2プラズマ洗浄を省略する場合、炭化水素の表面改質層においてより多量のフッ素を含むことが予期されるであろう。図16は、炭化水素の表面改質層に関するH2プラズマ洗浄を省略する影響を示している。結合エネルギーが低下し、気泡発生の大幅な増加がなく、600℃まで永久的な結合がなくなる。このように、炭化水素の表面改質層中の少量のフッ素、すなわち、少なくとも約3%までのフッ素が有益である。
In the XPS analysis of the carbonaceous layer deposited with hydrocarbon polymer in a small amount of fluorine ICP mode, some atomic% F, about 2.2% F was found. This results in the fact that Oxford is used for fluorine and chlorine etching of glass, dielectrics, and metals. It has been found that a small amount of fluorine is beneficial for the properties of the surface modified layer on which the hydrocarbon is deposited. A typical reactor cleaning process is SF 6 -O 2 cleaning followed by O 2 cleaning and H 2 plasma cleaning. Each step is 30 minutes long and includes a pump / purge step between steps. Since the etch rate of hydrocarbon polymers is significantly faster than O 2 alone, SF 6 —O 2 is used for the initial cleaning. The H 2 plasma cleaning process will remove most of the contaminant fluorine from the deposits on the reactor walls. If the H 2 plasma cleaning is omitted, it would be expected to contain a greater amount of fluorine in the hydrocarbon surface modification layer. FIG. 16 shows the effect of omitting H 2 plasma cleaning on the hydrocarbon surface modification layer. The binding energy is reduced, there is no significant increase in bubble generation, and permanent binding is lost up to 600 ° C. Thus, a small amount of fluorine in the hydrocarbon surface modification layer, ie, up to at least about 3% fluorine, is beneficial.

表面粗さ
炭化水素で形成された表面改質層の堆積によるガラスの結合表面の表面粗さの変化を研究した。詳しくは、後で窒素と、次いで、水素で処理されるメタン−水素から形成された表面改質層を選択した。メタン−水素から表面改質層を形成し、続いて、連続したその場のN2と、次いでH2プラズマ処理(60秒間に亘る、20CH4 40H2 5ミリトル(約0.67Pa)、1500/50W、次いで、15秒間に亘る、40N2 5ミリトル(約0.67Pa)、1500/50W、次いで、15秒間に亘る、40H2 15ミリトル(約2.0Pa)、1500/50W)を行うことにより、2つの担体を調製した。第1の担体(例14a)の表面改質層を、O2プラズマ洗浄と、それに続くSC1洗浄により除去した。第2の担体(例14b)の表面改質層は、適所に残した。第3の担体(例14c)は、基準として使用し、そこに表面改質層は施されていなかった。AFMを使用して、表面改質層を施し、次いで、取り去った担体(例14a)、上にまだ表面改質層を有する担体(例14b)、および基準担体(例14c)の表面粗さを評価した。AFM測定からのRq、Ra、およびRz範囲が、表14にnm(ナノメートル)の単位で示されている。例14aおよび14bの粗さは、例14cのものと区別できない。例14cについて、5×5マイクロメートルの走査における過剰なz範囲は、走査された区域における粒子によるものであったことを留意すべきである。このように、本開示の炭化水素により形成された表面改質層は、ガラスの結合表面の表面粗さを変えないことが分かる。特定の環境において、結合表面の不変の表面粗さは、例えば、担体の再利用のために有益であろう。これらの例におけるガラス担体は、アルミノホウケイ酸塩無アルカリディスプレイ用ガラスである、「Corning」「Eagle XG」(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された基板であった。
The change of surface roughness of the bonding surface of glass due to the deposition of surface modification layer formed with surface roughness hydrocarbons was studied. Specifically, a surface modification layer formed from nitrogen and then methane-hydrogen treated with hydrogen was selected. A surface modification layer is formed from methane-hydrogen, followed by continuous in situ N 2 and then H 2 plasma treatment (60 CH, 40 CH 2 5 mTorr (about 0.67 Pa), 1500 / 50 W, then, over 15 seconds, 40N 2 5 millitorr (about 0.67 Pa), 1500/50 W, then, over 15 seconds, 40H 2 15 mTorr (about 2.0 Pa), by performing 1500/50 W) Two carriers were prepared. The surface modified layer of the first support (Example 14a) was removed by O 2 plasma cleaning followed by SC1 cleaning. The surface modified layer of the second support (Example 14b) was left in place. The third support (Example 14c) was used as a reference and was not provided with a surface modification layer. Using AFM, apply the surface modification layer and then determine the surface roughness of the removed carrier (Example 14a), the carrier still having the surface modification layer on it (Example 14b), and the reference carrier (Example 14c). evaluated. The Rq, Ra, and Rz ranges from AFM measurements are shown in Table 14 in nm (nanometers). The roughness of Examples 14a and 14b is indistinguishable from that of Example 14c. Note that for Example 14c, the excess z-range in the 5 × 5 micron scan was due to particles in the scanned area. Thus, it turns out that the surface modification layer formed with the hydrocarbon of this indication does not change the surface roughness of the bonding surface of glass. In certain circumstances, a constant surface roughness of the binding surface may be beneficial, for example, for carrier recycling. The glass support in these examples was a substrate made from “Corning” and “Eagle XG” (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free display glass.

全般的な考察
例2〜12における担体からの薄いシートの上述した分離は、薄いシートと担体との間の結合界面を変えるために、どのようなさらなる熱または化学エネルギーも加えずに、室温で行われる。唯一のエネルギー入力は、機械的牽引力および/または剥離力である。
General considerations The above-described separation of the thin sheet from the carrier in Examples 2-12 is at room temperature without any additional heat or chemical energy to change the bonding interface between the thin sheet and the carrier. Done. The only energy input is mechanical traction and / or peel force.

例3および5〜12の表面改質層は薄い有機層であるので、それらは、熱およびプラズマ処理において酸素に対して敏感である。したがって、これらの表面改質層は、デバイス製造中に保護すべきである。その表面改質層は、熱加工中に、非酸素含有環境(例えば、N2環境)の使用によって、保護してもよい。あるいは、結合した薄いガラスシートと担体との間の界面の縁を越えて、保護コーティング、例えば、薄い金属層を堆積させることが、高温での酸素環境の影響から表面改質層を保護するのに十分である。 Since the surface modified layers of Examples 3 and 5-12 are thin organic layers, they are sensitive to oxygen in thermal and plasma processing. Therefore, these surface modified layers should be protected during device manufacture. The surface modification layer may be protected by the use of a non-oxygen-containing environment (eg, an N 2 environment) during thermal processing. Alternatively, depositing a protective coating, eg, a thin metal layer, beyond the interface edge between the bonded thin glass sheet and the carrier may protect the surface modification layer from the effects of the oxygen environment at high temperatures. Enough.

薄いシートおよび担体の両方がガラスの結合表面を有する場合、例3から12において先に記載された表面改質層は、担体、薄いシート、または共に結合される担体と薄いシートの表面の両方に施すことができる。あるいは、一方の結合表面が高分子の結合表面であり、他方の結合表面がガラスの結合表面(下記にさらに記載されるように)である場合、例3から12において先に記載された適切な表面改質層(高分子の結合表面の表面エネルギーに基づく)が、ガラスの結合表面に施される。さらに、担体または薄いシートの全体が、同じ材料で製造される必要はないが、その結合表面が、関心のある表面改質層を受け取るのに適している限り、その中に異なる層および/または材料を含んでもよい。例えば、結合表面は、ガラス、ガラスセラミック、セラミック、シリコン、または金属であってよく、担体および/または薄いシートの残りは、異なる材料のものであってもよい。例えば、薄いシート20の結合表面は、例えば、シリコン、ポリシリコン、単結晶シリコン、サファイア、石英、ガラス、セラミック、またはガラスセラミックを含むどのような適切な材料のものであってよい。例えば、担体10の結合表面は、ガラス基板、またはガラスと同様の表面エネルギーを有する別の適切な材料、例えば、シリコン、ポリシリコン、単結晶シリコン、セラミック、ガラスセラミック、サファイア、または石英であってよい。   Where both the thin sheet and the carrier have a glass bonding surface, the surface modification layer described above in Examples 3 to 12 can be applied to the carrier, the thin sheet, or both the carrier and the thin sheet surface bonded together. Can be applied. Alternatively, if one binding surface is a polymeric binding surface and the other binding surface is a glass binding surface (as described further below), the suitable described above in Examples 3-12 A surface modification layer (based on the surface energy of the polymer binding surface) is applied to the glass binding surface. Furthermore, the entire carrier or thin sheet need not be made of the same material, but different layers and / or within it as long as the binding surface is suitable to receive the surface modification layer of interest. Materials may be included. For example, the bonding surface may be glass, glass ceramic, ceramic, silicon, or metal, and the remainder of the carrier and / or thin sheet may be of a different material. For example, the bonding surface of the thin sheet 20 can be of any suitable material including, for example, silicon, polysilicon, single crystal silicon, sapphire, quartz, glass, ceramic, or glass ceramic. For example, the binding surface of the carrier 10 is a glass substrate or another suitable material having a surface energy similar to glass, such as silicon, polysilicon, single crystal silicon, ceramic, glass ceramic, sapphire, or quartz. Good.

ここに述べた例から分かるように、表面改質層は、その続いての処理と共に、ガラスの結合表面上の表面エネルギーを幅広く変える方法を提供する。例えば、先の例の全てから、ガラスの結合表面の表面エネルギーは、約36mJ/m2(例5gにおけるように)から約80mJ/m2(例5f)まで変えられることが分かる。続いての表面処理を行わずに、一段階プロセスにおいて、非フッ素化表面材料を使用すると、ガラスの結合表面の表面エネルギーは、約37mJ/m2(例16b)から約67mJ/m2(例7hから7j)まで変えられることが分かる。極性基を増加させるためのその後の処理と共に炭素質表面改質層を使用すると、ガラスの結合表面の表面エネルギーは、約52mJ/m2(例12j)から約74mJ/m2(例8a)まで変えられることが分かる。一段階プロセス、または二段階プロセスのいずれにおいて非フッ素化供給材料を使用しても、ガラスの結合表面の表面エネルギーは、約37mJ/m2(例16b)から約74mJ/m2(例8a)まで変えられることが分かる。その続いての処理と共に、表面改質層を堆積させるためにフッ素含有、または非フッ素含有供給材料のいずれを使用しても、ガラスの結合表面の表面エネルギーは、約41mJ/m2(例5m)から約80mJ/m2(例5f)まで変えられることが分かる。 As can be seen from the examples described herein, the surface modification layer, along with subsequent processing, provides a way to widely vary the surface energy on the bonding surface of the glass. For example, it can be seen from all of the previous examples that the surface energy of the bonding surface of the glass can be varied from about 36 mJ / m 2 (as in Example 5g) to about 80 mJ / m 2 (Example 5f). If a non-fluorinated surface material is used in a one-step process without subsequent surface treatment, the surface energy of the bonded surface of the glass is from about 37 mJ / m 2 (Example 16b) to about 67 mJ / m 2 (Example It can be seen that from 7h to 7j) can be changed. Using a carbonaceous surface modification layer with subsequent treatment to increase polar groups, the surface energy of the bonded surface of the glass is from about 52 mJ / m 2 (Example 12j) to about 74 mJ / m 2 (Example 8a). You can see that it can be changed. Whether using a non-fluorinated feed in either a one-step process or a two-step process, the surface energy of the bonding surface of the glass is from about 37 mJ / m 2 (Example 16b) to about 74 mJ / m 2 (Example 8a). It can be seen that it can be changed. With the subsequent treatment, using either a fluorine-containing or non-fluorine-containing feed to deposit the surface modification layer, the surface energy of the bonded surface of the glass is about 41 mJ / m 2 (Example 5m ) To about 80 mJ / m 2 (Example 5f).

その上、ここに述べた例から分かるように、表面改質層の厚さは、幅広く変えることができる。望ましい結果が、約2nm(例3におけるような)から約8.8nm(例12cにおけるような)の範囲の表面改質層の厚さにより得られた。   Moreover, as can be seen from the examples described here, the thickness of the surface modification layer can vary widely. Desirable results were obtained with a surface modification layer thickness ranging from about 2 nm (as in Example 3) to about 8.8 nm (as in Example 12c).

制御された結合の使用
再利用できる担体
表面改質層(材料および関連する結合表面の熱処理を含む)による制御された結合の使用の1つは、例えば、LTPS加工におけるような、600℃以上の温度を必要とするプロセスを経験する物品における担体の再利用を提供することである。先の例2e、3a、3b、4c、4d、および4e、並びに表5の例により例示されるような、表面改質層(材料および結合表面の熱処理を含む)を使用して、そのような温度条件下での担体の再利用を提供してもよい。詳しくは、これらの表面改質層を使用して、薄いシート(ガラスの結合表面を有する)および担体(ガラスの結合表面を有する)の結合区域間の重複区域の表面エネルギーを変え、それによって、加工後に、薄いシート全体を担体から分離してもよい。この薄いシートは、一度に全て分離されても、または例えば、薄いシートの部分上に製造されたデバイスを最初に取り外したときに、部分的に分離し、その後、残りの部分を取り外して、再利用のために担体を洗浄してもよい。薄いシート全体が担体から取り外される場合、その担体は、その上に別の薄いシートを単に配置することなどによって、再利用することができる。あるいは、担体は、洗浄し、表面改質層をもう一度形成することによって、薄いシートを担持するためにもう一度準備してもよい。表面改質層は、薄いシートの担体との永久的な結合を防ぐので、温度が600℃以上であるプロセスに使用できる。もちろん、これらの表面改質層は、600℃以上の温度での加工中に結合表面のエネルギーを制御するであろうが、より低い温度での加工に耐える薄いシートと担体との組合せを製造するために使用してもよく、結合を制御するために、そのようなより低い温度での用途に使用してもよい。さらに、物品の熱加工が400℃を超えない場合、例2c、2d、4b、および表7〜11の例(表10の例の代替案として論じられた例を含む)、例12a、12b、12c、12g、12g、並びにO2のみによる表面処理の例により例示された表面改質層も、同様に使用してもよい。
Using controlled joins
One use of controlled bonding with reusable support surface modification layers (including heat treatment of materials and associated bonding surfaces) is a process that requires temperatures above 600 ° C., such as in LTPS processing, for example. It is to provide carrier recycling in articles that experience the above. Using surface modification layers (including heat treatment of materials and bonded surfaces), as exemplified by the previous examples 2e, 3a, 3b, 4c, 4d, and 4e, and the examples in Table 5, such Support recycling may be provided under temperature conditions. Specifically, these surface modification layers are used to change the surface energy of the overlapping area between the bonding areas of the thin sheet (having the glass bonding surface) and the carrier (having the glass bonding surface), thereby After processing, the entire thin sheet may be separated from the carrier. This thin sheet can be separated all at once or, for example, when the device fabricated on the thin sheet part is first removed, it is partly separated and then the remaining part is removed and The carrier may be washed for use. If the entire thin sheet is removed from the carrier, the carrier can be reused, such as by simply placing another thin sheet thereon. Alternatively, the carrier may be prepared once more to carry a thin sheet by washing and forming a surface modifying layer once again. The surface modification layer prevents permanent bonding with the thin sheet carrier and can therefore be used in processes where the temperature is 600 ° C. or higher. Of course, these surface modified layers will control the energy of the binding surface during processing at temperatures above 600 ° C., but produce a thin sheet and carrier combination that will withstand processing at lower temperatures. And may be used for such lower temperature applications to control bonding. Furthermore, if the thermal processing of the article does not exceed 400 ° C., examples 2c, 2d, 4b, and examples in Tables 7-11 (including examples discussed as alternatives to the examples in Table 10), Examples 12a, 12b, 12c, 12 g, 12 g, and O 2 surface-modified layer which is illustrated by way of example in surface treatment with only may also be used as well.

ここに記載された表面改質層、例えば、表3の例、例4b、4c、4d、4e、表5および7〜11の例、例12a、12b、12c、12g、12j、並びにO2のみによる表面処理の例を含む表面改質層を使用する利点の1つは、担体を同じサイズで再利用できることである。すなわち、薄いシートを担体から除去し、表面改質層を非破壊的様式(例えば、O2または他のプラズマ洗浄)により物品から除去し、担体を任意の様式で(例えば、そのエッジで)切断する必要なく、担体を再利用してよい。 Surface modified layers described herein, for example, examples in Table 3, Examples 4b, 4c, 4d, 4e, Examples in Tables 5 and 7-11, Examples 12a, 12b, 12c, 12g, 12j, and O 2 only One of the advantages of using a surface modification layer, including the example of surface treatment by is that the support can be reused in the same size. That is, the thin sheet is removed from the carrier, the surface modification layer is removed from the article in a non-destructive manner (eg, O 2 or other plasma cleaning), and the carrier is cut in any manner (eg, at its edges) The carrier may be reused without having to do so.

制御された結合区域を提供すること
表面改質層(材料および関連する結合表面の熱処理を含む)による制御された結合の第2の使用は、ガラス担体とガラスの薄いシートとの間に制御された結合区域を提供することである。より詳しくは、表面改質層を使用することにより、制御された結合の区域を形成することができ、ここで、結合により生じる、薄いシートまたは担体いずれかに対する損傷がなく、十分な分離力が薄いシート部分を担体から分離することができ、それでも、担体に対して薄いシートを保持するための十分な結合力が、加工中ずっと維持される。図6を参照すると、ガラスの薄いシート20が、結合区域40によりガラス担体10に結合されることがある。結合区域40において、担体10および薄いシート20は、それらがモノリスとして働くように互いに共有結合している。その上、周囲52を有する制御された結合区域50があり、ここで、担体10および薄いシート20は、接続されているが、高温加工、例えば、600℃以上の温度での加工の後でさえ、互いから分離されるであろう。図6に10個の制御された結合区域50が示されているが、1つを含むいくつの適切な数を提供してもよい。先の例2a、2e、3a、3b、4c、4d、および4e、表5の例により例示されるように、材料および結合表面の熱処理を含む、表面改質層30を使用して、ガラスの結合表面を有する担体10と、ガラスの結合表面を有する薄いシート20との間に制御された結合区域50を提供してもよい。詳しくは、これらの表面改質層は、担体10上または薄いシート20上のいずれかの制御された結合区域50の周囲52以内に形成してもよい。したがって、物品2が、結合区域40に共有結合を形成するため、またはデバイス加工中のいずれかで、高温で加工される場合、周囲52により囲まれた区域内に、担体10と薄いシート20との間に制御された結合が提供することができ、それによって、分離力が(薄いシートまたは担体に壊滅的な損傷なく)、この領域において薄いシートおよび担体を分離するであろうが、それでも、薄いシートおよび担体は、超音波処理を含む処理中に剥離しない。このように、表面改質層およびいずれか関連する熱処理によって提供されるような、本願の制御された結合は、特許文献1における担体の概念を改良することができる。詳しくは、特許文献1の担体は、それらの結合された周囲および非結合中央領域に関する約600℃以上の高温加工を含むFPD加工に耐えることが示されているが、超音波プロセス、例えば、ウェット洗浄およびレジスト除去処理が、依然として難題のままであった。詳しくは、非結合領域には薄いガラスおよび担体を結合する接着力がほとんどまたは全くなかったので、溶液中の圧力波が、非結合領域(非結合は、特許文献1に記載されていた)における薄いガラスに共振を導入することが分かった。薄いガラスに定在波を形成することができ、ここで、これらの波は、超音波撹拌が十分な強度のものである場合、結合領域と非結合領域との間の界面で薄いガラスの破損をもたらし得る振動を生じることがある。この問題は、薄いガラスと担体との間の空隙を最小にすることにより、またこれらの区域50において担体20と薄いガラス10との間に十分な接着を、または制御された結合を提供することにより、なくすことができる。結合表面の、例2a、2e、3a、3b、4c、4d、4e、および表5の例により例示されるような表面改質層(材料およびいずれか関連する熱処理を含む)は、制御された結合領域におけるこれらの望ましくない振動を避けるために、薄いシート20上のガラスの結合表面と担体10上のガラスの表面との間に十分な結合を提供するように、結合エネルギーを制御する。
Providing a controlled bonding area The second use of controlled bonding by surface modification layers (including heat treatment of materials and associated bonding surfaces) is controlled between the glass carrier and a thin sheet of glass. Is to provide a combined area. More particularly, by using a surface modification layer, a zone of controlled bonding can be formed, where there is no damage to either the thin sheet or the carrier caused by the bonding and there is sufficient separation force. The thin sheet portion can be separated from the carrier, yet a sufficient bonding force to hold the thin sheet against the carrier is maintained throughout processing. Referring to FIG. 6, a thin glass sheet 20 may be bonded to the glass carrier 10 by a bonding area 40. In the bonding zone 40, the carrier 10 and the thin sheet 20 are covalently bonded to each other so that they act as a monolith. In addition, there is a controlled bonding area 50 having a perimeter 52, where the carrier 10 and the thin sheet 20 are connected, but even after high temperature processing, for example processing at temperatures above 600 ° C. Will be separated from each other. Although ten controlled coupling zones 50 are shown in FIG. 6, any suitable number including one may be provided. Examples 2a, 2e, 3a, 3b, 4c, 4d, and 4e, using a surface modification layer 30 that includes heat treatment of the material and the bonding surface, as illustrated by the examples in Table 5, A controlled bonding area 50 may be provided between the carrier 10 having a bonding surface and the thin sheet 20 having a glass bonding surface. Specifically, these surface modification layers may be formed within the periphery 52 of the controlled bonding area 50 either on the carrier 10 or on the thin sheet 20. Thus, if article 2 is processed at high temperature, either to form a covalent bond in bonding area 40 or during device processing, carrier 10 and thin sheet 20 are within the area surrounded by perimeter 52. A controlled bond can be provided between them so that the separation force (without catastrophic damage to the thin sheet or carrier) will separate the thin sheet and carrier in this region, Thin sheets and carriers do not delaminate during processing including sonication. Thus, the controlled bonding of the present application, as provided by the surface modification layer and any associated heat treatment, can improve the concept of support in US Pat. In particular, the carriers of US Pat. No. 6,057,059 have been shown to withstand FPD processing including high temperature processing above about 600 ° C. with respect to their bonded peripheral and unbonded central regions, but ultrasonic processes such as wet Cleaning and resist removal processing remained a challenge. Specifically, since there was little or no adhesive force to bond the thin glass and the carrier in the non-bonded region, pressure waves in the solution caused the non-bonded region (non-bonding was described in Patent Document 1). It was found to introduce resonance into thin glass. Standing waves can be formed in thin glass, where these waves break the thin glass at the interface between the bonded and unbonded regions if the ultrasonic agitation is of sufficient strength May cause vibration. The problem is to minimize the gap between the thin glass and the carrier and to provide sufficient adhesion or controlled bonding between the carrier 20 and the thin glass 10 in these areas 50. Can be eliminated. The surface modification layer (including materials and any associated heat treatment) of the binding surface as illustrated by examples 2a, 2e, 3a, 3b, 4c, 4d, 4e, and the examples in Table 5 was controlled. In order to avoid these undesirable vibrations in the bonding region, the binding energy is controlled to provide sufficient bonding between the glass bonding surface on the thin sheet 20 and the glass surface on the carrier 10.

次いで、周囲57を有する所望の部分56の採取中、周囲52以内の薄いシート20の部分が、加工後、および周囲57に沿った薄いシートの分離後に、担体10から簡単に分離されるであろう。表面改質層が、薄いシートの担体との永久的な結合を防ぐために結合エネルギーを制御するので、それらは、温度が600℃以上であるプロセスに使用してよい。もちろん、これらの表面改質層は、600℃以上の温度での加工中に結合表面エネルギーを制御するであろうが、それらは、より低い温度での加工に耐える、薄いシートおよび担体の組合せを製造するためにも使用してよく、そのようなより低い温度の用途に使用してもよい。さらに、物品の熱加工が400℃を超えない場合、例2c、2d、4b、表7〜11の例(表10の例の代替案として論じられた例を含む)、例12a、12b、12c、12g、12g、並びにO2のみによる表面処理の例により例示された表面改質層を、−ある場合には、他のプロセス要件に応じて−結合表面エネルギーを制御するように同様に使用してもよい。 Then, during the harvesting of the desired portion 56 having the perimeter 57, the portion of the thin sheet 20 within the perimeter 52 is easily separated from the carrier 10 after processing and after separation of the thin sheet along the perimeter 57. Let's go. Since surface modification layers control the binding energy to prevent permanent bonding with the thin sheet carrier, they may be used in processes where the temperature is 600 ° C. or higher. Of course, these surface modified layers will control the binding surface energy during processing at temperatures above 600 ° C., but they will combine a thin sheet and carrier combination that will withstand processing at lower temperatures. It may also be used for manufacturing and may be used for such lower temperature applications. Further, if the thermal processing of the article does not exceed 400 ° C., examples 2c, 2d, 4b, examples in Tables 7-11 (including examples discussed as alternatives to the examples in Table 10), examples 12a, 12b, 12c 12g, 12g, as well as the surface modification layer illustrated by the example of surface treatment with only O 2 —depending on other process requirements—in some cases—also used to control the binding surface energy. May be.

結合区域を提供すること
表面改質層(材料およびいずれか関連する結合表面の熱処理を含む)による制御された結合の第3の使用は、ガラス担体とガラスの薄いシートとの間に結合区域を提供することである。図6を参照すると、ガラスの薄いシート20は、結合区域40によりガラス担体10に結合されることがある。
Providing a bonding area A third use of controlled bonding with a surface modification layer (including heat treatment of the material and any associated bonding surface) is to provide a bonding area between the glass carrier and a thin sheet of glass. Is to provide. With reference to FIG. 6, a thin sheet of glass 20 may be bonded to the glass carrier 10 by a bonding area 40.

第3の使用の1つの実施の形態において、結合区域40、担体10および薄いシート20は、それらがモノリスとして働くように、互いに共有結合されることがある。その上、周囲52を有する制御された結合区域50があり、ここで、担体10および薄いシート20は、加工に耐えるのに十分に互いに結合されており、それでも、高温加工、例えば、600℃以上の温度での加工の後でさえ、薄いシートの担体からの分離が可能である。したがって、先の例1a、1b、1c、2b、2c、2d、4a、4b、12d、12e、12f、12h、および12iにより例示されるような、表面改質層30(材料および結合表面の熱処理を含む)を使用して、担体10と薄いシート20との間に結合区域40を提供してもよい。詳しくは、これらの表面改質層および熱処理は、担体10上または薄いシート20上いずれかの制御された結合区域50の周囲52の外側に生じることがある。したがって、物品2が、高温で加工される場合、または共有結合を形成するために高温で処理される場合、担体および薄いシート20は、周囲52により囲まれた区域の外側の結合区域40内で互いに結合する。次いで、周囲57を有する所望の部分56の採取中、薄いシート20および担体10をさいの目に切ることが望ましい場合、これらの表面改質層および熱処理は、薄いシート20および担体10がこの区域においてモノリスとして働くようにそれらを共有結合させるので、薄いシート20および担体10を線5に沿って分離してよい。表面改質層は、薄いシートの担体との永久的な共有結合を与えるので、それらは、温度が600℃以上であるプロセスに使用してもよい。さらに、物品の熱加工、または結合区域40の最初の形成が、400℃以上であるが、600℃未満である場合、例4aにおける材料および熱処理により例示されるような、表面改質層を同じように使用してもよい。   In one embodiment of the third use, the bonding section 40, the carrier 10 and the thin sheet 20 may be covalently bonded together so that they act as a monolith. In addition, there is a controlled bonding area 50 having a perimeter 52, where the carrier 10 and the thin sheet 20 are sufficiently bonded together to withstand processing and still be hot processed, e.g. It is possible to separate the thin sheet from the carrier even after processing at this temperature. Thus, the surface modification layer 30 (material and bonded surface heat treatment, as exemplified by previous examples 1a, 1b, 1c, 2b, 2c, 2d, 4a, 4b, 12d, 12e, 12f, 12h, and 12i. May be used to provide a bonding area 40 between the carrier 10 and the thin sheet 20. Specifically, these surface modification layers and heat treatments may occur outside the perimeter 52 of the controlled bonding area 50 either on the carrier 10 or on the thin sheet 20. Thus, if the article 2 is processed at a high temperature or is processed at a high temperature to form a covalent bond, the carrier and the thin sheet 20 are within the bonding area 40 outside the area surrounded by the perimeter 52. Join each other. Then, if it is desired to dice the thin sheet 20 and carrier 10 during harvesting of the desired portion 56 having a perimeter 57, these surface modification layers and heat treatments cause the thin sheet 20 and carrier 10 to monolith in this area. The thin sheet 20 and the carrier 10 may be separated along line 5 because they are covalently bonded to act as. Since the surface modification layers provide permanent covalent bonds with the thin sheet carrier, they may be used in processes where the temperature is 600 ° C. or higher. Further, if the thermal processing of the article, or the initial formation of the bonding zone 40 is above 400 ° C. but below 600 ° C., the same surface modified layer as exemplified by the material and heat treatment in Example 4a May be used as well.

第3の使用の第2の実施の形態において、結合区域40では、担体10および薄いシート20は、上述した様々な表面改質層を通じて、制御された結合によって互いに結合してもよい。その上、周囲52を有する制御された結合区域50があり、ここで、担体10および薄いシート20は、加工に耐えるのに十分に互いに結合されており、それでもまだ、高温加工、例えば、600℃以上の温度での加工の後でさえ、薄いシートの担体からの分離が可能である。したがって、加工が600℃までの温度で行われ、区域40に永久的な結合または共有結合を持たないことが望ましい場合、先の例2e、3a、3b、4c、4d、4e、および表5の例により例示されるような表面改質層30(材料および結合表面の熱処理を含む)を使用して、担体10のガラスの結合表面と薄いシート20のガラスの結合表面との間に結合区域40を提供してもよい。詳しくは、これらの表面改質層および熱処理は、制御された結合区域50の周囲52の外側に形成されてもよく、担体10上または薄いシート20上のいずれかに形成されてもよい。制御された結合区域50は、結合区域40において形成されたのと同じまたは異なる表面改質層で形成してもよい。あるいは、加工が、400℃までの温度でしか行われず、区域40に永久的な結合または共有結合を持たないことが望ましい場合、先の例2c、2d、2e、3a、3b、4b、4c、4d、4e、表5の例、表7〜11の例(表10の例の代替案として論じられた例を含む)、例12a、12b、12c、12g、12g、およびO2のみによる表面処理の例により例示されたような表面改質層30(材料および結合表面の熱処理を含む)を使用して、担体10のガラスの結合表面と薄いシート20のガラスの結合表面との間に結合区域40を提供してもよい。 In the second embodiment of the third use, in the bonding area 40, the carrier 10 and the thin sheet 20 may be bonded to each other by controlled bonding through the various surface modification layers described above. In addition, there is a controlled bonding area 50 having a perimeter 52, where the carrier 10 and the thin sheet 20 are sufficiently bonded together to withstand the processing, and still remain at high temperature processing, eg 600 ° C. Even after processing at these temperatures, a thin sheet can be separated from the carrier. Thus, if processing is performed at temperatures up to 600 ° C. and it is desirable that the zone 40 does not have permanent or covalent bonds, the previous examples 2e, 3a, 3b, 4c, 4d, 4e, and Table 5 Using a surface modification layer 30 (including heat treatment of the material and bonding surface) as illustrated by way of example, a bonding area 40 between the glass bonding surface of the carrier 10 and the glass bonding surface of the thin sheet 20. May be provided. Specifically, these surface modification layers and heat treatments may be formed outside the perimeter 52 of the controlled bonding area 50 and may be formed either on the carrier 10 or on the thin sheet 20. The controlled bonding area 50 may be formed of the same or different surface modification layer formed in the bonding area 40. Alternatively, if processing is only performed at temperatures up to 400 ° C. and it is desired that the zone 40 has no permanent or covalent bonds, the previous examples 2c, 2d, 2e, 3a, 3b, 4b, 4c, Surface treatment with 4d, 4e, examples in Table 5, examples in Tables 7-11 (including examples discussed as alternatives to examples in Table 10), examples 12a, 12b, 12c, 12g, 12g, and O 2 only Using a surface modification layer 30 (including heat treatment of the material and the bonding surface) as illustrated by the example of FIG. 1, the bonding area between the glass bonding surface of the carrier 10 and the glass bonding surface of the thin sheet 20 40 may be provided.

区域50における制御された結合の代わりに、区域50に非結合領域があってもよく、ここで、その非結合領域は、特許文献1に記載されたような表面粗さが増加した区域であっても、または例2aにより例示されるような表面改質層により提供されてもよい。   Instead of controlled bonding in area 50, there may be an unbonded area in area 50, where the unbonded area is an area with increased surface roughness as described in US Pat. Or may be provided by a surface modified layer as exemplified by Example 2a.

バルクアニールまたはバルク加工に関して
上述した様式の制御された結合の第4の使用は、ガラスシートの積層体のバルクアニールに関する。アニールは、ガラスの圧密を達成するための熱プロセスである。圧密は、ガラス体を、ガラスの軟化点未満であるが、その後の加工工程中に到達する最高温度を超えた温度まで再加熱する工程を含む。これにより、その後の加工中よりもむしろ、加工前に、ガラスにおける構造再配列および寸法緩和が達成される。その後の加工前のアニールは、多くの層から製造された構造体が、高温環境に曝露された後でさえも、非常に厳しい精度で揃えられる必要がある、フラットパネルディスプレイ装置の製造におけるように、その後の加工中にガラス体において正確なアライメントおよび/または平坦度を維持するのに有益である。ガラスが1つの高温プロセスで圧密される場合、その高温プロセスの前にガラス上に堆積された構造体の層が、高温プロセス後に堆積される構造体の層と正確に揃わないかもしれない。
A fourth use of controlled bonding in the manner described above for bulk annealing or bulk processing relates to bulk annealing of a laminate of glass sheets. Annealing is a thermal process to achieve glass consolidation. Consolidation involves reheating the glass body to a temperature below the softening point of the glass but above the maximum temperature reached during subsequent processing steps. This achieves structural rearrangement and dimensional relaxation in the glass prior to processing rather than during subsequent processing. Subsequent pre-processing anneals, such as in the manufacture of flat panel display devices, require that structures manufactured from many layers be aligned with very stringent accuracy even after exposure to high temperature environments. It is beneficial to maintain accurate alignment and / or flatness in the glass body during subsequent processing. If the glass is consolidated in one high temperature process, the layer of structure deposited on the glass prior to the high temperature process may not align exactly with the layer of structure deposited after the high temperature process.

ガラスシートを積層体において圧密することは、経済的に魅力的である。しかしながら、これにより、粘着を避けるために、隣接するシートの間に何かを挟む、またはそれらを分離する必要が生じる。それと同時に、それらのシートを極めて平らに、かつ光学的品質、または清浄な表面仕上げに維持することが有益である。その上、ガラスシート、例えば、表面積が小さいシートの特定の積層体について、ガラスシートが、分離の必要なくユニットとして容易に動かせるようにアニールプロセス中にガラスシートが互いに「粘着」するが、シートが個別に使用できるようにアニールプロセス後にガラスシートを互いから分離する(例えば、剥離により)ことが有益であろう。あるいは、ガラスシートの選択されたシートが、互いと永久的に結合するのが防がれるのと同時に、ガラスシートの他のシート、またはそれら他のガラスシートの部分、例えば、その周囲を互いに永久的に結合する、ガラスシートの積層体をアニールすることが有益なことがある。さらに別の代替例として、積層体内のシートの選択された隣接対の周囲をまとめて選択的に永久的に結合させるように、ガラスシートを積層することが有益なことがある。ガラスシート間の結合を制御する上述した様式を使用して、前述のバルクアニールおよび/または選択的結合が達成されるであろう。隣接するシート間のいずれかの特定の界面で結合を制御するために、その界面に面する主面の少なくとも一方の上に表面改質層を使用してもよい。   It is economically attractive to consolidate glass sheets in a laminate. However, this makes it necessary to sandwich something between adjacent sheets or to separate them to avoid sticking. At the same time, it is beneficial to keep the sheets very flat and optical quality, or a clean surface finish. Moreover, for certain laminates of glass sheets, eg, low surface area sheets, the glass sheets “stick” to each other during the annealing process so that the glass sheets can be moved easily as a unit without the need for separation, It may be beneficial to separate the glass sheets from each other (eg, by peeling) after the annealing process so that they can be used individually. Alternatively, selected sheets of glass sheets are prevented from permanently bonding to each other at the same time as other sheets of glass sheets, or portions of those other glass sheets, eg, their peripheries are permanently attached to each other. It may be beneficial to anneal a laminate of glass sheets that are bonded together. As yet another alternative, it may be beneficial to laminate glass sheets so that the perimeters of selected adjacent pairs of sheets in the laminate are selectively and permanently bonded together. The bulk annealing and / or selective bonding described above will be achieved using the above-described manner of controlling the bonding between the glass sheets. In order to control bonding at any particular interface between adjacent sheets, a surface modification layer may be used on at least one of the major surfaces facing that interface.

選択された区域(例えば、周囲の)におけるバルクアニールまたはバルク永久的結合に適した、ガラスシートの積層体の1つの実施の形態を、図7および8を参照して説明する。図7は、ガラスシート770〜772の積層体760の側面図であり、図8は、さらなる説明の目的のための分解図である。   One embodiment of a laminate of glass sheets suitable for bulk annealing or bulk permanent bonding in selected areas (eg, around) is described with reference to FIGS. FIG. 7 is a side view of a laminate 760 of glass sheets 770-772, and FIG. 8 is an exploded view for further explanation purposes.

ガラスシートの積層体760は、ガラスシート770〜772、およびガラスシート770〜772の間の結合を制御するための表面改質層790を備えることがある。その上、積層体760は、積層体の上部と下部に配置されたカバーシート780、781を備えることがあり、そのカバーと隣接するガラスシートとの間に表面改質層790を備えることがある。   The glass sheet laminate 760 may comprise a glass sheet 770-772 and a surface modification layer 790 for controlling the bonding between the glass sheets 770-772. In addition, the laminate 760 may include cover sheets 780 and 781 disposed on the top and bottom of the laminate, and may include a surface modification layer 790 between the cover and the adjacent glass sheet. .

図8に示されるように、ガラスシート770〜772の各々は、第1の主面776および第2の主面778を有する。ガラスシートはどのような適切なガラス材料、例えば、アルミノケイ酸塩ガラス、ホウケイ酸ガラス、またはアルミノホウケイ酸塩ガラスから製造されてもよい。その上、そのガラスは、アルカリを含有しても、無アルカリであってもよい。ガラスシート770〜772の各々は同じ組成のものであっても、またはそれらのシートは異なる組成のものであってもよい。さらに、ガラスシートは、どのような適切なタイプのものであってもよい。すなわち、例えば、ガラスシート770〜772は、全てが上述したような担体であっても、全てが上述したような薄いシートであっても、あるいは交互に担体および薄いシートであってもよい。バルクアニールが、担体に、薄いシートと異なる時間−温度サイクルを必要とする場合、担体の積層体、および薄いシートの別個の積層体を有することが有益である。あるいは、適正な表面改質層の材料および配置により、交互の担体および薄いシートの積層体を有し、それによって、担体および薄いシートの望ましい対、すなわち、物品を形成する対が、後での加工のためにまとめて互いに共有結合されると同時に、隣接する物品を互いから分離する能力を維持することが望ましいであろう。さらにまた、積層体においていくつの適切な数のガラスシートがあってもよい。すなわち、図7および8には、3枚のガラスシート770〜772しか示されていないが、いくつの適切な数のガラスシートが積層体760に含まれてもよい。   As shown in FIG. 8, each of glass sheets 770 to 772 has a first main surface 776 and a second main surface 778. The glass sheet may be made from any suitable glass material, such as aluminosilicate glass, borosilicate glass, or aluminoborosilicate glass. In addition, the glass may contain alkali or be non-alkali. Each of the glass sheets 770 to 772 may have the same composition, or the sheets may have different compositions. Furthermore, the glass sheet may be of any suitable type. That is, for example, all of the glass sheets 770 to 772 may be a carrier as described above, may be a thin sheet as described above, or may be a carrier and a thin sheet alternately. If the bulk anneal requires a different time-temperature cycle for the support than the thin sheet, it is beneficial to have a stack of support and a separate stack of thin sheets. Alternatively, with proper surface modification layer material and placement, it has alternating carrier and thin sheet stacks so that the desired pair of carrier and thin sheet, i.e. the pair forming the article, is later It would be desirable to maintain the ability to separate adjacent articles from each other while being covalently bonded together for processing. Furthermore, there may be any suitable number of glass sheets in the laminate. That is, although only three glass sheets 770-772 are shown in FIGS. 7 and 8, any suitable number of glass sheets may be included in the laminate 760.

どの特定の積層体760においても、いずれか1つのガラスシートが、表面改質層を持たない、1つの表面改質層を有する、または2つの表面改質層を有することもある。例えば、図8に示されるように、シート770は表面改質層を持たず、シート771は第2の主面778上に1つの表面改質層を有し、シート772は、1つの表面改質層が主面776、778の各々にあるように2つの表面改質層790を有する。   In any particular laminate 760, any one glass sheet may have one surface modified layer that does not have a surface modified layer, or two surface modified layers. For example, as shown in FIG. 8, the sheet 770 does not have a surface modification layer, the sheet 771 has one surface modification layer on the second major surface 778, and the sheet 772 has one surface modification layer. There are two surface modification layers 790 so that the quality layer is on each of the major surfaces 776, 778.

カバーシート780、781は、所定のプロセスについての時間−温度サイクルに適切に耐える(時間および温度に関してだけではなく、例えば、ガス放出のような他の関連する検討事項に関して)どのような材料であってもよい。カバーシートが、加工されているガラスシートと同じ材料から製造されることが都合よいこともある。カバーシート780、781が存在し、積層体を所定の時間−温度サイクルにかけた際に、ガラスシートと望ましくなく結合する材料のものである場合、必要に応じて、ガラスシート771とカバーシート781との間、および/またはガラスシート772とカバーシート780との間に、表面改質層790が設けられてもよい。表面改質層は、カバーとガラスシートとの間に存在する場合、カバー上(カバー781および隣接するシート771に関して示されるような)にあっても、ガラスシート上(カバー780および隣接するシート772に関して示されるような)にあっても、またはカバーおよび隣接するシートの両方の上に(図示せず)あってもよい。あるいは、カバーシート780、781が存在するが、隣接するシート772、771と結合しない材料のものである場合、ひいては、表面改質層790がそれらの間に存在する必要はない。   The cover sheets 780, 781 can be any material that will properly withstand the time-temperature cycle for a given process (not only with respect to time and temperature, but also with other relevant considerations such as outgassing, for example). May be. It may be convenient for the cover sheet to be made from the same material as the glass sheet being processed. If the cover sheets 780 and 781 are present and are of a material that undesirably bonds to the glass sheet when the laminate is subjected to a predetermined time-temperature cycle, the glass sheet 771 and the cover sheet 781 A surface modification layer 790 may be provided between the glass sheet 772 and the cover sheet 780. If a surface modification layer is present between the cover and the glass sheet, even on the cover (as shown for cover 781 and adjacent sheet 771), on the glass sheet (cover 780 and adjacent sheet 772). Or as shown (not shown) on both the cover and the adjacent sheet. Alternatively, if the cover sheets 780, 781 are present but of a material that does not bond to the adjacent sheets 772, 771, then the surface modification layer 790 need not be between them.

積層体中の隣接するシート間に、界面がある。例えば、ガラスシート770〜772の隣接するものの間に、界面が画成される、すなわち、シート770とシート771との間に界面791が、シート770とシート772との間に界面792がある。その上、カバーシート780、781が存在する場合、カバー781とシート771との間に界面793が、シート772とカバー780との間に界面794がある。   There is an interface between adjacent sheets in the laminate. For example, an interface is defined between adjacent glass sheets 770-772, that is, there is an interface 791 between the sheet 770 and the sheet 771, and an interface 792 between the sheet 770 and the sheet 772. In addition, when the cover sheets 780 and 781 exist, there is an interface 793 between the cover 781 and the sheet 771 and an interface 794 between the sheet 772 and the cover 780.

隣接するガラスシートの間の所定の界面791、792での、またはガラスシートとカバーシートとの間の所定の界面793、794での結合を制御するために、表面改質層790を使用してもよい。例えば、図示されるように、各界面791、792で、その界面に面する主面の少なくとも一方に、表面改質層790が存在する。例えば、界面791について、ガラスシート771の第2の主面778は、シート771と隣接するシート770との間の結合を制御するために、表面改質層790を備えている。図示されていないが、シート770の第1の主面776も、シート771との結合を制御するために、その上に表面改質層790を備えても差し支えない、すなわち、いずれか特定の界面に面する主面の各々の上に表面改質層があってもよい。   In order to control bonding at a predetermined interface 791, 792 between adjacent glass sheets or at a predetermined interface 793, 794 between a glass sheet and a cover sheet, the surface modification layer 790 is used. Also good. For example, as shown in the figure, a surface modification layer 790 is present on each of the interfaces 791 and 792 on at least one of the main surfaces facing the interface. For example, for the interface 791, the second major surface 778 of the glass sheet 771 is provided with a surface modification layer 790 to control the bond between the sheet 771 and the adjacent sheet 770. Although not shown, the first major surface 776 of the sheet 770 may also include a surface modification layer 790 thereon to control bonding with the sheet 771, ie, any particular interface. There may be a surface modification layer on each of the major surfaces facing the surface.

いずれか所定の界面791〜794での特定の表面改質層790(およびいずれか関連する表面改質処理−例えば、特定の表面に特定の表面改質層を施す前のその表面への熱処理、または表面改質層が接触するであろう表面の表面熱処理)は、隣接するシート間の結合を制御し、それによって、積層体760が施される所定の時間−温度サイクルに関する所望の結果を達成するために、その所定の界面791〜794に面する主面776、778のために選択してもよい。   A particular surface modification layer 790 at any given interface 791-794 (and any associated surface modification treatment--e.g., Heat treatment to that surface prior to applying the particular surface modification layer to a particular surface, Or surface heat treatment of the surface that the surface modification layer will contact) controls the bonding between adjacent sheets, thereby achieving the desired result for a given time-temperature cycle in which the laminate 760 is applied. In order to do so, a selection may be made for the major surfaces 776, 778 facing that predetermined interface 791-794.

ガラスシート770〜772の積層体を400℃までの温度でバルクアニールし、そのアニールプロセス後にガラスシートの各々を互いから分離することが望ましい場合、ひいては、いずれか特定の界面、例えば、界面791での結合が、いずれか関連する表面処理と共に、例2a、2c、2d、2e、3a、3b、4b〜4e、表5の例、表7〜11の例(表10の例の代替案として論じられた例を含む)、例12a、12b、12c、12g、12g、またはO2のみによる表面処理の例のいずれか1つによる材料を使用して制御できるであろう。より詳しくは、シート770の第1の主面776は、表2〜4における「薄いガラス」として処理されるであろうが、シート771の第2の主面778は、表2〜4の「担体」として処理されるであろう。またその逆もそうである。次いで、400℃までの温度を有する適切な時間−温度サイクルは、積層体の全体に必須の時間−温度を達成するように、圧密の所望の程度、積層体中のシートの数、並びに、シートのサイズおよび厚さに基づいて選択できるであろう。 If it is desirable to bulk anneal a stack of glass sheets 770-772 at a temperature up to 400 ° C. and separate each of the glass sheets from each other after the annealing process, then at any particular interface, eg, interface 791 Of Examples 2a, 2c, 2d, 2e, 3a, 3b, 4b-4e, Examples of Table 5, Examples of Tables 7-11 (discussed as alternatives to the examples of Table 10) Could be controlled using materials according to any one of examples 12a, 12b, 12c, 12g, 12g, or surface treatment with O 2 only. More specifically, the first major surface 776 of the sheet 770 will be treated as “thin glass” in Tables 2-4, while the second major surface 778 of the sheet 771 Will be treated as a "carrier". The reverse is also true. A suitable time-temperature cycle with a temperature up to 400 ° C. then achieves the required time-temperature for the entire laminate, the desired degree of consolidation, the number of sheets in the laminate, and the sheets Could be selected based on the size and thickness of the.

同様に、ガラスシート770〜772の積層体を600℃までの温度でバルクアニールし、そのアニールプロセス後にガラスシートの各々を互いから分離することが望ましい場合、ひいては、いずれか特定の界面、例えば、界面791での結合が、いずれか関連する表面処理と共に、例2a、2e、3a、3b、4c、4d、4e、または表5の例のいずれか1つによる材料を使用して制御できるであろう。より詳しくは、シート770の第1の主面776は、表2〜4における「薄いガラス」として処理されるであろうが、シート771の第2の主面778は、表2〜4の「担体」として処理されるであろう。またその逆もそうである。次いで、600℃までの温度を有する適切な時間−温度サイクルは、積層体の全体に必須の時間−温度を達成するように、圧密の所望の程度、積層体中のシートの数、並びに、シートのサイズおよび厚さに基づいて選択できるであろう。   Similarly, if it is desirable to bulk anneal a stack of glass sheets 770-772 at a temperature up to 600 ° C. and separate each of the glass sheets from each other after the annealing process, then any particular interface, eg, Bonding at interface 791 can be controlled using the material according to any one of examples 2a, 2e, 3a, 3b, 4c, 4d, 4e, or the examples of Table 5, along with any associated surface treatment. Let's go. More specifically, the first major surface 776 of the sheet 770 will be treated as “thin glass” in Tables 2-4, while the second major surface 778 of the sheet 771 Will be treated as a "carrier". The reverse is also true. A suitable time-temperature cycle having a temperature up to 600 ° C. then achieves the required time-temperature for the entire laminate, the desired degree of compaction, the number of sheets in the laminate, as well as the sheets Could be selected based on the size and thickness of the.

さらに、シートの積層体およびシートの各対の間の表面改質層を適切に構成することにより、バルクアニール、およびバルク物品の形成を行うことが可能である。ガラスシート770〜772の積層体を400℃までの温度でバルクアニールし、次いで、物品2を形成するために隣接するシートの対を互いにまとめて共有結合することが望ましい場合、結合を制御するために、適切な材料および関連する表面処理を使用することができるであろう。例えば、周囲に(または他の所望の結合区域40で)、物品2に形成すべきガラスシートの対、例えば、シート770および771の間の界面での結合は、(i)シート770、771の周囲に(または他の所望の結合区域40で)、いずれか関連する表面処理と共に、例2c、2d、4b、表7〜11の例(表10の例の代替案として論じられた例を含む)、例12a、12b、12c、12g、12g、またはO2のみによる表面処理の例のいずれか1つによる材料;および(ii)シート770、771の内部区域(すなわち、(i)において処理した周囲の内部区域、または一方のシートの他方のシートからの分離が望ましい、所望の制御された結合区域50において)、いずれか関連する表面処理と共に、例2a、2e、3a、3b、4c、4d、4e、または表5の例のいずれか1つによる材料;を使用して制御できるであろう。この場合、次いで、制御された結合区域50におけるデバイス加工が600℃までの温度で行えるであろう。 Furthermore, bulk annealing and formation of bulk articles can be performed by properly configuring the surface modification layer between each stack of sheets and each pair of sheets. To control bonding when it is desirable to bulk anneal the laminate of glass sheets 770-772 at temperatures up to 400 ° C. and then covalently bond adjacent sheet pairs together to form article 2 Any suitable material and associated surface treatment could be used. For example, at the interface (or other desired bonding area 40), bonding at the interface between a pair of glass sheets to be formed on the article 2, for example, sheets 770 and 771, is (i) the sheet 770, 771 Surrounding (or at other desired coupling area 40), along with any associated surface treatment, including examples 2c, 2d, 4b, examples of Tables 7-11 (examples discussed as alternatives to examples of Table 10) ), Example 12a, 12b, 12c, 12g, 12g, or material according to any one of the examples of surface treatment with O 2 only; and (ii) treated in the inner area of sheet 770, 771 (ie, (i) Examples 2a, 2e, 3a, 3a, along with any associated surface treatment, in the surrounding internal area, or in a desired controlled bonding area 50 where separation of one sheet from the other is desirable. , 4c, 4d, 4e, or material according to any one of the examples in Table 5; could be controlled using. In this case, device processing in the controlled bonding zone 50 could then be performed at temperatures up to 600 ° C.

材料および熱処理は、互いの適合性について、適切に選択できるであろう。例えば、材料2c、2d、または4bのいずれを、制御された結合区域のための例2aによる材料と共に、結合区域40に使用しても差し支えない。あるいは、結合区域および制御された結合区域の熱処理は、隣接区域における所望の程度の結合に悪影響を及ぼす、一区域における熱処理の影響を最小にするために、適切に制御できるであろう。   The material and heat treatment could be selected appropriately for compatibility with each other. For example, any of the materials 2c, 2d, or 4b can be used in the coupling area 40 together with the material according to Example 2a for a controlled coupling area. Alternatively, the heat treatment of the bond area and controlled bond area could be adequately controlled to minimize the effects of heat treatment in one area that adversely affects the desired degree of bonding in adjacent areas.

積層体中のガラスシートに関して表面改質層790および関連する熱処理を適切に選択した後、それらのシートを積層体へと適切に配列し、次いで、400℃まで加熱して、それらを互いに永久的に結合せずに、積層体中のシートの全てをバルクアニールすることができるであろう。次いで、積層体を600℃まで加熱して、隣接するシートの対の所望の結合区域において共有結合を形成して、結合区域および制御された結合区域のパターンを有する物品2を形成することができるであろう。物品2を形成するために結合区域40により共有結合すべきシートの一対と、別の隣接する物品2を形成するそのようなシートの別の対との間の界面での結合は、隣接する物品2が互いに共有結合しないように、例2a、2e、3a、3b、4c、4d、4e、表5の例の材料および関連する熱暑により制御できるであろう。隣接する物品間の結合を制御するこの同じ様式で、物品と、積層体中に存在するいずれかのカバーシートとの間の結合を制御することができるであろう。   After appropriate selection of the surface modification layer 790 and associated heat treatment for the glass sheets in the laminate, the sheets are properly arranged into a laminate and then heated to 400 ° C. to make them permanent relative to each other. All of the sheets in the laminate could be bulk annealed without bonding to. The laminate can then be heated to 600 ° C. to form a covalent bond in the desired bond area of the adjacent pair of sheets to form an article 2 having a pattern of bond areas and controlled bond areas. Will. Bonding at the interface between a pair of sheets that are to be covalently bonded by a bonding zone 40 to form an article 2 and another pair of such sheets that form another adjacent article 2 Examples 2a, 2e, 3a, 3b, 4c, 4d, 4e, the materials of the examples in Table 5 and the associated heat could be controlled so that the two are not covalently bonded to each other. In this same manner of controlling the bond between adjacent articles, it would be possible to control the bond between the article and any cover sheets present in the laminate.

さらにまた、上記と同様に、積層体760から、その同じ積層体760を事前にアニールせずに、物品2をまとめて形成することも可能である。代わりに、シートは、物品をまとめて製造するためにシートを積層体において所望のように制御された結合のために構成する前に、別々にアニールするか、または異なる積層体においてアニールし、そこから分離することができるであろう。バルクアニールと、次いで、1つの同じ積層体から物品をまとめて形成する、直前に記載した様式から、バルクアニールが単に省かれている。   Furthermore, similarly to the above, it is also possible to collectively form the articles 2 from the laminated body 760 without annealing the same laminated body 760 in advance. Instead, the sheet is annealed separately or annealed in a different laminate before the sheet is configured for the desired controlled bond in the laminate to manufacture the article together. Could be separated from Bulk annealing is simply omitted from the just described manner of bulk annealing and then forming the article together from one and the same laminate.

界面791での結合を制御する様式のみを先に詳しく説明したが、もちろん、同じことを界面792に行ってよい、または−積層体中に4以上のガラスシートがある場合、またはガラスシートに望ましくなく結合するであろうカバーシートがある場合などのように−特定の積層体に存在するであろういずれか他の界面について、同じことを行ってよい。さらに、結合を制御する同じ様式を、存在するいずれの界面791、792、793、794に使用してよいが、結合を制御する上述した様式の異なるものを、異なる界面に使用して、所望の結合のタイプに関して、同じまたは異なる結果を生じてもよい。   Only the manner of controlling bonding at interface 791 has been described in detail above, but of course the same may be done at interface 792, or if there are more than 4 glass sheets in the laminate, or desirable for glass sheets The same may be done for any other interface that would be present in a particular laminate, such as when there is a cover sheet that will bond without. In addition, the same manner of controlling binding may be used for any existing interface 791, 792, 793, 794, but different ones of the above-described manner of controlling binding may be used for different interfaces to achieve the desired The same or different results may be produced with respect to the type of binding.

バルクアニールの上記プロセスにおいて、または物品2をまとめて形成する上で、HMDSが界面での結合を制御するための材料として使用され、HMDSが積層体の外周に露出される場合、HMDSの区域において共有結合を防ぐことが望ましいのであれば、約400℃超の加熱は、無酸素雰囲気中で行うべきである。すなわち、HMDSが、HMDSを酸化させるのに十分な、雰囲気中の酸素量に(約400℃超の温度で)曝露される場合、HMDSが酸化されているそのように区域のいずれにおける結合も、隣接するガラスシート間で共有結合になる。他のアルキル炭化水素シラン、例えば、エチル、プロピル、ブチル、またはステリル、シランは、同様に、より高い温度、例えば、約400℃超の温度で酸素に曝露されることにより、影響を受け得る。同様に、表面改質層に他の材料を使用する場合、バルクアニールのための環境は、それらの材料を、アニールの時間−温度サイクルに亘り劣化しないように選択すべきである。ここに用いたように、無酸素は、1000体積ppm未満、より好ましくは100体積ppm未満の酸素濃度を意味するであろう。   In the above process of bulk annealing, or in forming the article 2 together, if HMDS is used as a material to control bonding at the interface and the HMDS is exposed to the outer periphery of the laminate, in the area of the HMDS If it is desirable to prevent covalent bonding, heating above about 400 ° C. should be done in an oxygen-free atmosphere. That is, when HMDS is exposed (at temperatures above about 400 ° C.) to an amount of oxygen in the atmosphere sufficient to oxidize HMDS, the bonds in any of those areas where HMDS is oxidized are It becomes a covalent bond between adjacent glass sheets. Other alkyl hydrocarbon silanes, such as ethyl, propyl, butyl, or steryl, silanes, can be similarly affected by exposure to oxygen at higher temperatures, for example, temperatures greater than about 400 ° C. Similarly, when using other materials for the surface modification layer, the environment for bulk annealing should be selected so that they do not degrade over the time-temperature cycle of the anneal. As used herein, oxygen free will mean an oxygen concentration of less than 1000 ppm by volume, more preferably less than 100 ppm by volume.

シートの積層体を一旦バルクアニールしたら、個々のシートを積層体から分離してもよい。個々のシートは、表面改質層790を除去するために処理(例えば、酸素プラズマ、400℃以上の温度での酸素環境中での加熱、または化学酸化、SC1、またはSC2により)することができる。個々のシートは、所望のように(例えば、電子デバイス、例えば、OLED、FPD、またはPVデバイスのための基板として)使用して差し支えない。   Once the sheet stack is bulk annealed, individual sheets may be separated from the stack. Individual sheets can be treated (eg, by oxygen plasma, heating in an oxygen environment at a temperature of 400 ° C. or higher, or chemical oxidation, SC1, or SC2) to remove the surface modification layer 790. . Individual sheets can be used as desired (eg, as a substrate for an electronic device such as an OLED, FPD, or PV device).

バルクアニールまたはバルク加工の上述した方法には、経済的な様式で、清浄なシート表面を維持するという利点がある。より詳しくは、シートは、クリーンルームの徐冷窯内におけるように、始めから終わりまで、汚染されていない環境内に維持する必要がない。代わりに、積層体を汚染されていない環境内で形成し、次いで、シート表面が粒子による汚れを得ずに、標準的な徐冷窯(すなわち、清浄度が制御されていないもの)内で加工することができる。何故ならば、シート間に流体の流動がないからである。したがって、シート表面は、シートの積層体がアニールされる環境から保護されている。アニール後、シートはある程度の接着を維持し、それでも、シートを損傷せずに、十分な力が印加された際に互いから分離可能のままであるので、シートの積層体は、さらなる加工区域(同じかまたは異なる設備内の)に容易に移送できる。すなわち、ガラスの製造業者(例えば)は、ガラスシートの積層体を組み立て、アニールし、次いで、シートを、出荷中一緒のままである積層体として(運送中にシートが分離するおそれなく)出荷することができ、その目的地に到着した際に、シートを個別にまたはより小さい群として使用するであろう顧客によって、シートを積層体から分離できるであろう。一旦、分離が望まれたら、シートの積層体は、再び、汚染されていない環境内で加工することができる(必要に応じて積層体を洗浄した後)。   The above-described methods of bulk annealing or bulk processing have the advantage of maintaining a clean sheet surface in an economical manner. More particularly, the sheet need not be maintained in a clean environment from beginning to end, as in a clean room slow cooling kiln. Instead, the laminate is formed in an uncontaminated environment, and then the sheet surface is processed in a standard slow-cooling kiln (ie, with an uncontrolled cleanliness) without getting particulate contamination. can do. This is because there is no fluid flow between the sheets. Therefore, the sheet surface is protected from the environment in which the laminate of sheets is annealed. After annealing, the sheets maintain some degree of adhesion and still remain separable from each other when sufficient force is applied without damaging the sheets, so that the sheet stack can be further processed ( Can be easily transported to (within the same or different equipment). That is, a glass manufacturer (for example) assembles and anneals a laminate of glass sheets and then ships the sheet as a laminate that remains together during shipping (without fear of separation of the sheet during shipping). The sheet could be separated from the laminate by a customer who would use the sheet individually or as a smaller group upon arrival at the destination. Once separation is desired, the sheet stack can be processed again in a clean environment (after cleaning the stack if necessary).

バルクアニールの例
ガラス基板は、フュージョンドロー法から受け取ったままの状態で使用した。フュージョンドロー法により製造されたガラス組成物は(モル%で表して):SiO2(67.7)、Al23(11.0)、B23(9.8)、CaO(8.7)、MgO(2.3)、SrO(0.5)であった。7つの0.7mm厚×直径150mmのフュージョンドロー法により製造されたガラス基板に、HFを使用した200nmの深さの基準/バーニヤによるリソグラフィー法によってパターンを形成した。全てのガラス基板の全結合表面上に、表面改質層として、2nmのプラズマ堆積フルオロポリマーを被覆した、すなわち、別の基板に面する基板の各表面を被覆し、その際に、各シート表面の結果としての表面エネルギーは約35mJ/m2であった。7つの被覆ガラス基板の各々を、一緒に配置して、単一の厚い基板(「ガラス積層体」と称する)を形成した。このガラス積層体を、窒素パージした管型炉内で、15分の期間で30℃から590℃まで昇温し、590℃で30分間保持し、次いで、50分の期間で約230℃まで降温し、次いで、その炉からガラス積層体を取り出し、約10分間で約30℃の室温まで冷却することによって、アニールした。冷却後、基板を炉から取り出し、カミソリのウェッジを使用して、個々のシートに容易に分離された(すなわち、サンプルは、全体的にまたは局所的に、永久に結合していなかった)。ガラスの基準を、アニールしていない石英対照と比べることにより、各個別の基板に、圧縮を測定した。個々の基板は、約185ppm圧縮したことが分かった。個別のサンプル(一緒に積層されていない)としての2つの基板に、上述した第2のアニールサイクル(590℃/30分間の保持)を行った。再び圧縮を測定し、それらの基板が、第2の熱処理のために10ppm未満(実際には0から2.5ppm)さらに圧縮することが分かった(第2の熱処理後の−元のガラスの寸法と比べた−ガラス寸法の変化から、第1の熱処理後のガラス寸法の変化を引いた)。このように、発明者等は、個々のガラスシートは、被覆し、積層し、圧縮を達成するために高温で熱処理し、冷却し、個々のシートに分離させることができ、第2の熱処理後に10ppm未満、さらには5ppm未満の寸法変化(第1の熱処理後のサイズと比べて)を有することを示した。
Example of bulk annealing The glass substrate was used as received from the fusion draw method. Glass compositions produced by the fusion draw method (expressed in mol%): SiO 2 (67.7), Al 2 O 3 (11.0), B 2 O 3 (9.8), CaO (8 7), MgO (2.3), and SrO (0.5). Patterns were formed on seven glass substrates produced by a 0.7 mm thick × 150 mm diameter fusion draw method by a lithographic method using a reference / vernier with a depth of 200 nm using HF. All the glass substrates were coated with 2 nm plasma deposited fluoropolymer as a surface modification layer on the entire bonding surface, ie each surface of the substrate facing another substrate, with each sheet surface The resulting surface energy was about 35 mJ / m 2 . Each of the seven coated glass substrates was placed together to form a single thick substrate (referred to as a “glass laminate”). The glass laminate was heated from 30 ° C. to 590 ° C. in a period of 15 minutes, held at 590 ° C. for 30 minutes in a nitrogen purged tube furnace, and then cooled to about 230 ° C. in a period of 50 minutes. The glass laminate was then removed from the furnace and annealed by cooling to room temperature of about 30 ° C. in about 10 minutes. After cooling, the substrate was removed from the furnace and easily separated into individual sheets using a razor wedge (ie, the sample was not permanently bonded globally or locally). Compression was measured for each individual substrate by comparing the glass standard to an unannealed quartz control. Individual substrates were found to be compressed by about 185 ppm. Two substrates as separate samples (not stacked together) were subjected to the second annealing cycle described above (holding at 590 ° C./30 minutes). The compression was measured again and it was found that the substrates were further compressed by less than 10 ppm (actually 0 to 2.5 ppm) for the second heat treatment (after the second heat treatment-original glass dimensions). -The change in glass dimensions after the first heat treatment was subtracted from the change in glass dimensions). In this way, the inventors can coat, laminate, heat treat at high temperature to achieve compression, cool, separate into individual sheets, after the second heat treatment It was shown to have a dimensional change of less than 10 ppm and even less than 5 ppm (compared to the size after the first heat treatment).

上述したアニールの例における炉に窒素をパージしたが、徐冷炉は、アニール温度、および特定の環境におけるこれらの温度での表面改質層の材料の安定性に応じて、空気、アルゴン、酸素、CO2、またはそれらの組合せを含む他の気体でパージしてもよい。不活性雰囲気の代わりに、上述したアニールにおける炉は、真空環境であっても差し支えない。 Although the furnace in the annealing example described above was purged with nitrogen, the slow cooling furnace was air, argon, oxygen, CO, depending on the annealing temperature and the stability of the material of the surface modification layer at these temperatures in the particular environment. 2 or other gases including combinations thereof may be purged. Instead of the inert atmosphere, the furnace in the annealing described above may be in a vacuum environment.

その上、図示されていないが、ガラスは、シート形態の代わりに、スプール形態でアニールされてもよい。すなわち、ガラスリボンの片面または両面に適切な表面改質層を形成し、次いで、そのリボンを巻き付けてもよい。そのロール全体に、シートについて先に記載したのと同じ処理を施し、その際に、全スプールのガラスが、一巻きのガラスが隣接するものと粘着せずに、アニールされるであろう。ロールからほどく際に、表面改質層は、どの適切なプロセスにより除去してもよい。   Moreover, although not shown, the glass may be annealed in a spool form instead of a sheet form. That is, an appropriate surface modification layer may be formed on one or both sides of the glass ribbon, and then the ribbon may be wound. The entire roll is subjected to the same treatment as previously described for the sheet, in which case the glass of the entire spool will be annealed without sticking to the adjacent one roll of glass. Upon unwinding from the roll, the surface modified layer may be removed by any suitable process.

ガス放出
典型的なウエハー結合用途に使用される高分子接着剤は、概して、10〜100マイクロメートル厚であり、その温度限界でまたはその辺りで、質量の約5%を失う。厚い高分子膜から放出されるそのような材料について、質量分析法によって、質量損失、またはガス放出の量を定量化することは容易である。他方で、10nm厚以下程度の薄い表面処理、例えば、上述したプラズマ高分子または自己組織化単分子層の表面改質層、並びに熱分解シリコーン油の薄層からガス放出を測定することは、より困難である。そのような材料について、質量分析法は十分な感度ではない。しかしながら、ガス放出を測定する他の方法が数多くある。
Outgassing Polymeric adhesives used in typical wafer bonding applications are generally 10-100 micrometers thick and lose about 5% of their mass at or near its temperature limit. For such materials released from thick polymer membranes, it is easy to quantify the amount of mass loss or outgassing by mass spectrometry. On the other hand, measuring outgassing from a thin surface treatment of about 10 nm thickness or less, such as the above-described plasma polymer or self-assembled monolayer surface modification layer, and a thin layer of pyrolytic silicone oil is more Have difficulty. For such materials, mass spectrometry is not sensitive enough. However, there are many other methods for measuring outgassing.

少量のガス放出を測定する第1の方法は、表面エネルギー測定に基づき、図9を参照して説明される。この試験を行うために、図9に示された構成を使用してよい。上に試験されるべき表面改質層、すなわち、試験されるべき表面改質層30に組成と厚さが相当する表面改質層を有する第1の基板または担体900が、表面902を示す。第2の基板またはカバー910は、その表面912が、担体900の表面902と近接しているが、それと接触していないように配置される。表面912は非被覆表面、すなわち、そのカバーを構成する材料の裸の表面である。スペーサ920が、担体900とカバー910との間の様々な地点に配置されて、それらを互いから離隔した状態に保持している。スペーサ920は、カバー910を担体900から隔てて、一方から他方への材料の移動を可能にするのに十分に厚いが、試験中に、槽雰囲気から表面902および912上への汚染の量が最小となるように十分に薄いべきである。担体900、スペーサ920、およびカバー910が、一緒に、試験物品901を形成する。   A first method for measuring a small amount of outgassing is based on surface energy measurements and will be described with reference to FIG. To perform this test, the configuration shown in FIG. 9 may be used. A first substrate or carrier 900 having a surface modified layer to be tested above, that is, a surface modified layer whose composition and thickness correspond to the surface modified layer 30 to be tested, represents the surface 902. The second substrate or cover 910 is positioned such that its surface 912 is in close proximity to but not in contact with the surface 902 of the carrier 900. Surface 912 is an uncoated surface, i.e. a bare surface of the material comprising the cover. Spacers 920 are placed at various points between the carrier 900 and the cover 910 to keep them spaced apart from each other. The spacer 920 is thick enough to separate the cover 910 from the carrier 900 and allow movement of material from one to the other, but during testing, the amount of contamination from the bath atmosphere onto the surfaces 902 and 912 is reduced. Should be thin enough to be minimal. Carrier 900, spacer 920, and cover 910 together form test article 901.

試験物品901を組み立てる前に、裸の表面912の表面エネルギーを、表面902、すなわち、その上に表面改質層が設けられた担体900の表面の表面エネルギーのように、測定する。極性成分および分散成分両方の、図10に示された表面エネルギーは、Wuモデルを3つの試験液体:水、ジヨードメタンおよびヘキサデカンの接触角にフィッティングすることにより測定した。   Prior to assembling the test article 901, the surface energy of the bare surface 912 is measured as the surface energy of the surface 902, ie, the surface of the carrier 900 on which the surface modification layer is provided. The surface energy shown in FIG. 10 for both the polar and dispersive components was measured by fitting the Wu model to the contact angles of three test liquids: water, diiodomethane and hexadecane.

組立て後、試験物品901を加熱槽930内に入れ、時間−温度サイクルを通じて加熱した。加熱は、大気圧において、流動する、すなわち、標準条件下での毎分2リットルの流量で矢印940の方向に流れるN2ガス下で行う。 After assembly, the test article 901 was placed in a heating bath 930 and heated through a time-temperature cycle. Heating is performed under N 2 gas that flows at atmospheric pressure, ie, flows in the direction of arrow 940 at a flow rate of 2 liters per minute under standard conditions.

加熱サイクル中、表面902の変化(例えば、蒸発、熱分解、分解、重合、担体との反応、およびディウェッティングによる、表面改質層に対する変化を含む)は、表面902の表面エネルギーの変化により証明される。表面902自体の表面エネルギーの変化は、必ずしも、表面改質層がガス放出を生じたことを意味するものではないが、その性質は、例えば、上述した機構のために変化するので、その温度での材料の一般的な不安定性を示す。それゆえ、表面902の表面エネルギーの変化が少ないほど、表面改質層がより安定である。他方で、表面912が表面902に近接しているので、表面902からのガス放出されたどの材料も、表面912上で収集され、表面912の表面エネルギーを変化させる。したがって、表面912の表面エネルギーの変化は、表面902上に存在する表面改質層のガス放出の代用となる。   During the heating cycle, changes in the surface 902 (including changes to the surface modified layer, eg, due to evaporation, pyrolysis, decomposition, polymerization, reaction with the support, and dewetting) are due to changes in the surface energy of the surface 902. Proven. A change in the surface energy of the surface 902 itself does not necessarily mean that the surface modification layer has caused outgassing, but its properties change, for example, due to the mechanism described above, so at that temperature The general instability of the material is shown. Therefore, the smaller the change in the surface energy of the surface 902, the more stable the surface modified layer. On the other hand, because the surface 912 is close to the surface 902, any outgassed material from the surface 902 is collected on the surface 912 and changes the surface energy of the surface 912. Therefore, the change in surface energy of the surface 912 is a substitute for outgassing of the surface modification layer present on the surface 902.

このように、ガス放出の1つの試験では、カバー表面912の表面エネルギーの変化を使用する。詳しくは、10mJ/m2以上の−表面912の−表面エネルギーの変化がある場合、ひいては、ガス放出がある。この大きさの表面エネルギーの変化は、膜密着性の損失または材料特性およびデバイス性能の劣化をもたらし得る汚染と一致する。5mJ/m2以下の表面エネルギーの変化は、表面エネルギー測定の再現性および表面エネルギーの不均等性に近い。この小さい変化は、最小のガス放出と一致する。 Thus, one test for outgassing uses a change in the surface energy of the cover surface 912. Specifically, when there is a change in the surface energy of the surface 912 of 10 mJ / m 2 or more, there is gas emission. This amount of surface energy change is consistent with contamination that can result in loss of film adhesion or degradation of material properties and device performance. The change in the surface energy of 5 mJ / m 2 or less is close to the reproducibility of the surface energy measurement and the unevenness of the surface energy. This small change is consistent with minimal outgassing.

図10の結果を生じた試験中、担体900、カバー910、およびスペーサ920は、ニューヨーク州、コーニング所在のCorning Incorporated社から入手した、無アルカリのアルミノホウケイ酸塩ディスプレイ用ガラスである、「Eagle XG」ガラスから製造されたが、その必要はない。担体900およびカバー910は、直径150mmであり、厚さ0.63mmであった。一般に、担体910およびカバー920は、それぞれ、担体10および薄いシート20と同じ材料から製造され、それらについて、ガス放出試験を行うことが望ましい。この試験中、シリコンスペーサは、厚さが0.63mm、幅が2mm、長さが8cmであり、それによって、表面902および912の間に0.63mmの間隙が形成される。この試験中、槽930は、MPT−RTP600s高速熱処理装置内に組み込まれ、この装置は、毎分9.2℃の速度で室温から試験限界温度まで周期的に温度が昇降され、グラフの「アニール時間」に示された様々な時間に亘り試験限界温度に保持され、次いで、200℃まで炉の速度で冷却された。炉が200℃まで冷めた後、試験物品を取り出し、試験物品が室温まで冷めた後、各表面902および912の表面エネルギーを再び測定した。このように、一例として、材料#1について、線1003で、450℃の限界温度まで試験された、カバーの表面エネルギーの変化に関するデータを使用して、データを以下のように収集した。0分でのデータ点は、75mJ/m2(平方メートル当たりのミリジュール)の表面エネルギーを示し、裸のガラスの表面エネルギーである、すなわち、時間−温度サイクルがまだ行われていない。1分でのデータ点は、以下のように行った時間−温度サイクル後に測定した表面エネルギーを示す:物品901(表面902を表す担体900上の表面改質層として使用した材料#1を有する)を、室温および大気圧で加熱槽930内に入れた;この槽を、標準条件下で毎分2リットルのN2ガスを流しながら、毎分9.2℃の速度で450℃の試験限界温度まで加熱し、1分間に亘り450℃の試験限界温度に保持した;次いで、この槽を、毎分1℃の速度で300℃まで冷却し、次いで、物品901を槽930から取り出した;次いで、物品を室温まで冷ました(N2流動雰囲気ではない);次いで、表面912の表面エネルギーを測定し、線1003で1分の点としてプロットした。次いで、材料#1(線1003、1004)に関する残りのデータ点、並びに材料#2(線1203、1204)、材料#3(線1303、1304)、材料#4(線1403、1404)、材料#5(線1503、1504)、材料#6(線1603、および1604)、および材料#7(線1703、1704)に関するデータ点を、それぞれに見合うように、450℃、または600℃いずれかの試験限界温度での保持時間に相当するアニール時間の分数で同様に決定した。対応する表面改質層の材料(材料#1〜7)に関する表面902の表面エネルギーを表す線1001、1002、1201、1202、1301、1302、1401、1402、1501、1502、1601、1602、1701、および1702を、各時間−温度サイクル後に表面902の表面エネルギーを測定したことを除いて、同様に決定した。 During the test that produced the results of FIG. 10, the carrier 900, cover 910, and spacer 920 are alkali-free aluminoborosilicate display glasses obtained from Corning Incorporated, Corning, NY, “Eagle XG "Made from glass, but that is not necessary." The carrier 900 and the cover 910 had a diameter of 150 mm and a thickness of 0.63 mm. In general, the carrier 910 and the cover 920 are manufactured from the same material as the carrier 10 and the thin sheet 20, respectively, and it is desirable to perform a gas emission test on them. During this test, the silicon spacer is 0.63 mm thick, 2 mm wide, and 8 cm long, thereby forming a 0.63 mm gap between the surfaces 902 and 912. During this test, the bath 930 was incorporated into the MPT-RTP600s rapid heat treatment apparatus, which was periodically ramped up from room temperature to the test limit temperature at a rate of 9.2 ° C. per minute. It was held at the test limit temperature for various times as indicated in Time and then cooled to 200 ° C. at the furnace speed. After the furnace cooled to 200 ° C., the test article was removed and after the test article cooled to room temperature, the surface energy of each surface 902 and 912 was measured again. Thus, as an example, using material # 1 data for changes in the surface energy of the cover, tested at line 1003 to a limit temperature of 450 ° C., data was collected as follows. The data point at 0 minutes indicates a surface energy of 75 mJ / m 2 (millijoule per square meter), which is the surface energy of bare glass, ie no time-temperature cycle has yet been performed. The data point at 1 minute indicates the surface energy measured after a time-temperature cycle performed as follows: Article 901 (with material # 1 used as a surface modification layer on carrier 900 representing surface 902) Was placed in a heating bath 930 at room temperature and atmospheric pressure; the test limit temperature was 450 ° C. at a rate of 9.2 ° C. per minute while flowing 2 liters of N 2 gas per minute under standard conditions. And was held at a test limit temperature of 450 ° C. for 1 minute; the vessel was then cooled to 300 ° C. at a rate of 1 ° C. per minute, and then article 901 was removed from vessel 930; The article was cooled to room temperature (not an N 2 flowing atmosphere); the surface energy of surface 912 was then measured and plotted as a 1-minute point on line 1003. Then the remaining data points for material # 1 (lines 1003, 1004), as well as material # 2 (lines 1203, 1204), material # 3 (lines 1303, 1304), material # 4 (lines 1403, 1404), material # Test either 450 ° C or 600 ° C to match the data points for material 5 (lines 1503, 1504), material # 6 (lines 1603 and 1604), and material # 7 (lines 1703, 1704), respectively. It was similarly determined by the fraction of the annealing time corresponding to the holding time at the limit temperature. Lines 1001, 1002, 1201, 1202, 1301, 1302, 1401, 1402, 1501, 1502, 1601, 1602, 1701 representing the surface energy of the surface 902 with respect to the corresponding surface modification layer material (material # 1-7) And 1702 were determined similarly except that the surface energy of surface 902 was measured after each time-temperature cycle.

下記に述べる7種類の異なる材料について、上述の組立てプロセス、および時間−温度サイクルを行った。その結果が図10にグラフで示されている。7種類の材料の内、材料#1〜4および7は、上述した表面改質層の材料に相当する。材料#5および#6は、比較例である。   The seven different materials described below were subjected to the assembly process described above and a time-temperature cycle. The result is shown graphically in FIG. Of the seven types of materials, materials # 1 to 4 and 7 correspond to the materials of the surface modification layer described above. Materials # 5 and # 6 are comparative examples.

材料#1は、CHF3−CH4プラズマ重合フルオロポリマーである。この材料は、先の例3bにおける表面改質層と一致する。図10に示されるように、線1001および1002は、担体の表面エネルギーが著しく変わらなかったことを示している。それゆえ、この材料は、450℃から600℃の温度で非常に安定である。その上、線1003および1004に示されるように、カバーの表面エネルギーも著しく変わらなかった、すなわち、変化は5mJ/m2以下である。したがって、450℃から600℃までこの材料に関するガス放出はなかった。 Material # 1 is a CHF 3 -CH 4 plasma polymerized fluoropolymers. This material is consistent with the surface modified layer in Example 3b above. As shown in FIG. 10, lines 1001 and 1002 indicate that the surface energy of the carrier has not changed significantly. This material is therefore very stable at temperatures between 450 ° C. and 600 ° C. Moreover, as indicated by lines 1003 and 1004, the surface energy of the cover was not significantly changed, ie, the change is 5 mJ / m 2 or less. Therefore, there was no outgassing for this material from 450 ° C to 600 ° C.

材料#2は、フェニルトリエトキシシランの1%トルエン溶液から堆積し、190℃で30分間に亘り真空オーブン内で硬化させたフェニルシランの自己組織化単分子層(SAM)である。この材料は、先の例4cにおける表面改質層と一致する。図10に示されるように、線1201および1202は、担体上の表面エネルギーがいくらか変化することを示している。上述したように、このことは、表面改質層におけるいくらかの変化を示し、比較的、材料#2は材料#1よりもいくぶん不安定である。しかしながら、線1203および1204に示されるように、カバーの表面エネルギーの変化は5mJ/m2以下であり、表面改質層に対する変化により、ガス放出がもたらされなかったことを示す。 Material # 2 is a self-assembled monolayer (SAM) of phenylsilane deposited from a 1% toluene solution of phenyltriethoxysilane and cured in a vacuum oven at 190 ° C. for 30 minutes. This material is consistent with the surface modified layer in Example 4c above. As shown in FIG. 10, lines 1201 and 1202 indicate that the surface energy on the carrier changes somewhat. As noted above, this indicates some change in the surface modified layer, and material # 2 is relatively more unstable than material # 1. However, as shown by lines 1203 and 1204, the change in the surface energy of the cover is less than 5 mJ / m 2 , indicating that the change to the surface modification layer did not result in outgassing.

材料#3は、ペンタフルオロフェニルトリエトキシシランの1%トルエン溶液から堆積し、190℃で30分間に亘り真空オーブン内で硬化させたペンタフルオロフェニルシランのSAMである。この材料は、先の例4eにおける表面改質層と一致する。図10に示されるように、線1301および1302は、担体上の表面エネルギーがいくらか変化することを示している。上述したように、このことは、表面改質層におけるいくらかの変化を示し、比較的、材料#3は材料#1よりもいくぶん不安定である。しかしながら、線1303および1304に示されるように、カバーの表面エネルギーの変化は5mJ/m2以下であり、表面改質層に対する変化により、ガス放出がもたらされなかったことを示す。 Material # 3 is a SAM of pentafluorophenylsilane deposited from a 1% toluene solution of pentafluorophenyltriethoxysilane and cured in a vacuum oven at 190 ° C. for 30 minutes. This material is consistent with the surface modified layer in Example 4e above. As shown in FIG. 10, lines 1301 and 1302 indicate that the surface energy on the carrier changes somewhat. As noted above, this indicates some change in the surface modification layer, and material # 3 is relatively more unstable than material # 1. However, as shown by lines 1303 and 1304, the change in the surface energy of the cover is 5 mJ / m 2 or less, indicating that the change to the surface modification layer did not result in outgassing.

材料#4は、140℃でYES HMDSオーブン内で蒸気から堆積したヘキサメチルジシラザン(HMDS)である。この材料は、先の表2の例2bにおける表面改質層と一致する。図10に示されるように、線1401および1402は、担体上の表面エネルギーがいくらか変化することを示している。上述したように、このことは、表面改質層におけるいくらかの変化を示し、比較的、材料#4は材料#1よりもいくぶん不安定である。その上、材料#4に関する担体の表面エネルギーの変化は、材料#2およぴ#3のいずれの変化よりも大きく、比較的、材料#4は材料#2および#3よりもいくぶん不安定であることを示す。しかしながら、線1403および1404に示されるように、カバーの表面エネルギーの変化は5mJ/m2以下であり、表面改質層に対する変化により、カバーの表面エネルギーに影響するガス放出がもたらされなかったことを示す。しかしながら、これは、HMDSがガス放出する様式と一致する。すなわち、HMDSは、アンモニアおよび水をガス放出し、これらは、カバーの表面エネルギーに影響を与えず、いくつかの分子部品の製造設備および/または加工に影響しないであろう。他方で、ガス放出の生成物が薄いシートと担体との間に捕捉された場合、第2のガス放出試験に関して下記に示されるように、他の問題があるかもしれない。 Material # 4 is hexamethyldisilazane (HMDS) deposited from steam in a YES HMDS oven at 140 ° C. This material is consistent with the surface modified layer in Example 2b of Table 2 above. As shown in FIG. 10, lines 1401 and 1402 indicate that the surface energy on the carrier changes somewhat. As noted above, this indicates some change in the surface modification layer, and material # 4 is relatively more unstable than material # 1. Moreover, the change in the surface energy of the carrier with respect to material # 4 is greater than that of any of materials # 2 and # 3, and material # 4 is relatively more unstable than materials # 2 and # 3. Indicates that there is. However, as shown by lines 1403 and 1404, the change in the surface energy of the cover was 5 mJ / m 2 or less, and changes to the surface modification layer did not result in outgassing affecting the surface energy of the cover. It shows that. However, this is consistent with the manner in which HMDS outgases. That is, HMDS outgases ammonia and water, which do not affect the surface energy of the cover and will not affect the manufacturing equipment and / or processing of some molecular parts. On the other hand, if the outgassing product is trapped between the thin sheet and the carrier, there may be other problems, as shown below for the second outgassing test.

材料#5はグリシドキシプロピルトリエトキシシランの1%トルエン溶液から堆積し、190℃で30分間に亘り真空オーブン内で硬化させたグリシドキシプロピルシランのSAMである。これは、比較例の材料である。線1501および1502により示されるように、担体の表面エネルギーの変化は比較的わずかしかないが、線1503および1504により示されるように、カバーの表面エネルギーに著しい変化がある。すなわち、材料#5は担体表面上で比較的安定であるが、それは、実際に、カバー表面上に著しい量の材料をガス放出し、それにより、カバーの表面エネルギーは10mJ/m2以上変化した。600℃での10分の終了時での表面エネルギーは最初から10mJ/m2以内であるが、その期間中の変化は、10mJ/m2を超える。例えば、1および5分のデータ点を参照のこと。理論により束縛する意図はないが、5分から10分の表面エネルギーのわずかな上昇は、ガス放出した材料のいくらかが分解し、カバー表面から剥がれ落ちたことによると思われる。 Material # 5 is a SAM of glycidoxypropyl silane deposited from a 1% toluene solution of glycidoxypropyltriethoxysilane and cured in a vacuum oven at 190 ° C. for 30 minutes. This is a comparative material. As indicated by lines 1501 and 1502, there is relatively little change in the surface energy of the carrier, but there is a significant change in the surface energy of the cover, as indicated by lines 1503 and 1504. That is, material # 5 is relatively stable on the support surface, but it actually outgases a significant amount of material onto the cover surface, which changed the surface energy of the cover by more than 10 mJ / m 2 . . The surface energy at the end of 10 minutes at 600 ° C. is within 10 mJ / m 2 from the beginning, but the change during that period exceeds 10 mJ / m 2 . For example, see the 1 and 5 minute data points. Without wishing to be bound by theory, it is believed that the slight increase in surface energy from 5 to 10 minutes is due to some of the outgassing material being decomposed and peeled off the cover surface.

材料#6は、5mlのDow Corning 704拡散ポンプ油のテトラメチルテトラフェニルトリシロキサン(Dow Corningから入手した)を担体上に分配し、それを8分間に亘り空気中の500℃のホットプレート上に置くことにより調製されたDC704のシリコーンコーティングである。サンプル調製の完了は、目に見える発煙の終わりにより示される。上述した様式でサンプルを調製した後、上述したガス放出試験を行った。これは、比較例の材料である。図10に示されるように、線1601および1602は、担体上の表面エネルギーのいくらかの変化を示している。上述したように、このことは、表面改質層におけるいくらかの変化を示し、比較的、材料#6は材料#1よりも不安定である。その上、線1603および1604により示されるように、カバーの表面エネルギーの変化は10mJ/m2以上であり、著しいガス放出を示している。より詳しくは、450℃の試験限界温度で、10分のデータ点は、約15mJ/m2の表面エネルギーの減少、および1分と5分での時点での表面エネルギーのより大きい減少を示している。同様に、600℃の試験限界温度でのサイクル中のカバーの表面エネルギーの変化について、カバーの表面エネルギーの減少は、10分のデータ点で約25mJ/m2であり、5分ではいくぶん大きく、1分ではいくぶん小さかった。けれども、要するに、試験の全範囲に亘りこの材料に、著しい量のガス放出が示された。 Material # 6 dispenses 5 ml of Dow Corning 704 diffusion pump oil tetramethyltetraphenyltrisiloxane (obtained from Dow Corning) onto the support and puts it on a hot plate at 500 ° C. in air for 8 minutes. DC704 silicone coating prepared by placing. Completion of sample preparation is indicated by the end of visible fuming. After preparing the samples in the manner described above, the gas release test described above was performed. This is a comparative material. As shown in FIG. 10, lines 1601 and 1602 show some change in surface energy on the support. As described above, this indicates some change in the surface modified layer, and material # 6 is relatively more unstable than material # 1. Moreover, as indicated by lines 1603 and 1604, the change in the surface energy of the cover is greater than 10 mJ / m 2 , indicating significant outgassing. More specifically, at a test limit temperature of 450 ° C., a 10-minute data point indicates a decrease in surface energy of approximately 15 mJ / m 2 and a greater decrease in surface energy at 1 and 5 minutes. Yes. Similarly, for a change in the surface energy of the cover during the cycle at a test limit temperature of 600 ° C., the decrease in the surface energy of the cover is about 25 mJ / m 2 at the 10 minute data point and is somewhat larger at 5 minutes, It was somewhat small in 1 minute. In summary, however, this material showed a significant amount of outgassing over the entire range of testing.

材料#7は、CH4−H2プラズマ堆積ポリマーであって、その後、短期間N2−O2およびN2プラズマにより処理されたポリマーである。この材料は、先の表11の例における表面改質層と似ている。図10に示されるように、線1701および1702は、担体の表面エネルギーが著しく変わらなかったことを示している。それゆえ、この材料は、450℃から600℃の温度で非常に安定である。その上、線1703および1704に示されるように、カバーの表面エネルギーも著しく変わらなかった、すなわち、変化は5mJ/m2以下である。したがって、450℃から600℃までこの材料に関するガス放出はなかった。 Material # 7 is a CH 4 —H 2 plasma deposited polymer that is subsequently treated with N 2 —O 2 and N 2 plasma for a short period of time. This material is similar to the surface modified layer in the example of Table 11 above. As shown in FIG. 10, lines 1701 and 1702 indicate that the surface energy of the carrier has not changed significantly. This material is therefore very stable at temperatures between 450 ° C. and 600 ° C. Moreover, as indicated by lines 1703 and 1704, the surface energy of the cover was not significantly changed, ie, the change is 5 mJ / m 2 or less. Therefore, there was no outgassing for this material from 450 ° C to 600 ° C.

重要なことには、材料#1〜4および7に関して、時間−温度サイクル全体に亘る表面エネルギーは、カバー表面が、裸のガラスの表面エネルギーと一致した表面エネルギーのままである、すなわち、担体表面からガス放出された材料は採取されないことを示している。表2に関して示されたように、材料#4の場合、担体および薄いシートの表面が調製された様式は、物品(表面改質層により担体と一緒に結合された薄いシート)がFPD加工に耐えるか否かの大きな違いをもたらす。このように、図10に示された材料#4の例はガス放出しないかもしれないが、この材料は、表2の議論に関して述べたように、400℃または600℃試験に耐えるかもしれないし耐えないかもしれない。   Importantly, for materials # 1-4 and 7, the surface energy over the entire time-temperature cycle is that the cover surface remains at a surface energy consistent with that of bare glass, i.e. the carrier surface. This indicates that the material outgassed from is not collected. As shown with respect to Table 2, in the case of material # 4, the manner in which the surface of the carrier and thin sheet was prepared is that the article (thin sheet bonded together with the carrier by a surface modification layer) resists FPD processing. Make a big difference whether or not. Thus, although the material # 4 example shown in FIG. 10 may not outgas, this material may or may not withstand a 400 ° C. or 600 ° C. test as described with respect to the discussion in Table 2. It may not be.

少量のガス放出を測定する第2の方法は、組み立てられた物品、すなわち、薄いシートが表面改質層を介して担体に結合された物品に基づき、ガス放出を決定するために気泡面積パーセントの変化を使用する。すなわち、物品の加熱中、担体と薄いシートとの間に形成された気泡は、表面改質層のガス放出を示す。第1のガス放出試験に関して先に述べたように、非常に薄い表面改質層のガス放出を測定することは難しい。この第2の試験において、薄いシートの下でのガス放出は、薄いシートと担体との間の強力な接着により制限されるであろう。それにもかかわらず、10nm厚以下の層(例えば、プラズマ重合材料、SAM、および熱分解シリコーン油表面処理)は、それでも、より小さい絶対質量損失にもかかわらず、熱処理中に気泡を生じるであろう。薄いシートと担体との間の気泡の形成は、パターンの生成、フォトリソグラフィー加工、および/または薄いシート上のデバイス加工中のアライメントに関する問題を生じるであろう。その上、薄いシートと担体との間の結合区域の境界での気泡発生は、下流のプロセスを汚染するあるプロセスからのプロセス流体に関する問題を生じるであろう。5以上の気泡面積%の変化が重大であり、ガス放出を示し、望ましくない。他方で、1以下の気泡面積%の変化は、取るに足らず、ガス放出がなかったことを示す。   A second method for measuring a small amount of outgassing is based on an assembled article, i.e., an article in which a thin sheet is bonded to a support through a surface modification layer, and is based on the percentage of bubble area to determine outgassing. Use change. That is, during the heating of the article, bubbles formed between the carrier and the thin sheet indicate outgassing of the surface modified layer. As described above with respect to the first outgassing test, it is difficult to measure outgassing of a very thin surface modified layer. In this second test, outgassing under the thin sheet will be limited by the strong adhesion between the thin sheet and the carrier. Nevertheless, layers less than 10 nm thick (eg, plasma polymerized materials, SAMs, and pyrolytic silicone oil surface treatments) will still produce bubbles during heat treatment, despite smaller absolute mass loss . Formation of bubbles between the thin sheet and the carrier will cause problems with alignment during pattern generation, photolithography processing, and / or device processing on the thin sheet. Moreover, bubble generation at the boundary of the bonding area between the thin sheet and the carrier will cause problems with process fluids from certain processes that contaminate downstream processes. A change in bubble area% of 5 or more is significant, indicating outgassing and is undesirable. On the other hand, a change in bubble area% of 1 or less is negligible indicating no outgassing.

手作業による結合に関するクラス1000のクリーンルーム内の結合された薄いガラスの平均気泡面積は1%である。結合された担体中の気泡%は、担体、薄いガラスシート、および表面処理の清浄度の関数である。これらの初期欠陥は、熱処理後の気泡成長の核形成部位として働くので、1%未満の熱処理の際の気泡面積のどの変化も、サンプル調製のばらつきの範囲内にある。この試験を行うために、透明ユニットを備えた市販のデスクトップ型スキャナ(Epson Expression 10000XL Photo)を使用して、結合直後の薄いシートおよび担体を結合する区域の第1の走査画像を作成した。508dpi(50マイクロメートル/画素)および24bit RGBを使用した標準的なEpsonソフトウェアを使用して、部品を走査した。画像処理ソフトウェアは、最初に、必要に応じて、サンプルの異なる区画の画像を単一画像にまとめ、スキャナのアーチファクトを除去する(スキャナにおいて、サンプルを用いずに行った検定基準走査を使用することにより)ことによって、画像を調製する。次いで、結合区域を、閾値化、穴埋め、浸食/拡張、およびブロブ解析などの標準的な画像処理技法を使用して解析する。最新のEpson Expression 11000XL Photoを同様に使用してもよい。透過モードにおいて、結合区域の気泡は、走査画像において目に見え、気泡面積の値を決定できる。次いで、気泡面積を、全結合面積(すなわち、薄いシートと担体との間の全重複面積)と比べて、全結合面積に対する結合区域における気泡の面積%を計算する。次いで、サンプルを10分までに亘り、300℃、450℃、および600℃の試験限界温度で、N2雰囲気下において、MPT−RTP600s Rapid Thermal Processingシステム内で熱処理する。詳しくは、実施した時間−温度サイクルは、以下を含んだ:室温および大気圧で物品を加熱槽に入れた;次いで、その槽を、毎分9℃の速度で試験限界温度に加熱した;その槽を10分間に亘り試験限界温度に保持した;次いで、槽を200℃まで炉の速度で冷却した;物品を槽から取り出し、室温まで冷ませた;次いで、物品にオプティカルスキャナで二回目の走査をした。次いで、第2の走査からの気泡面積%を先のように計算し、第1の走査からの気泡面積%と比較して、気泡面積%の変化(Δ気泡面積%)を決定した。上述したように、5%以上の気泡面積の変化は、重大であり、ガス放出を示す。気泡面積%のある変化を、元の気泡面積%におけるばらつきのために、測定基準として選択した。すなわち、ほとんどの表面改質層は、薄いシートおよび担体が調製された後であって、結合される前の、取扱いおよび清浄度のために、第1の走査において、約2%の気泡面積を有する。しかしながら、ばらつきは、材料間で生じることもある。第1のガス放出試験方法に関して述べた同じ材料#1〜7のセットを、この第2のガス放出試験方法に再び使用した。これらの材料の内、材料#1〜4は、第1の走査において約2%の気泡面積を示したのに対し、材料#5および#6は、第1の走査において、著しく大きい気泡面積、すなわち、約4%を示した。 The average bubble area of bonded thin glass in a Class 1000 clean room for manual bonding is 1%. The percent air bubbles in the bonded carrier is a function of the cleanliness of the carrier, the thin glass sheet, and the surface treatment. Since these initial defects serve as nucleation sites for bubble growth after heat treatment, any change in bubble area upon heat treatment of less than 1% is within sample preparation variability. To perform this test, a commercial desktop scanner equipped with a transparent unit (Epson Expression 10000XL Photo) was used to create a first scanned image of the thin sheet immediately after bonding and the area where the carrier is bonded. The parts were scanned using standard Epson software using 508 dpi (50 micrometers / pixel) and 24 bit RGB. The image processing software first combines the images of the different sections of the sample into a single image, if necessary, and removes scanner artifacts (using a calibration reference scan performed in the scanner without a sample) Prepare the image by: The bonded area is then analyzed using standard image processing techniques such as thresholding, hole filling, erosion / dilation, and blob analysis. The latest Epson Expression 11000XL Photo may be used as well. In the transmission mode, the bubbles in the coupling area are visible in the scanned image and the value of the bubble area can be determined. The bubble area is then compared to the total bond area (ie, the total overlap area between the thin sheet and the carrier) to calculate the area% of the bubbles in the bond area relative to the total bond area. The sample is then heat treated for up to 10 minutes in an MPT-RTP600s Rapid Thermal Processing system at a test limit temperature of 300 ° C., 450 ° C., and 600 ° C. in an N 2 atmosphere. Specifically, the time-temperature cycle performed included: the article was placed in a heating bath at room temperature and atmospheric pressure; the bath was then heated to the test limit temperature at a rate of 9 ° C. per minute; The bath was held at the test limit temperature for 10 minutes; the bath was then cooled to 200 ° C. at the furnace speed; the article was removed from the bath and allowed to cool to room temperature; the article was then scanned a second time with an optical scanner. Did. The bubble area% from the second scan was then calculated as before and the change in bubble area% (Δ bubble area%) was determined relative to the bubble area% from the first scan. As mentioned above, a change in bubble area of 5% or more is significant and indicates outgassing. A change in the bubble area% was chosen as a metric because of the variation in the original bubble area%. That is, most surface modification layers have about 2% bubble area in the first scan because of handling and cleanliness after thin sheets and carriers have been prepared and before being bonded. Have. However, variation can occur between materials. The same set of materials # 1-7 as described for the first outgassing test method was again used for this second outgassing test method. Of these materials, materials # 1 to 4 showed about 2% bubble area in the first scan, whereas materials # 5 and # 6 were significantly larger bubble areas in the first scan, That is, about 4% was shown.

第2のガス放出試験の結果を、図11および12を参照して説明する。材料#1〜3および#7のガス放出試験結果が図11に示されているのに対し、材料#4〜6のガス放出試験結果が図12に示されている。   The result of the second gas emission test will be described with reference to FIGS. The gas release test results of materials # 1 to # 3 and # 7 are shown in FIG. 11, whereas the gas release test results of materials # 4 to 6 are shown in FIG.

材料#1の結果が、図11に正方形のデータ点として示されている。図面から分かるように、気泡面積%の変化は、300℃、450℃、および600℃の試験限界温度についてほぼゼロであった。したがって、材料#1はこれらの温度でガス放出を示さない。   The results for material # 1 are shown as square data points in FIG. As can be seen from the figure, the change in bubble area% was almost zero for the test limit temperatures of 300 ° C, 450 ° C, and 600 ° C. Thus, material # 1 does not exhibit gas evolution at these temperatures.

材料#2の結果が、図11に菱形のデータ点として示されている。図面から分かるように、気泡面積%の変化は、450℃および600℃の試験限界温度について1未満である。したがって、材料#2はこれらの温度でガス放出を示さない。   The results for material # 2 are shown as diamond data points in FIG. As can be seen from the figure, the change in the bubble area% is less than 1 for the test limit temperatures of 450 ° C. and 600 ° C. Thus, material # 2 does not exhibit gas evolution at these temperatures.

材料#3の結果が、図11に三角形のデータ点として示されている。図面から分かるように、材料#1の結果と同様に、気泡面積%の変化は、300℃、450℃、および600℃の試験限界温度についてほぼゼロであった。したがって、材料#3はこれらの温度でガス放出を示さない。   The results for material # 3 are shown as triangular data points in FIG. As can be seen from the figure, similar to the results for material # 1, the change in bubble area% was nearly zero for the test limit temperatures of 300 ° C, 450 ° C, and 600 ° C. Therefore, material # 3 does not exhibit gas evolution at these temperatures.

材料#7の結果が、図11に十字のデータ点として示されている。図面から分かるように、気泡面積%の変化は、300℃および450℃の試験限界温度についてほぼゼロである。したがって、材料#7はこれらの温度でガス放出を示さない。600℃の試験限界温度について、材料#7は、2未満の気泡面積%の変化を示す。したがって、材料#7は、多くても、この温度で最小のガス放出しか示さない。   The results for material # 7 are shown as cross data points in FIG. As can be seen from the figure, the change in bubble area% is almost zero for the test limit temperatures of 300 ° C and 450 ° C. Therefore, material # 7 does not exhibit gas release at these temperatures. For a test limit temperature of 600 ° C., material # 7 exhibits a change in bubble area% of less than 2. Therefore, material # 7 at most exhibits minimal outgassing at this temperature.

材料#4の結果が、図12に円形のデータ点として示されている。図面から分かるように、気泡面積%の変化は、300℃の試験限界温度についてほぼゼロであるが、450℃および600℃の試験限界温度でいくつかのサンプルについて1%近く、同じ材料の他のサンプルについては、450℃および600℃の試験限界温度で約5%である。材料#4の結果は、非常に一貫性がなく、薄いシートおよび担体の表面が、HMDS材料との結合にために調製された様式に依存する。サンプルが調製される様式に依存している、サンプルが機能する様式は、先の表2に関して述べたこの材料の例、および関連議論に一致する。この材料について、450℃および600℃の試験限界温度に関して、1%近い気泡面積%の変化を有するサンプルは、上述した分離試験により、薄いシートを担体から分離することができなかったことに留意した。すなわち、薄いシートと担体との間の強力な接着が、気泡の発生を制限したようである。他方で、5%近い気泡面積%の変化を有するサンプルは、薄いシートを担体から分離することができた。それゆえ、ガス放出をしなかったサンプルは、担体および薄いシートを一緒に粘着する温度処理後の増加した接着の望ましくない結果(薄いシートの担体からの取外しを妨げる)を有するのに対し、薄いシートおよび担体の取外しを可能にしたサンプルは、ガス放出の望ましくない結果を有した。   The results for material # 4 are shown as circular data points in FIG. As can be seen from the figure, the change in bubble area% is nearly zero for the 300 ° C. test limit temperature, but close to 1% for some samples at the test limit temperatures of 450 ° C. and 600 ° C. For the sample, it is about 5% at 450 ° C. and 600 ° C. test limit temperatures. The results for material # 4 are very inconsistent and depend on the manner in which the thin sheet and carrier surfaces were prepared for bonding with the HMDS material. The manner in which the sample functions, depending on the manner in which the sample is prepared, is consistent with this material example and related discussion discussed above with respect to Table 2. For this material, it was noted that samples with a change in bubble area% of close to 1% for the test limit temperatures of 450 ° C. and 600 ° C. were not able to separate the thin sheet from the carrier by the separation test described above. . That is, the strong adhesion between the thin sheet and the carrier seems to limit the generation of bubbles. On the other hand, a sample with a change in bubble area percentage close to 5% was able to separate the thin sheet from the carrier. Thus, samples that did not outgas have the undesirable consequence of increased adhesion after temperature treatment that sticks the carrier and thin sheet together (prevents removal of the thin sheet from the carrier), while thin Samples that allowed removal of the sheet and carrier had undesirable results of outgassing.

材料#5の結果が、図12に三角形のデータ点として示されている。図面から分かるように、気泡面積%の変化は、300℃の試験限界温度について約15%であり、450℃および600℃のより高い試験限界温度についてそれを大幅に上回る。したがって、材料#5は、これらの温度で著しいガス放出を示す。   The results for material # 5 are shown as triangular data points in FIG. As can be seen from the figure, the change in bubble area% is about 15% for the 300 ° C. test limit temperature, and significantly exceeds that for the higher test limit temperatures of 450 ° C. and 600 ° C. Therefore, material # 5 exhibits significant outgassing at these temperatures.

材料#6の結果が、図12に正方形のデータ点として示されている。図面から分かるように、気泡面積%の変化は、300℃の試験限界温度について2.5%を超え、450℃および600℃の試験限界温度について5%を超える。したがって、材料#6は、450℃および600℃の試験限界温度で著しいガス放出を示す。   The results for material # 6 are shown as square data points in FIG. As can be seen from the figure, the change in bubble area% is over 2.5% for the 300 ° C. test limit temperature and over 5% for the 450 ° C. and 600 ° C. test limit temperatures. Thus, material # 6 exhibits significant outgassing at test limit temperatures of 450 ° C. and 600 ° C.

高分子表面のガラス表面への結合
ディスプレイは、ポリエチレンナフタレート(PEN)、ポリエチレンテレフタレート(PET)およびポリイミド(PI)などの高分子シート上に実証され、この場合、デバイス製造は、ガラス担体に積層されたPENによるシート・ツー・シートであった。100マイクロメートル厚までの高分子接着剤の層が、シート・ツー・シート加工のためにPENおよびPETをガラス担体上に積層するのに一般に使用されている。デバイス加工中のこれらの接着剤の質量損失は、一般に1%を超え、これにより、溶媒のガス放出による汚染に関する難題が生じる。その上、接着剤の完全な除去は難しく、それゆえ、ガラス担体は一般に再利用されない。
Bonded displays of polymer surfaces to glass surfaces have been demonstrated on polymer sheets such as polyethylene naphthalate (PEN), polyethylene terephthalate (PET) and polyimide (PI), where device fabrication is laminated to a glass carrier. It was a sheet-to-sheet with PEN. Layers of polymeric adhesive up to 100 micrometers thick are commonly used to laminate PEN and PET onto glass carriers for sheet-to-sheet processing. The mass loss of these adhesives during device processing is generally greater than 1%, which creates a problem with contamination due to solvent outgassing. Moreover, complete removal of the adhesive is difficult and therefore the glass carrier is generally not reused.

本願では、TFT加工に耐えるのに十分に強いが、剥離を可能にするのに十分に弱い、制御された一時的結合を形成するために、薄い表面改質層を使用して、ガラス担体と高分子シートとの間に適度な接着を形成することを記載している。熱、真空、溶媒および酸性、並びに超音波のフラットパネルディスプレイ(FPD)プロセスには、担体に結合した薄い高分子シートの丈夫な結合を必要とするが、ここに論じた本発明の表面改質層の様々なものは、ガラス担体上の高分子の薄いシートを加工するためのそのような制御された結合を達成することができた。さらに、制御された結合により、高分子の薄いシートまたはガラス担体のいずれにも壊滅的な損傷を生じずに、高分子の薄いシートを担体から除去することができ、それにより、再利用できるガラス担体を提供することができた。   In this application, a thin surface modification layer is used to form a controlled temporary bond that is strong enough to withstand TFT processing, but weak enough to allow delamination. It describes that an appropriate adhesion is formed with the polymer sheet. Thermal, vacuum, solvent and acid, and ultrasonic flat panel display (FPD) processes require robust bonding of a thin polymer sheet bonded to a carrier, but the surface modification of the present invention discussed herein. Various of the layers were able to achieve such a controlled bond for processing a thin sheet of polymer on a glass support. In addition, controlled bonding allows the thin sheet of polymer to be removed from the carrier without causing catastrophic damage to either the thin sheet of polymer or the glass carrier, thereby enabling reusable glass. A carrier could be provided.

FPDバックプレーン製造のための大量生産に、3つのトランジスタ技術がある:アモルファスシリコン(aSi)ボトムゲート型TFT、多結晶シリコン(pSi)トップゲート型TFT、およびアモルファス酸化物(IGZO)ボトムゲート型TFT。これらの技術は全て、300℃を超える高温加工工程を要する。高温プロセスを可能にする基板のこの要件、並びに化学的、機械的および真空の適合性に関する要件が、高分子などの既存のフレキシブル基板上のフレキシブルディスプレイの産業化に対する主な制限であった。一般プロセスは、典型的に、超音波またはメガソニック撹拌による高温アルカリ性溶液中の、高分子基板の清浄で始まり、それに脱イオン水による濯ぎが続く。素子構造が、材料の堆積およびフォトリソグラフィーパターニングと、それに続く材料のエッチングの数多くの減法サイクルで製造される。金属、誘電体、および半導体材料が、真空プロセス、例えば、金属、透明導電性酸化物および酸化物半導体のスパッタリング、高温でのアモルファスシリコン、窒化ケイ素、および二酸化ケイ素の化学蒸着(CVD)により堆積される。レーザおよびストロボアニールにより、基板を過剰に加熱せずに、p−Si結晶化が可能になるが、均一性は難題であり、ガラス基板と比べて、性能が不十分である。複数の層に、高分子レジストのフォトリソグラフィーパターニング、エッチング、それに続くレジスト除去によってパターンが形成される。真空プラズマ(ドライ)エッチングおよび酸性ウェットエッチングプロセスの両方が使用される。FPD加工において、フォトレジストは、典型的に、超音波またはメガソニック撹拌を行いながら、一般に、高温溶媒により除去される。   There are three transistor technologies in mass production for FPD backplane manufacturing: amorphous silicon (aSi) bottom gate TFT, polycrystalline silicon (pSi) top gate TFT, and amorphous oxide (IGZO) bottom gate TFT. . All of these techniques require high temperature processing steps in excess of 300 ° C. This requirement for substrates that enable high temperature processes, as well as requirements for chemical, mechanical and vacuum compatibility, has been a major limitation on the industrialization of flexible displays on existing flexible substrates such as polymers. The general process typically begins with the cleaning of the polymer substrate in a hot alkaline solution by ultrasonic or megasonic agitation followed by a rinse with deionized water. The device structure is fabricated with a number of subtractive cycles of material deposition and photolithography patterning followed by material etching. Metal, dielectric, and semiconductor materials are deposited by vacuum processes such as sputtering of metals, transparent conductive oxides and oxide semiconductors, chemical vapor deposition (CVD) of amorphous silicon, silicon nitride, and silicon dioxide at high temperatures. The Laser and strobe annealing enable p-Si crystallization without excessive heating of the substrate, but uniformity is a challenge and performance is poor compared to glass substrates. Patterns are formed on the plurality of layers by photolithography patterning of a polymer resist, etching, and subsequent resist removal. Both vacuum plasma (dry) etching and acidic wet etching processes are used. In FPD processing, the photoresist is typically removed with a high temperature solvent, typically with ultrasonic or megasonic agitation.

接着剤の厚い層を除去すると、担体の再利用可能性が阻まれる。FPD加工に有用な高分子接着剤について、その接着剤は、溶媒、強酸、および強塩基中において良好な化学的耐久性を持たなければならない。しかしながら、これらの同じ性質のために、除去が難しくなる。100マイクロメートルまでの厚さを有する層について、プラズマプロセスは、それらの層を除去するのに現実的ではない。有機薄膜トランジスタ製造にとって大きな課題は、薄い高分子シートの担体への積層である。   Removing the thick layer of adhesive prevents the carrier from being reusable. For polymeric adhesives useful for FPD processing, the adhesive must have good chemical durability in solvents, strong acids, and strong bases. However, these same properties make removal difficult. For layers having a thickness of up to 100 micrometers, the plasma process is not practical for removing those layers. A major challenge for organic thin film transistor manufacturing is the lamination of thin polymer sheets onto a carrier.

本願には、FPDプロセスのために高分子シートをガラス担体に制御して一時的に結合する方法が記載され、薄い高分子基板のシート・ツー・シート加工のための再利用可能なガラス担体が記載されている。ガラス担体上の表面改質層の形成により、薄い高分子シートと担体との間に適度な付着力の一時的結合が生じる。この適度な付着力は、薄いシートおよび担体の極性および非極性表面エネルギー成分を調節することによって制御される全付着エネルギーに対するファンデルワールスおよび共有引力エネルギーの寄与を最適にすることにより達成される。この適度な結合は、FPD加工(ウェット、超音波、真空、および熱プロセスを含む)に耐えるのに十分に強力であり、それでも高分子シートを、十分な剥離力の印加により担体から剥離可能なままにできる。表面改質層は、厚さが1マイクロメートル未満であり、酸素プラズマ中で容易に除去されるので、剥離により、薄い高分子シート上に製造されたデバイスの除去、担体の再利用が可能である。   The present application describes a method of controlling and temporarily bonding a polymer sheet to a glass carrier for an FPD process, and a reusable glass carrier for sheet-to-sheet processing of thin polymer substrates. Have been described. The formation of a surface modification layer on the glass carrier results in a temporary bond with moderate adhesion between the thin polymer sheet and the carrier. This moderate adhesion is achieved by optimizing the van der Waals and shared attractive energy contributions to the total adhesion energy controlled by adjusting the polar and non-polar surface energy components of the thin sheet and carrier. This moderate bond is strong enough to withstand FPD processing (including wet, ultrasonic, vacuum, and thermal processes), yet the polymer sheet can be peeled from the carrier by applying sufficient peel force Can be left. Since the surface modification layer has a thickness of less than 1 micrometer and is easily removed in oxygen plasma, the device manufactured on the thin polymer sheet can be removed and the carrier can be reused by peeling. is there.

薄い高分子シートとガラス担体との間に適度な結合を生じさせるために、薄い表面改質層を使用する以下の利点が得られるであろう。   In order to create a reasonable bond between the thin polymer sheet and the glass carrier, the following advantages of using a thin surface modification layer would be obtained.

(1)薄い高分子シートを担体に結合するために使用される材料の量が、市販の接着剤と比べて約100倍減少するために、ガス放出および汚染物質を吸収する可能性と下流のプロセスを汚染する可能性が減少する。   (1) Since the amount of material used to bond the thin polymer sheet to the carrier is reduced by about 100 times compared to commercially available adhesives, the possibility of absorbing gas emissions and contaminants and downstream The possibility of contaminating the process is reduced.

(2)高度に架橋したプラズマ高分子表面改質層は、不揮発性かつ不溶性であり、ガス放出およびプロセス汚染の可能性が低下する。   (2) The highly crosslinked plasma polymer surface modification layer is non-volatile and insoluble, reducing the possibility of outgassing and process contamination.

(3)表面改質層は、酸素プラズマまたは高温での下流の酸素プラズマ中で容易に除去される。   (3) The surface modification layer is easily removed in oxygen plasma or oxygen plasma downstream at high temperature.

(4)表面改質層は、薄くかつ容易に除去されるので、ガラス担体は再利用できる。   (4) Since the surface modification layer is thin and easily removed, the glass carrier can be reused.

PENおよびPETは、電子部品製造のためのロール形態で得られる一般に選択される高分子基板の内である。ほとんどの高分子と比べて、それらは、比較的化学的に不活性であり、吸水率が低く、低膨張であり、耐温度性である。しかしながら、これらの性質は、ガラスの性質より劣っている。例えば、非熱安定化PENの最高温度は155℃であり、一方でPETの最高温度はたった120℃である。これらの温度は、pSi加工に適したディスプレイ用ガラスの600℃超の使用温度と比べて低い。熱膨張は、ディスプレイ用ガラスに関して3.5ppmであるのに対し、PENについて約20ppmである。そして、温度収縮は、150℃での30分後に約0.1%であり、これは、著しく高い温度でのガラスにおける緩和および圧縮をはるかに超えている。高分子基板のこれらの劣った物理的性質は、高品質のデバイスを高収率で堆積させるためにプロセスの適合が必要である。例えば、二酸化ケイ素、窒化ケイ素およびアモルファスシリコンの堆積温度は、高分子基板の限度内にとどまるように低下させなければならない。   PEN and PET are among the commonly selected polymer substrates obtained in roll form for electronic component manufacturing. Compared to most polymers, they are relatively chemically inert, have low water absorption, low expansion, and temperature resistance. However, these properties are inferior to those of glass. For example, the maximum temperature of non-thermally stabilized PEN is 155 ° C, while the maximum temperature of PET is only 120 ° C. These temperatures are lower than the operating temperature above 600 ° C. for display glass suitable for pSi processing. The thermal expansion is about 20 ppm for PEN compared to 3.5 ppm for display glass. And the temperature shrinkage is about 0.1% after 30 minutes at 150 ° C., which far exceeds the relaxation and compression in glass at significantly higher temperatures. These inferior physical properties of polymer substrates require process adaptation to deposit high quality devices in high yield. For example, the deposition temperature of silicon dioxide, silicon nitride and amorphous silicon must be lowered to stay within the limits of the polymer substrate.

高分子の上述した物理的性質のために、シート・ツー・シート加工のための剛性担体への結合が難しくなる。例えば、高分子シートの熱膨張は、典型的に、ディスプレイ用ガラスのものの6倍超である。温度の上限が低いにもかかわらず、熱応力が、反りおよび湾曲を生じ、従来の結合技法を使用した場合に剥離を生じるのに十分に大きい。ソーダ石灰などの高膨張ガラスまたはより高膨張の金属担体を使用することは、反りの難題を管理するのに役立つが、これらの担体には、典型的に、汚染、適合性または粗さ(熱転写)に関する課題がある。   The aforementioned physical properties of the polymer make it difficult to bond to a rigid carrier for sheet-to-sheet processing. For example, the thermal expansion of polymer sheets is typically more than 6 times that of display glass. Despite the low upper temperature limit, thermal stresses are warped and curved enough to cause delamination when using conventional bonding techniques. The use of high expansion glass such as soda lime or higher expansion metal supports helps to manage the warpage challenges, but these supports typically contain contamination, suitability or roughness (thermal transfer ).

PENおよびPETの表面エネルギーも、ガラスのものよりも著しく低い。下記の表16に示されるように、「Corning」「Eagle XG」ガラスは、SC1化学作用および標準洗浄技法による洗浄後に、約77mJ/m2の表面エネルギーを示す。例16eを参照のこと。表面処理を行わないと、PENおよびPETは、43〜45mJ/m2(43〜45ダイン)の表面エネルギーを有し、非極性である。“Remote Atmospheric-Pressure Plasma Activation of the Surfaces of Polyethylene Terephthalate and Polyethylene Naphthalate” by E. Gonzalez, II, M. D. Barankin, P. C. Guschl, and R. F. Hicks, Langmuir 2008 24 (21), 12636-12643からの表2である、下記の表15を参照のこと。プラズマ洗浄処理(例えば、酸素プラズマによる)は、極性成分を増加させることにより、表面エネルギーを55〜65mJ/m2(55〜65ダイン、「プラズマ」)まで大幅に増加させる。また、高分子を洗浄し、その表面エネルギーを一時的に上昇させるために、UVオゾン処理、またはコロナ放電を使用してもよい。しかしながら、時間の経過とともに、表面エネルギーは低下して、以前の値に戻る(「経時変化」)。 The surface energy of PEN and PET is also significantly lower than that of glass. As shown in Table 16 below, “Corning” “Eagle XG” glass exhibits a surface energy of about 77 mJ / m 2 after cleaning with SC1 chemistry and standard cleaning techniques. See Example 16e. Without surface treatment, PEN and PET have a surface energy of 43-45 mJ / m 2 (43-45 dynes) and are nonpolar. “Remote Atmospheric-Pressure Plasma Activation of the Surfaces of Polyethylene Terephthalate and Polyethylene Naphthalate” by E. Gonzalez, II, MD Barankin, PC Guschl, and RF Hicks, Langmuir 2008 24 (21), 12636-12643 See Table 15 below. Plasma cleaning (eg, with oxygen plasma) significantly increases the surface energy to 55-65 mJ / m 2 (55-65 dynes, “plasma”) by increasing the polar component. Also, UV ozone treatment or corona discharge may be used to clean the polymer and temporarily increase its surface energy. However, over time, the surface energy decreases and returns to its previous value (“time course”).

高分子の結合表面に関するこれらの表面エネルギー(約55から約65mJ/m2)、およびガラス担体の結合表面に関する約77mJ/m2により、高分子シートは、シート上で構造体の加工を可能にするのに十分にガラス担体にくっつかないであろうが、最初にガラス担体上に固定され、次いで、中程度の温度に加熱された場合、高分子は、ガラス担体から剥がすことができなかった。それゆえ、最初にPENまたはPETをガラスに室温で結合させるために、ガラス担体の表面エネルギーを、PENまたはPETの表面エネルギーにほぼ一致させることが有益であることが分かった。その上、上述した表面改質層の様々なものが、有機−TFT加工サイクル(1時間の120℃での真空アニールおよび1分間の150℃のポストベイク工程を含む)後でさえも、高分子層をガラス担体から剥離できるように結合エネルギーを制御することが分かった。 With these surface energies (about 55 to about 65 mJ / m 2 ) for the binding surface of the polymer, and about 77 mJ / m 2 for the binding surface of the glass carrier, the polymer sheet allows processing of the structure on the sheet. Although it would not stick to the glass carrier enough to do so, the polymer could not be peeled from the glass carrier when first fixed on the glass carrier and then heated to a moderate temperature. Therefore, it has been found useful to first match the surface energy of the glass carrier to the surface energy of the PEN or PET in order to initially bond the PEN or PET to the glass at room temperature. In addition, the various surface modification layers described above are polymer layers even after an organic-TFT processing cycle (including 1 hour 120 ° C. vacuum anneal and 1 minute 150 ° C. post-bake step). It has been found that the binding energy is controlled so that can be peeled from the glass carrier.

ガラス担体の表面エネルギーを適切に調節するために適切な表面改質層を選択することにより、高分子、例えば、PENまたはPETをガラス担体に、有機−TFT加工(1時間の120℃での真空アニールおよび1分間の150℃のポストベイク工程を含む)に適した様式で制御可能に結合しつつ、加工後に高分子を担体から除去可能にするために、適切な湿潤および接着強度を達成することができる。高分子シートは、担体からうまく取り外すことができる、すなわち、高分子シートは、上記処理後でさえ、高分子シート上のOTFTと、それを製造するために使用したマスク上のOTFTとの間のトランジスタの配置における顕著な相違が見られない場合、高分子シートは担体に制御可能に結合されている。表面改質層は、明細書中に亘り例示された様々な材料および処理から選択してよい。高分子材料は、結合前にプラズマ洗浄されることが都合よい(初期結合を促進するように表面エネルギーの極性成分を増加させるため)であろうが、現状の高分子(すなわち、受け取ったまま、洗浄した状態、または経時変化した状態)との制御された結合のために適したレベルを達成するように、ガラス担体の表面エネルギーを大幅に変えることができるので、その必要はない。上述した例および下記の表16の例に基づいて、約36mJ/m2(例5g)から約80mJ/m2(例5f)までの範囲の表面エネルギーを、ガラス担体の結合表面に実現することができる。 By selecting an appropriate surface modification layer to appropriately adjust the surface energy of the glass carrier, a polymer, for example, PEN or PET, is applied to the glass carrier by organic-TFT processing (vacuum at 120 ° C. for 1 hour). To achieve adequate wetting and adhesion strength to allow the polymer to be removed from the support after processing while controllably binding in a manner suitable for annealing and post-baking at 150 ° C. for 1 minute) it can. The polymer sheet can be successfully removed from the carrier, ie the polymer sheet is between the OTFT on the polymer sheet and the OTFT on the mask used to produce it, even after the above treatment. If there is no significant difference in transistor placement, the polymer sheet is controllably coupled to the carrier. The surface modification layer may be selected from a variety of materials and processes exemplified throughout the specification. The polymeric material may be conveniently plasma cleaned prior to bonding (to increase the polar component of the surface energy to promote initial bonding), but the current polymer (ie, as received, This is not necessary because the surface energy of the glass support can be significantly changed to achieve a level suitable for controlled bonding with the washed or time-varying state. Realizing a surface energy in the range of about 36 mJ / m 2 (Example 5g) to about 80 mJ / m 2 (Example 5f) on the binding surface of the glass carrier, based on the examples described above and Table 16 below. Can do.

炭素源、例えば、炭化水素ガスのプラズマ重合から形成されたものを含む、上述した表面改質方法のいくつかが、高分子シートのガラス担体への接着に適している。例えば、フッ化炭素ガスから堆積したプラズマ高分子膜(例5aおよび5g);フッ化炭素ガスから堆積され、続いて、窒素および水素により同時に処理されたプラズマ高分子膜(例5m);様々な非フッ素含有ガスから堆積されたプラズマ高分子膜(例6a〜6j);炭化水素、必要に応じての窒素ガス、および水素ガスの様々な混合物から堆積されたプラズマ高分子膜(例7a〜g、12j);様々な非フッ素含有ガスから堆積され、その後、窒素により処理されたプラズマ高分子膜(例9a〜9j)、ここで、これらの表面エネルギーは、清浄度および/または経時変化の様々な状態の高分子に有用であろう;および様々な非フッ素含有ガスから堆積され、その後、窒素、次いで水素により連続して処理された(例10a〜10p)、または希釈アンモニアにより処理された(例8b、8d)、またはその後、N2−O2、次いでN2によりされた(例11a、11e)、またはN2−O2により処理された(例11f、12c)プラズマ高分子膜、その全ては、プラズマ洗浄PENに特にうまく働くであろう。PETまたはPEN以外の高分子について、洗浄の程度および経時変化の程度により影響するかもしれないので、結合の直前に存在する高分子の表面エネルギーに応じて、他の表面処理が適しているであろう。高分子シートの表面エネルギーにほぼ一致するガラス担体の表面エネルギーが、有機−TFTタイプの加工(1時間の120℃での真空アニールおよび1分間の150℃のポストベイク工程を含む)後に、高分子シートを容易に剥離できるように、最初の結合および結合の制御の両方においてうまく機能することが分かった。 Some of the surface modification methods described above, including those formed from plasma polymerization of hydrocarbon sources, eg, hydrocarbon gases, are suitable for bonding polymer sheets to glass carriers. For example, plasma polymer films deposited from fluorocarbon gas (Examples 5a and 5g); plasma polymer films deposited from fluorocarbon gas, followed by simultaneous treatment with nitrogen and hydrogen (Example 5m); Plasma polymer films deposited from non-fluorine-containing gases (Examples 6a-6j); Plasma polymer films deposited from various mixtures of hydrocarbons, optionally nitrogen gas, and hydrogen gas (Examples 7a-g) 12j); plasma polymer films (Examples 9a-9j) deposited from various non-fluorine containing gases and then treated with nitrogen, where these surface energies vary in cleanliness and / or aging Useful for the polymer in this state; and deposited from various non-fluorine-containing gases, followed by sequential treatment with nitrogen and then hydrogen (Examples 10a-10p), Others were treated by dilution of ammonia (eg 8b, 8d), or after, N 2 -O 2, and then is by N 2 (example 11a, 11e), or treated with N 2 -O 2 (Example 11f 12c) Plasma polymer films, all of which will work particularly well for plasma cleaning PEN. For polymers other than PET or PEN, other surface treatments are suitable depending on the surface energy of the polymer present immediately before bonding, as it may be affected by the degree of cleaning and the degree of aging. Let's go. After the organic-TFT type processing (including vacuum annealing at 120 ° C. for 1 hour and post-baking step at 150 ° C. for 1 minute), the surface energy of the glass carrier that approximately matches the surface energy of the polymer sheet Has been found to work well in both initial binding and bond control.

その上、以下のように、高分子シートの表面エネルギーの前記範囲内の表面エネルギーを達成して、高分子の薄いシートをガラス担体に結合するために、表面改質層の他の配合を研究した。   In addition, other formulations of the surface modification layer are studied to achieve a surface energy within the above range of the surface energy of the polymer sheet and bond the polymer thin sheet to the glass carrier as follows: did.

ガスの混合物から形成された表面改質層
結合表面の表面エネルギーを調整し、その上を表面ヒドロキシルで覆うおよび/またはその上の極性結合のタイプを制御するためにプラズマ重合膜を使用する一例は、炭化水素(例えば、メタン)を含む、供給ガスの混合物からの表面改質層の薄膜の堆積である。表面改質層の堆積は、大気圧または減圧下で行われてもよく、プラズマ励起、例えば、DCまたはRF平行平板、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)、下流マイクロ波またはRFプラズマにより行われる。プラズマ重合表面改質層は、担体、薄いシート、またはその両方の結合表面上に堆積させてもよい。表3の例について先に述べたように、プラズマ重合は、高度に架橋した材料の層を形成する。反応条件および供給ガスの制御を使用して、表面改質層の膜厚、密度、および官能基を所望の用途に合わせるための化学的性質を制御することができる。被覆される表面ヒドロキシルの量を含む、膜の性質を制御することにより、担体の結合表面の表面エネルギーを調整することができる。表面エネルギーは、薄いシート上に膜または構造体を堆積させるために行われるその後の処理中に薄いシートと担体との間の結合の程度を制御するように、すなわち、永久的な共有結合を防ぐように、調整することができる。
An example of using a plasma polymerized film to adjust the surface energy of a surface modified layer bonded surface formed from a mixture of gases , cover it with surface hydroxyl and / or control the type of polar bond thereon Deposition of a thin film of a surface modification layer from a mixture of feed gases, including hydrocarbons (eg, methane). The deposition of the surface modification layer may be performed under atmospheric pressure or reduced pressure, and plasma excitation such as DC or RF parallel plates, inductively coupled plasma (ICP), electron cyclotron resonance (ECR), downstream microwave or RF Performed by plasma. The plasma polymerized surface modification layer may be deposited on the binding surface of the support, the thin sheet, or both. As described above for the examples in Table 3, plasma polymerization forms a layer of highly crosslinked material. Reaction conditions and feed gas control can be used to control the thickness, density, and chemistry for tailoring the functional groups to the desired application. By controlling the properties of the membrane, including the amount of surface hydroxyl that is coated, the surface energy of the binding surface of the support can be adjusted. The surface energy controls the degree of bonding between the thin sheet and the carrier during subsequent processing performed to deposit a film or structure on the thin sheet, i.e. prevents permanent covalent bonding So that it can be adjusted.

下記の表16の例において、ガラス担体上にプラズマ重合膜を堆積させるために様々な条件を使用した。ガラス担体は、アルミノホウケイ酸塩無アルカリディスプレイ用ガラスである、「Corning」「Eagle XG」(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した)から製造された基板であった。膜の堆積前に、担体は、SC1および/またはSC2化学作用および標準洗浄技法を使用して洗浄した。膜は、三極管電極配置モードのSTS Multiplex PECVD装置(英国、ニューポート所在のSPTS社から入手した)内で堆積させ、ここで、担体をプラテン上に置き、これに、50Wの380kHzのRFエネルギーを印加し、プラテンの上にコイル(シャワーヘッド)を配置し、これに300Wの13.5MHzのRFエネルギーを印加し、プラテンの温度は200℃であり、シャワーヘッドを通るガスの流量は、表16に示されたようなものであった(流量は、標準条件下の毎分立方センチメートル−sccmである)。このように、例えば、例16bに関する表16の「表面改質層の堆積プロセス」の列の表記は、以下のように読める:STS Multiplex PECVD装置において、200℃のプラテン温度で、圧力が300ミリトル(約40Pa)の槽内に、シャワーヘッドを通じて、200sccmのH2、50sccmのCH4、および50sccmのC26を一緒に流した;300Wの13.5MHzのRFエネルギーをシャワーヘッドに印加した;50Wの380kHzのRFエネルギーを、担体が置かれたプラトンに印加した;堆積時間は120秒であった。残りの例に関する表面処理の列の表記は、同様に読むことができる。表面エネルギーは、3つの異なる試験液体(この場合、水(W)、ヘキサデカン(HD)、およびジヨードメタン(DIM))の接触角(CA)およびWuモデルを使用することにより、mJ/m2(平方メートル当たりのミリジュール)で計算した。表面エネルギーについて、極性(P)および分散(D)成分、並びに合計(T)が示されている。これらの例について、オングストロームで表された表面改質層の厚さ「Th(A)」も示されている。 In the example of Table 16 below, various conditions were used to deposit the plasma polymerized film on the glass support. The glass support was a substrate made from “Corning” “Eagle XG” (obtained from Corning Incorporated, Corning, NY), which is an aluminoborosilicate alkali-free display glass. Prior to film deposition, the support was cleaned using SC1 and / or SC2 chemistry and standard cleaning techniques. The membrane was deposited in an STS Multiplex PECVD apparatus in triode electrode placement mode (obtained from SPTS, Newport, UK) where the carrier was placed on the platen, to which 50 W of 380 kHz RF energy was applied. The coil (shower head) was placed on the platen, 300 W of 13.5 MHz RF energy was applied thereto, the platen temperature was 200 ° C., and the gas flow rate through the shower head was as shown in Table 16 (Flow rate is cubic centimeter per minute-sccm under standard conditions). Thus, for example, the notation of the column “Surface Modification Layer Deposition Process” in Table 16 for Example 16b can be read as follows: In a STS Multiplex PECVD apparatus, at a platen temperature of 200 ° C. and a pressure of 300 mTorr 200 sccm H 2 , 50 sccm CH 4 , and 50 sccm C 2 F 6 were flowed together in a (about 40 Pa) bath through a showerhead; 300 W of 13.5 MHz RF energy was applied to the showerhead. 50 W of 380 kHz RF energy was applied to the platen on which the carrier was placed; the deposition time was 120 seconds. The surface treatment column notation for the remaining examples can be read similarly. The surface energy is calculated in mJ / m 2 (square meter) by using the contact angle (CA) and Wu model of three different test liquids (in this case water (W), hexadecane (HD), and diiodomethane (DIM)). Per millijoule). For surface energy, the polar (P) and dispersed (D) components and the total (T) are shown. For these examples, the thickness “Th (A)” of the surface modification layer expressed in angstroms is also shown.

例16aは、SC1化学作用および標準洗浄技法により洗浄された後の「Eagle XG」ガラスの裸のものである。例16eは、洗浄後、そのガラスの表面エネルギーは約77mJ/m2であったことを示す。 Example 16a is “Eagle XG” glass bare after being cleaned by SC1 chemistry and standard cleaning techniques. Example 16e shows that after cleaning, the surface energy of the glass was about 77 mJ / m 2 .

例16aから16dは、表面改質層をガラス表面上に堆積させて、その表面エネルギーを変え、よって、ガラスの表面が特定の結合用途に合わせられることを示す。表16の例は、所望の表面エネルギーおよび極性基を有する表面改質層を堆積させるための、表6および7の例のような、一段階プロセスの例である。   Examples 16a to 16d show that a surface modification layer is deposited on the glass surface to change its surface energy and thus the surface of the glass is tailored for a particular bonding application. The example in Table 16 is an example of a one-step process, such as the examples in Tables 6 and 7, for depositing a surface modified layer having the desired surface energy and polar groups.

例16aは、表面改質層が、水素およびメタン(炭化水素)ガスの混合物から堆積されたプラズマ重合膜であってよいことを示す。これらの例において、表面改質層は、洗浄されたガラス担体に堆積された。したがって、表面改質層の堆積は、表面エネルギーを約77から約49mJ/m2(これは典型的な高分子の結合表面上の表面エネルギーの範囲内にある)に減少させることが示されている。 Example 16a shows that the surface modification layer may be a plasma polymerized film deposited from a mixture of hydrogen and methane (hydrocarbon) gas. In these examples, the surface modification layer was deposited on a cleaned glass support. Thus, deposition of the surface modification layer has been shown to reduce the surface energy from about 77 to about 49 mJ / m 2, which is within the range of surface energy on a typical polymeric binding surface. Yes.

例16bは、表面改質層が、水素、メタン(炭化水素)、およびフッ素含有ガス(例えば、C26、フッ化炭素)の混合物から堆積されたプラズマ重合膜であってよいことを示す。これらの例において、表面改質層は、洗浄されたガラス担体に堆積された。したがって、表面改質層の堆積は、表面エネルギーを約77から約37mJ/m2(典型的な高分子の結合表面上の表面エネルギーの範囲内にほぼある)に減少させることが示されている。例16bにおいて達成された表面エネルギーは、例16aにおいて達成されたものより低く、堆積ガスにフッ素を添加すると、そうでなければ同様の表面改質層の堆積条件により達成される表面エネルギーを低下させられることを示している。 Example 16b shows that the surface modification layer may be a plasma polymerized film deposited from a mixture of hydrogen, methane (hydrocarbon), and fluorine-containing gas (eg, C 2 F 6 , fluorocarbon). . In these examples, the surface modification layer was deposited on a cleaned glass support. Thus, deposition of the surface modification layer has been shown to reduce the surface energy from about 77 to about 37 mJ / m 2 (almost within the range of surface energy on a typical polymeric binding surface). . The surface energy achieved in Example 16b is lower than that achieved in Example 16a, and adding fluorine to the deposition gas reduces the surface energy otherwise achieved by similar surface modification layer deposition conditions. It is shown that.

例16cは、表面改質層が、水素、メタン(炭化水素)、および窒素含有ガス(例えば、N2)の混合物から堆積されたプラズマ重合膜であってよいことを示す。この例において、表面改質層は、洗浄されたガラス担体に堆積された。したがって、表面改質層の堆積は、表面エネルギーを約77から約61mJ/m2(これは、高分子シートの洗浄中などの、O2プラズマ処理された典型的な高分子の結合表面上の表面エネルギーの範囲内にある)に減少させることが示されている。この表面エネルギーは、薄いガラスシートを担体に結合させる適合性範囲内にもある。 Example 16c shows that the surface modification layer can be a plasma polymerized film deposited from a mixture of hydrogen, methane (hydrocarbon), and nitrogen-containing gas (eg, N 2 ). In this example, the surface modification layer was deposited on a cleaned glass support. Thus, the deposition of the surface modification layer results in a surface energy of about 77 to about 61 mJ / m 2 (which is on a typical polymer binding surface treated with O 2 plasma, such as during cleaning of the polymer sheet. It is shown to be within a range of surface energy. This surface energy is also within a compatible range for bonding the thin glass sheet to the carrier.

例16dは、表面改質層が、メタン(炭化水素)、および窒素含有ガス(例えば、NH3)の混合物から堆積されたプラズマ重合膜であってよいことを示す。この例において、表面改質層は、洗浄されたガラス担体に堆積された。したがって、表面改質層の堆積は、表面エネルギーを約77から約57mJ/m2(これは、重ねて、典型的な高分子の結合表面上の表面エネルギーの範囲内にある)に減少させることが示されている。また、ある用途について、これは、担体を薄いガラスシートに結合させるのに適しているであろう。 Example 16d shows that the surface modification layer may be a plasma polymerized film deposited from a mixture of methane (hydrocarbon) and a nitrogen-containing gas (eg, NH 3 ). In this example, the surface modification layer was deposited on a cleaned glass support. Thus, the deposition of the surface modification layer reduces the surface energy to about 77 to about 57 mJ / m 2 (which again falls within the range of surface energy on a typical polymeric binding surface). It is shown. Also, for some applications this may be suitable for bonding the carrier to a thin glass sheet.

例16aにより達成された表面エネルギーと比べた、例16cおよび16dにより達成された表面エネルギーは、堆積ガスに窒素(N2またはNH3いずれかにより)を添加すると、そうでなければ同様の堆積ガスにより達成される表面エネルギーを増加させられることを示す。 The surface energy achieved by Examples 16c and 16d compared to the surface energy achieved by Example 16a is similar to the deposition gas otherwise when nitrogen (either N 2 or NH 3 ) is added to the deposition gas. It can be shown that the surface energy achieved by can be increased.

例16bの表面改質層により得られる表面エネルギーは50mJ/m2未満(ガラスの薄いシートをガラス担体に制御して結合するのに適していると考えられる)であった。しかしながら、この表面改質層は、高分子の結合表面をガラスの結合表面に結合するのに適している。その上、例16cおよび16dの表面改質層(炭化水素(メタン)、必要に応じての水素含有ガス(H2)、および窒素含有ガス(N2またはアンモニア)のプラズマ重合から形成された)により生じた表面エネルギーは、約50mJ/m2より大きく、それゆえ、ある場合には、薄いガラスシートをガラス担体に結合させるのに適合していることがあることに留意すべきである。 The surface energy obtained with the surface modified layer of Example 16b was less than 50 mJ / m 2 (considered to be suitable for controlling and bonding a thin sheet of glass to a glass carrier). However, this surface modification layer is suitable for bonding a polymer bonding surface to a glass bonding surface. In addition, the surface modification layer of Examples 16c and 16d (formed from plasma polymerization of hydrocarbon (methane), optionally hydrogen-containing gas (H 2 ), and nitrogen-containing gas (N 2 or ammonia)) It should be noted that the surface energy generated by is greater than about 50 mJ / m 2 and therefore may be adapted to bond a thin glass sheet to a glass carrier in some cases.

表16の例16aから16dのように上に表面改質層が堆積された担体に結合された薄いシートは、TEONEX(登録商標)Q65 PEN(DuPont社から入手した)から製造され、200マイクロメートルの厚さを有する基板であった。   A thin sheet bonded to a carrier having a surface modified layer deposited thereon as in Examples 16a to 16d of Table 16 was manufactured from TEONEX® Q65 PEN (obtained from DuPont) and was 200 micrometers. The thickness of the substrate was as follows.

表16の例におけるように、表面改質層が上に配置された結合表面はガラスであったが、その必要はない。代わりに、結合表面は、ガラスと同様の表面エネルギーおよび性質を有する別の適切な材料、例えば、シリコン、ポリシリコン、単結晶シリコン、セラミック、ガラスセラミック、サファイア、または石英であってよい。   As in the example of Table 16, the bonding surface with the surface modification layer disposed thereon was glass, but this is not necessary. Alternatively, the bonding surface may be another suitable material having surface energy and properties similar to glass, such as silicon, polysilicon, single crystal silicon, ceramic, glass ceramic, sapphire, or quartz.

プラズマ重合炭化水素高分子膜は、三極管モードのSTS Multiplex CVD内で、随意的なフッ化炭素(例16b)、随意的な窒素(例16c)、または随意的なアンモニア(例16d)を添加して、メタンおよび水素(例16a)から堆積させてもよい。フッ化炭素、または窒素の添加により、37mJ/m2(例16b)ほど低い表面エネルギー、およびより高い表面エネルギー(約61mJ/m2、例16c)を達成できる。例16bおよび16cのレベル間の表面エネルギー(すなわち、例16aにおける約49mJ/m2、および例16dにおける約57mJ/m2)も達成することができ、それゆえ、堆積ガスを含む堆積条件に基づいて、表面改質層の表面エネルギーを調整する能力が示される。 The plasma polymerized hydrocarbon polymer film is added with optional fluorocarbon (Example 16b), optional nitrogen (Example 16c), or optional ammonia (Example 16d) in the STS Multiplex CVD in triode mode. May be deposited from methane and hydrogen (Example 16a). With the addition of fluorocarbons or nitrogen, surface energy as low as 37 mJ / m 2 (Example 16b) and higher surface energy (about 61 mJ / m 2 , Example 16c) can be achieved. Examples 16b and 16c the surface energy between the level of (i.e., about 57mJ / m 2 at about 49mJ / m 2, and Example 16d in Example 16a) can also be achieved, therefore, based on the deposition conditions, including deposition gas Thus, the ability to adjust the surface energy of the surface modified layer is demonstrated.

反例として、高分子膜を、SC1洗浄された裸のガラス担体(例16e)上に堆積させた。しかしながら、高分子シートは、高分子シート上に構造体を加工できるほど十分に担体にくっつかなかった。   As a counter example, a polymer film was deposited on a SC1 cleaned bare glass support (Example 16e). However, the polymer sheet did not stick to the carrier enough to process the structure on the polymer sheet.

有機−TFT加工に適するには、湿潤および結合強度以上のことが求められる。高分子膜と担体との間の非常に異なる熱膨張は、膨張差を最小にするように高膨張ガラスを選択することにより、また加熱および冷却工程の速度を減少させることにより、もっともうまく管理される。加工中の吸水が最小の、滑らかかつ清浄な基板表面の必要性は、適切な有機誘電体の薄層をスピニング加工し、硬化させることによって達成されるであろう。この両方により、表面が平坦化され、水分および他の汚染物質のバリアが形成される。   In order to be suitable for organic-TFT processing, it is required to be higher than the wet and bond strength. The very different thermal expansion between the polymer membrane and the support is best managed by choosing a high expansion glass to minimize the difference in expansion and by reducing the rate of heating and cooling processes. The The need for a smooth and clean substrate surface with minimal water absorption during processing would be achieved by spinning and curing a thin layer of a suitable organic dielectric. Both of these flatten the surface and form a moisture and other contaminant barrier.

表面改質層プロセスを使用して、PEN(DuPont社からの「TEONEX」Q65の200マイクロメートル厚シート)を「Corning」「Eagle XG」ガラス担体に結合させた。以下の条件で堆積させたアモルファス炭素層に、非常に良好な結合性能が見られた:シャワーヘッドに50sccmのCH4、200sccmのH2、300Wの13.56MHzのRFエネルギー、200℃のプラテンへの50Wの380kHzのRFエネルギーおよび2分間の堆積時間。結合前に、PENを5分間に亘りUV−オゾンクリーナに曝露した。何故ならば、このことが、接着を改善することが分かったからである。テフロン(登録商標)スクイージーを使用して、PENを塗布した。約150nm厚の脂環式エポキシ層をPEN上でスピニングし、硬化させて、表面欠陥を平坦化させた。有機ゲート絶縁体(OGI)は、光パターン形成可能な(photopatternable)脂環式エポキシであった。 A surface modified layer process was used to bond PEN ("TEONEX" Q65 200 micrometer thick sheet from DuPont) to a "Corning""EagleXG" glass support. Very good bonding performance was observed for the amorphous carbon layer deposited under the following conditions: 50 sccm CH 4 , 200 sccm H 2 , 300 W 13.56 MHz RF energy, 200 ° C. platen on the showerhead. 50 W of 380 kHz RF energy and a deposition time of 2 minutes. Prior to bonding, PEN was exposed to a UV-ozone cleaner for 5 minutes. Because this has been found to improve adhesion. PEN was applied using a Teflon (R) squeegee. An approximately 150 nm thick alicyclic epoxy layer was spun on PEN and cured to planarize surface defects. The organic gate insulator (OGI) was a photopatternable alicyclic epoxy.

ボトムゲート型のボトムコンタクト型有機薄膜トランジスタのアレイを以下のプロセスにより形成した。100nmのAlゲート金属をAJA内でのスパッタリングにより堆積させ、Fuji 6512レジストでリソグラフィーによりパターン形成し、A型のAlエッチング液内のウェットエッチングによりゲートにパターンを形成した。室温のPGMEA浴内において、3分間でフォトレジストを除去し、その後、IPA/DIで濯いだ(NMP系剥離剤は、エポキシ層には不適合であった)。第2のエポキシゲート絶縁体層を、パターン形成されたゲート上にスピニングし、硬化させた。100nm厚のAg S/D金属をスパッタリングし、Fuji 6512でリソグラフィーによりパターン形成し、Transene TFS:pH10の緩衝液の1:1混合物でエッチングした。Agのエッチング速度は速いが、エッチング生成物の溶解は遅いので、エッチングは難しかった。5秒間のエッチング、脱イオン水の噴霧によるエッチング生成物の除去、およびその4から5回の繰り返しにより、非常に良好な結果が得られた。テトラチエノアセン−DPPコポリマー(PTDPPTFT4)有機半導体(OSC)層の湿潤が難しかった。OSC接着は、120℃でのYESオーブン内でのHMDS処理により促進した。OSC高分子は、5mg/mLの濃度での6部のデカリン:4部のトルエン中に溶けた。OSCは、手動分配、20秒間の休止、30秒間の500rpm、60秒間の1000rpmにより、Laurelスピナー内のスピニングにより施した。OSC膜は、ホットプレート上で2分間に亘り90℃でソフトベイクを行い、低真空下のSalvisオーブン内で1時間に亘り120℃で真空アニールして、残留デカリンを除去した。付着力を改善するためにBranson内で手短な5秒のO2プラズマを使用して、第3のOGI層をOSC上にスピニングし、2.5秒の曝露、1分の休止、および1分の150℃のポストベイクにより直接、光パターン形成した。1分の休止後、1分間に亘りPGMEA中においてアクティブパターンをトレイ現像し、その後、IPAおよびDI濯ぎを行った。15秒に亘り、30sccmのO2、10sccmのAr、20sccmのCHF3、50ミリトル(約6.7Pa)、200Wを使用したUnaxis 790 RIE内でのドライエッチングを使用して、アクティブパターンを形成し、ゲート金属を露出した。75/75μmのTFTの性能が、図18に示された表に纏められている。この表は、上述したガラス担体に制御可能に結合したPEN上に製造されたボトムゲート型でボトムコンタクト型の有機薄膜トランジスタである、75マイクロメートルのチャンネル幅および75マイクロメートルのチャンネル長の典型的なトランジスタに関するドレイン電流対ゲート電流および性能を示している。カミソリの刃を使用して、亀裂を形成し、次いで、剥がすことによって、PENは容易に剥離した。高分子シート上のOTFTと、それを製造するために使用したマスク上のOTFTとの間のトランジスタの配置における顕著な相違が見られなかったので、高分子シートは、上述した加工後でさえ、担体からうまく除去された。 An array of bottom gate type bottom contact type organic thin film transistors was formed by the following process. A 100 nm Al gate metal was deposited by sputtering in AJA, patterned by lithography with Fuji 6512 resist, and a pattern was formed on the gate by wet etching in an A-type Al etchant. The photoresist was removed in 3 minutes in a room temperature PGMEA bath and then rinsed with IPA / DI (NMP release agent was incompatible with epoxy layer). A second epoxy gate insulator layer was spun on the patterned gate and cured. A 100 nm thick Ag S / D metal was sputtered, lithographically patterned with Fuji 6512, and etched with a 1: 1 mixture of Transene TFS: pH 10 buffer. Although the etching rate of Ag is fast, the etching product is slow to dissolve, so that the etching is difficult. Very good results were obtained after 5 seconds of etching, removal of etching products by spraying with deionized water, and 4 to 5 repetitions thereof. It was difficult to wet the tetrathienoacene-DPP copolymer (PTDPPPFT4) organic semiconductor (OSC) layer. OSC adhesion was promoted by HMDS treatment in a YES oven at 120 ° C. The OSC polymer was dissolved in 6 parts decalin: 4 parts toluene at a concentration of 5 mg / mL. The OSC was applied by spinning in a Laurel spinner with manual dispensing, 20 seconds rest, 30 seconds 500 rpm, 60 seconds 1000 rpm. The OSC film was soft baked at 90 ° C. for 2 minutes on a hot plate and vacuum annealed at 120 ° C. for 1 hour in a low vacuum Salvis oven to remove residual decalin. Using a brief 5 second O 2 plasma in Branson to improve adhesion, the third OGI layer was spun onto the OSC, exposed for 2.5 seconds, 1 minute rest, and 1 minute An optical pattern was directly formed by post-baking at 150 ° C. After a 1 minute rest, the active pattern was tray developed in PGMEA for 1 minute, followed by IPA and DI rinses. Using 15 sccm O 2 , 10 sccm Ar, 20 sccm CHF 3 , dry etching in Unaxis 790 RIE using 200 milliwatts (200 Pa) for 15 seconds to form the active pattern The gate metal was exposed. The performance of 75/75 μm TFTs is summarized in the table shown in FIG. This table shows a typical bottom gate, bottom contact organic thin film transistor fabricated on PEN controllably bonded to the glass carrier described above, with a typical channel width of 75 micrometers and channel length of 75 micrometers. Figure 2 shows drain current versus gate current and performance for a transistor. The PEN was easily peeled off by forming a crack using a razor blade and then peeling off. Since there was no noticeable difference in transistor placement between the OTFT on the polymer sheet and the OTFT on the mask used to make it, the polymer sheet was even after processing as described above. It was successfully removed from the carrier.

ボトムゲート型でボトムコンタクト型の有機薄膜トランジスタのアレイを形成する上述したプロセスを、ここに記載したものから選択した適切な表面改質層で、「Corning」Gorilla(登録商標)Glass(ニューヨーク州、コーニング所在のCorning Incorporated社から入手した、アルカリ含有の化学強化可能なカバーガラス)から製造した担体に制御可能に結合したPENシート(DuPont社からの「TEONEX」Q65の200マイクロメートル厚のシート)にもうまく行えた。   The above-described process for forming an array of bottom-gate and bottom-contact organic thin film transistors can be performed with a suitable surface modification layer selected from those described herein with “Corning” Gorilla® Glass (Corning, NY). PEN sheet ("TEONEX" Q65 200-micrometer sheet from DuPont) that is controllably bonded to a carrier made from Corning Incorporated, Inc. I did it well.

上述したように、高分子自体が、その上に他のデバイスを製造できる基板であってもよい。あるいは、高分子は、複合材基板、例えば、ガラス/高分子複合体上の高分子表面であってもよい。この場合、ガラス/高分子複合体の高分子表面は、担体に面し、上述したようにそこに結合されるであろう。一方で、ガラス/高分子複合体のガラス表面は、電子または他の構造体をその上に製造できる表面として露出されるであろう。ガラス/高分子複合体のガラス表面上に電子または他の構造体を製造した後、その複合体の高分子表面を、担体上の表面改質層から剥がしてもよい。この実施の形態は、ガラス/高分子複合体におけるガラス層が特に薄く、例えば、厚さが50マイクロメートル以下、40マイクロメートル以下、30マイクロメートル以下、20マイクロメートル以下、10マイクロメートル以下、または5マイクロメートル以下となるときに、都合よいであろう。そのような場合、ガラス/高分子複合体の高分子部分は、その複合体を担体に取り付けるための結合表面として働くだけでなく、複合体が担体上にない場合に、複合体にいくつかの取扱いの利点を与えるであろう。   As described above, the polymer itself may be a substrate on which other devices can be manufactured. Alternatively, the polymer may be a polymer surface on a composite substrate, such as a glass / polymer composite. In this case, the polymer surface of the glass / polymer composite will face the carrier and be bonded thereto as described above. On the other hand, the glass surface of the glass / polymer composite will be exposed as a surface on which electrons or other structures can be fabricated. After the electrons or other structures are produced on the glass surface of the glass / polymer composite, the polymer surface of the composite may be peeled from the surface modification layer on the support. In this embodiment, the glass layer in the glass / polymer composite is particularly thin, for example, the thickness is 50 micrometers or less, 40 micrometers or less, 30 micrometers or less, 20 micrometers or less, 10 micrometers or less, or It may be convenient when it is below 5 micrometers. In such a case, the polymer portion of the glass / polymer composite serves not only as a binding surface for attaching the composite to the support, but also to the composite when the composite is not on the support. It will give handling advantages.

結論
本発明の上述した実施の形態、特に、いずれの「好ましい」実施の形態も、単なる実施の可能な例であり、本発明の様々な原理の明白な理解を単に述べていることが強調されるべきである。本発明の精神および様々な原理から実質的に逸脱せずに、本発明の上述した実施の形態に、多くの変更および改変を行ってよい。そのような改変および変更の全ては、本開示および本発明の範囲内に含まれ、以下の特許請求の範囲により保護されることが意図されている。
CONCLUSION It is emphasized that the above-described embodiments of the present invention, and in particular any "preferred" embodiment, are merely possible examples of implementation and merely provide a clear understanding of the various principles of the invention. Should be. Many changes and modifications may be made to the above-described embodiments of the invention without departing substantially from the spirit and various principles of the invention. All such modifications and changes are intended to be included herein within the scope of this disclosure and the present invention and protected by the following claims.

例えば、多くの実施の形態の表面改質層30が、担体10上に形成されていると示され、論じられているが、代わりに、または加えて、薄いシート20上に形成されてもよい。すなわち、必要に応じて、表3〜12および16の例に述べられたような材料を、担体10、薄いシート20、または互いに結合される面の担体10と薄いシート20の両方に施されてもよい。   For example, although the surface modification layer 30 of many embodiments is shown and discussed as being formed on the carrier 10, it may alternatively or additionally be formed on the thin sheet 20. . That is, as required, materials such as those described in Tables 3-12 and 16 are applied to the carrier 10, the thin sheet 20, or both the carrier 10 and the thin sheet 20 on the side to be bonded together. Also good.

さらに、いくつかの表面改質層30が、400℃または600℃の温度で物品2を加工した後でさえも、薄いシート20を担体10から取り外せるように結合強度を制御すると記載したが、もちろん、物品2を、その物品が合格した特定の試験の温度より低い温度で加工し、それでも、薄いシート20または担体10のいずれも損傷せずに、薄いシート20を担体10から取り外す同じ能力を達成することも可能である。   Further, although some surface modification layers 30 have been described as controlling the bond strength such that the thin sheet 20 can be removed from the carrier 10 even after processing the article 2 at a temperature of 400 ° C. or 600 ° C., of course, The article 2 is processed at a temperature below the temperature of the specific test that the article passed and still achieves the same ability to remove the thin sheet 20 from the carrier 10 without damaging either the thin sheet 20 or the carrier 10 It is also possible to do.

さらにまた、制御された結合の概念が、担体および薄いシートに使用されると、ここに記載してきたが、特定の状況において、それらの概念は、シート(またはそれらの部分)を互いから引き離すことが望ましいことがある、ガラス、セラミック、またはガラスセラミックのより厚いシート間の結合を制御することにも適用できる。   Furthermore, although the concept of controlled bonding has been described herein as used for the carrier and thin sheet, in certain situations, the concept may cause the sheets (or portions thereof) to be separated from each other. It can also be applied to control the bond between thicker sheets of glass, ceramic, or glass ceramic, which may be desirable.

またさらに、ここでの制御された結合の概念は、ガラス担体およびガラスの薄いシートに有用であると記載してきたが、担体は、他の材料、例えば、セラミック、ガラスセラミック、または金属から製造されてもよい。同様に、担体に制御可能に結合されたシートは、他の材料、例えば、セラミックまたはガラスセラミックから製造されてもよい。   Still further, although the concept of controlled bonding herein has been described as useful for glass carriers and thin sheets of glass, the carriers can be made from other materials, such as ceramics, glass ceramics, or metals. May be. Similarly, the sheet controllably coupled to the carrier may be made from other materials, such as ceramic or glass ceramic.

さらにまた、先の例3および3〜12の表面改質層は、プラズマ重合により形成されていると記載したが、他の技法、例えば、熱蒸発、スパッタリング、結合表面と反応するガス種のUV活性化、または湿式化学によっても可能なこともある。   Furthermore, although the surface modification layers of Examples 3 and 3-12 above have been described as being formed by plasma polymerization, other techniques such as thermal evaporation, sputtering, UV of gas species that react with the bonding surface, etc. It may also be possible by activation or wet chemistry.

さらにまた、例6〜12のプラズマ重合により形成された炭素質表面改質層は、高分子形成ガスとしてメタンを使用して形成されたが、他の炭素含有供給材料も可能なことがある。例えば、炭素含有源は、1)炭化水素(アルカン、アルケン、アルキンまたは芳香族化合物。アルカンとしては、以下に限られないが、メタン、エタン、プロパンおよびブタンが挙げられ;アルケンとしては、以下に限られないが、エチレン、プロピレンおよびブチレンが挙げられ;アルキンとしては、以下に限られないが、アセチレン、メチルアセチレン、エチルアセチレンおよびジメチルアセチレンが挙げられ;芳香族化合物としては、以下に限られないが、ベンゼン、トルエン、キシレン、エチルベンゼンが挙げられる);2)アルコール(メタノール、エタノール、プロパノールを含む);3)アルデヒドまたはケトン(ホルムアルデヒド、アセトアルデヒドおよびアセトンを含む);4)アミン(メチルアミン、ジメチルアミン、トリメチルアミンおよびエチルアミンを含む);5)有機酸(ギ酸および酢酸を含む);6)ニトリル(アセトニトリルを含む);7)CO;および8)CO2:の内の少なくとも1つを含み得る。あるいは、炭素含有源は、以下の1つ以上を含み得る:1)飽和または不飽和炭化水素、もしくは2)窒素含有または3)酸素含有飽和または不飽和炭化水素、もしくは4)COまたはCO2。いくつかの一般に典型的な炭素含有供給材料としては、炭素含有ガス、例えば、メタン、エタン、プロパン、ブタン、エチレン、プロピレン、プロピン、アセチレン、MAPP、COおよびCO2が挙げられる。 Furthermore, although the carbonaceous surface modification layers formed by plasma polymerization of Examples 6-12 were formed using methane as the polymer forming gas, other carbon-containing feedstocks may be possible. For example, the carbon-containing source is 1) a hydrocarbon (alkane, alkene, alkyne or aromatic compound. Alkanes include, but are not limited to, methane, ethane, propane and butane; Examples include, but are not limited to, ethylene, propylene, and butylene; alkynes include, but are not limited to, acetylene, methylacetylene, ethylacetylene, and dimethylacetylene; aromatic compounds are not limited to Benzene, toluene, xylene, ethylbenzene); 2) alcohol (including methanol, ethanol, propanol); 3) aldehyde or ketone (including formaldehyde, acetaldehyde and acetone); 4) amine (methylamine, dimethyl) Amines, Including trimethylamine and ethylamine); including 6) nitrile (acetonitrile); 5) organic acids (formic acid and acetic acid) 7) CO; and 8) CO 2: may include at least one of. Alternatively, the carbon-containing source can include one or more of the following: 1) saturated or unsaturated hydrocarbons, or 2) nitrogen-containing or 3) oxygen-containing saturated or unsaturated hydrocarbons, or 4) CO or CO 2 . Exemplary carbon-containing feed material into some general, carbon-containing gas, such as methane, ethane, propane, butane, ethylene, propylene, propyne, acetylene, MAPP, CO and CO 2 and the like.

さらにまた、表面改質層を処理し、それによって、例5および8〜12の例におけるように表面エネルギーを増加させるために使用された、または例7、16c、16dにおけるように表面改質層自体の形成に使用される極性基が窒素および酸素であったが、他の極性基、例えば、硫黄および/またはリンも可能なことがある。   Furthermore, the surface modified layer was used to treat and thereby increase the surface energy as in Examples 5 and 8-12 or as in Examples 7, 16c, 16d The polar groups used to form itself were nitrogen and oxygen, but other polar groups such as sulfur and / or phosphorus may be possible.

その上、N2およびNH3が窒素含有ガスとして使用されたが、他の窒素含有材料、例えば、ヒドラジン、N2O、NO、N24、メチルアミン、ジメチルアミン、トリメチルアミンおよびエチルアミン、アセトニトリルが、場合により使用されることがある。 In addition, N 2 and NH 3 were used as nitrogen-containing gases, but other nitrogen-containing materials such as hydrazine, N 2 O, NO, N 2 O 4 , methylamine, dimethylamine, trimethylamine and ethylamine, acetonitrile Is sometimes used.

また、使用した酸素含有ガスはN2−O2およびO2であったが、他の酸素含有ガス、例えば、O3、H2O、メタノール、エタノール、プロパノール、N2O、NO、およびN24を使用することも可能なことがある。 The oxygen-containing gases used were N 2 —O 2 and O 2 , but other oxygen-containing gases such as O 3 , H 2 O, methanol, ethanol, propanol, N 2 O, NO, and N It may be possible to use 2 O 4 .

ここに論じた例から分かるように、後で処理されたものを含む、表面改質層は、約1nm(例16b)または2nm(例3、4)から約10nm(例12c、8.8nm)の厚さを達成できる。その上、図15に関して説明したように、より厚い表面改質層も可能である。しかしながら、厚さが約70nmを超えるようになると、表面改質層は不透明になり始め、このことは、光学的透明度から恩恵を受ける用途にとって望ましくないであろう。   As can be seen from the examples discussed herein, surface modified layers, including those subsequently processed, can be from about 1 nm (Example 16b) or 2 nm (Examples 3 and 4) to about 10 nm (Example 12c, 8.8 nm). Can be achieved. Moreover, thicker surface modification layers are possible as described with respect to FIG. However, as the thickness exceeds about 70 nm, the surface modification layer begins to become opaque, which may not be desirable for applications that benefit from optical clarity.

本願による様々な上述した概念は、任意のおよび全ての異なる様式の組合せで、互いと組み合わされてもよい。一例として、様々な概念を、以下の態様にしたがって組み合わせてもよい。   The various above-described concepts according to the present application may be combined with each other in any and all different ways of combination. As an example, various concepts may be combined according to the following aspects.

第1の態様によれば、薄いシートを担体に制御可能に結合する方法であって、
薄いシート結合表面を有する薄いシートを得る工程、
担体結合表面を有する担体を得る工程、
薄いシート結合表面および担体結合表面の少なくとも一方の上に、薄いシート結合表面および担体結合表面のその一方の上の第1の表面エネルギーを得るように表面改質層を堆積させる工程、
第1の表面エネルギーを、第1の表面エネルギーよりも大きい第2の表面エネルギーに変えるように、表面改質層を処理する工程、および
表面改質層を介して薄いシート結合表面を担体結合表面に結合させる工程、
を有してなる方法が提供される。
According to a first aspect, a method for controllably bonding a thin sheet to a carrier comprising:
Obtaining a thin sheet having a thin sheet bonding surface;
Obtaining a carrier having a carrier binding surface;
Depositing a surface modification layer on at least one of the thin sheet binding surface and the carrier binding surface to obtain a first surface energy on one of the thin sheet binding surface and the carrier binding surface;
Treating the surface modified layer to change the first surface energy to a second surface energy greater than the first surface energy, and the thin sheet bonded surface through the surface modified layer to the carrier bonded surface Bonding to
Is provided.

第2の態様によれば、前記表面改質層が、
層を形成するための炭素含有ガスのプラズマ重合であって、その後、窒素含有ガスによる層の処理が行われる、プラズマ重合、
層を形成するための炭素含有ガスのプラズマ重合であって、その後、窒素含有ガスおよび水素含有ガスの一方である第1のガスと、次いで前記窒素含有ガスおよび前記水素含有ガスの他方である第2のガスによる層の連続処理が行われる、プラズマ重合、
層を形成するための炭素含有ガスのプラズマ重合であって、その後、酸素含有ガスによる層の処理が行われる、プラズマ重合、
層を形成するための炭素含有ガスのプラズマ重合であって、その後、窒素および酸素含有ガスによる層の処理が行われる、プラズマ重合、および
層を形成するための炭素含有ガスのプラズマ重合であって、その後、窒素および酸素含有ガスである第1のガスと、次いで、窒素含有ガスである第2のガスによる層の連続処理が行われる、プラズマ重合、
の内の1つによって堆積され、処理される、態様1の方法が提供される。
According to the second aspect, the surface modification layer comprises:
Plasma polymerization of a carbon-containing gas to form a layer, followed by treatment of the layer with a nitrogen-containing gas, plasma polymerization,
Plasma polymerization of a carbon-containing gas to form a layer, followed by a first gas that is one of a nitrogen-containing gas and a hydrogen-containing gas, and then a second gas that is the other of the nitrogen-containing gas and the hydrogen-containing gas. Plasma polymerization, in which a continuous treatment of the layer with two gases is performed,
Plasma polymerization of a carbon-containing gas to form a layer, followed by treatment of the layer with an oxygen-containing gas, plasma polymerization,
Plasma polymerization of a carbon-containing gas to form a layer, followed by treatment of the layer with nitrogen and oxygen-containing gas, and plasma polymerization of the carbon-containing gas to form a layer, Plasma polymerisation, followed by continuous treatment of the layer with a first gas that is a nitrogen and oxygen containing gas and then a second gas that is a nitrogen containing gas,
The method of aspect 1 is provided, wherein the method is deposited and processed by one of the following:

第3の態様によれば、前記炭素含有ガスが、炭化水素、アルカン、アルケン、アルキン、または芳香族化合物の少なくとも1つを含む、態様2の方法が提供される。   According to a third aspect, there is provided the method of aspect 2, wherein the carbon-containing gas comprises at least one of a hydrocarbon, alkane, alkene, alkyne, or aromatic compound.

第4の態様によれば、前記炭素含有ガスが、メタン、エタン、プロパン、ブタン、エチレン、プロピレン、プロピン、アセチレン、CO、およびCO2の少なくとも1つを含む、態様3の方法が提供される。 According to a fourth aspect, the carbon-containing gas, methane, ethane, propane, butane, ethylene, propylene, propyne, acetylene, CO, and of CO 2 comprising at least one method of embodiment 3 is provided .

第5の態様によれば、水素含有ガスが使用される場合、その水素含有ガスはH2を含み、窒素含有ガスが使用される場合、その窒素含有ガスは、アンモニア、N2、ヒドラジン、N2O、NO、N24、メチルアミン、ジメチルアミン、トリメチルアミン、エチルアミン、およびアセトニトリルの少なくとも1つを含む、態様2〜4のいずれか1つの方法が提供される。 According to the fifth aspect, when a hydrogen-containing gas is used, the hydrogen-containing gas contains H 2 , and when a nitrogen-containing gas is used, the nitrogen-containing gas is ammonia, N 2 , hydrazine, N A method according to any one of aspects 2 to 4, comprising at least one of 2 O, NO, N 2 O 4 , methylamine, dimethylamine, trimethylamine, ethylamine, and acetonitrile is provided.

第6の態様によれば、水素含有ガスが使用される場合、その水素含有ガスはH2を含み、酸素含有ガスが使用される場合、その酸素含有ガスは、O2、O3、H2O、メタノール、エタノール、プロパノール、N2O、NO、およびN24の少なくとも1つを含む、態様2〜5のいずれか1つの方法が提供される。 According to the sixth aspect, when a hydrogen-containing gas is used, the hydrogen-containing gas contains H 2 , and when an oxygen-containing gas is used, the oxygen-containing gas is O 2 , O 3 , H 2. A method according to any one of embodiments 2-5, comprising at least one of O, methanol, ethanol, propanol, N 2 O, NO, and N 2 O 4 is provided.

第7の態様によれば、前記プラズマ重合中に、前記炭素含有ガスと共に水素を流す工程をさらに有する、態様2〜6のいずれか1つの方法が提供される。   According to a seventh aspect, there is provided the method according to any one of aspects 2 to 6, further comprising a step of flowing hydrogen together with the carbon-containing gas during the plasma polymerization.

第8の態様によれば、前記炭素含有ガスがフッ化炭素である、態様2、3、5〜7のいずれか1つの方法が提供される。   According to an eighth aspect, there is provided the method according to any one of aspects 2, 3, and 5 to 7, wherein the carbon-containing gas is fluorocarbon.

第9の態様によれば、前記表面改質層が高分子形成ガスおよび第2のガスの混合物から堆積され、その第2のガスが全混合物の30%以上である、態様2〜8のいずれか1つの方法が提供される。   According to a ninth aspect, any one of aspects 2-8, wherein the surface modified layer is deposited from a mixture of a polymer-forming gas and a second gas, the second gas being 30% or more of the total mixture. One method is provided.

第10の態様によれば、前記第2のガスが水素である、態様9の方法が提供される。   According to a tenth aspect, there is provided the method of aspect 9, wherein the second gas is hydrogen.

第11の態様によれば、前記第2のガスが不活性ガスを含む、態様9の方法が提供される。   According to an eleventh aspect, there is provided the method of aspect 9, wherein the second gas comprises an inert gas.

第12の態様によれば、前記薄いシート結合表面がガラスを含む、態様1〜11のいずれか1つの方法が提供される。   According to a twelfth aspect, there is provided the method of any one of aspects 1-11, wherein the thin sheet bonded surface comprises glass.

第13の態様によれば、前記担体結合表面がガラスを含む、態様1〜12のいずれか1つの方法が提供される。   According to a thirteenth aspect, there is provided the method of any one of aspects 1-12, wherein the carrier binding surface comprises glass.

第14の態様によれば、前記薄いシート結合表面および前記担体結合表面の前記少なくとも一方が、前記表面改質層の堆積前に、1nm以下の平均表面粗さRaを有する、態様13の方法が提供される。   According to a fourteenth aspect, in the method of aspect 13, wherein the at least one of the thin sheet binding surface and the carrier binding surface has an average surface roughness Ra of 1 nm or less prior to the deposition of the surface modified layer. Provided.

第15の態様によれば、前記薄いシート結合表面および前記担体結合表面の前記少なくとも一方が、前記表面改質層の堆積およびO2プラズマによるその後の除去後に、1nm以下の平均表面粗さRaを有する、態様13または態様14の方法が提供される。 According to the fifteenth aspect, at least one of the thin sheet bonding surface and the carrier bonding surface has an average surface roughness Ra of 1 nm or less after deposition of the surface modification layer and subsequent removal by O 2 plasma. A method according to aspect 13 or aspect 14 is provided.

第16の態様によれば、前記担体結合表面が、前記表面改質層の堆積前に、第1の平均表面粗さRa1を有し、前記担体が、前記表面改質層がその上に堆積され、O2プラズマ洗浄によりその後除去された後に、第2の表面粗さRa2を有し、Ra1とRa2との間の差が1nm以下であり、平均表面粗さの測定が5×5マイクロメートルの面積に亘り行われる、態様13または態様14の方法が提供される。 According to the sixteenth aspect, the carrier binding surface has a first average surface roughness Ra1 before deposition of the surface modified layer, and the carrier deposits the surface modified layer thereon. And having a second surface roughness Ra2, after which it is removed by O 2 plasma cleaning, the difference between Ra1 and Ra2 is less than 1 nm, and the average surface roughness measurement is 5 × 5 micrometers A method of aspect 13 or aspect 14 is provided that is carried out over an area of.

第17の態様によれば、前記薄いシートの厚さが300マイクロメートル以下である、態様1〜16のいずれか1つの方法が提供される。   According to a seventeenth aspect, there is provided the method of any one of aspects 1-16, wherein the thickness of the thin sheet is 300 micrometers or less.

第18の態様によれば、前記表面改質層の厚さが1から70nmである、態様1〜17のいずれか1つの方法が提供される。   According to an eighteenth aspect, there is provided the method according to any one of aspects 1 to 17, wherein the thickness of the surface modification layer is 1 to 70 nm.

第19の態様によれば、前記表面改質層の厚さが2から10nmである、態様1〜17のいずれか1つの方法が提供される。   According to a nineteenth aspect, there is provided the method according to any one of aspects 1 to 17, wherein the thickness of the surface modification layer is 2 to 10 nm.

第20の態様によれば、前記薄いシート結合表面および前記担体結合表面の前記少なくとも一方がガラスを含み、前記表面改質層およびその処理により、前記結合表面上に41から80mJ/m2の第2の表面エネルギーが達成される、態様1〜19のいずれか1つの方法が提供される。 According to a twentieth aspect, at least one of the thin sheet bonding surface and the carrier bonding surface includes glass, and the surface modification layer and its treatment cause the first to 41 m to 80 mJ / m 2 on the bonding surface. A method according to any one of aspects 1-19 is provided wherein a surface energy of 2 is achieved.

態様Aによれば、ガラス物品において、
担体結合表面を有する担体と、
その担体結合表面上に配置された表面改質層であって、担体結合表面が、ガラスシート結合表面に、それらの間の表面改質層により結合されたときに、槽内で毎分9.2℃の速度で室温から600℃まで加熱され、10分間に亘り600℃の温度に保持され、次いで、毎分1℃で300℃まで冷却される、温度サイクルに物品を施し、次いで、槽から物品を取り出し、物品を室温まで冷ました後、一方が保持され、他方が重力に曝された場合、担体およびシートが互いから分離せず、温度サイクル中に表面改質層からガス放出がなく、担体およびシートの薄い方が2片以上に割れずに、シートを担体から分離できるように、構成されている、表面改質層と、
を備えたガラス物品が提供される。
According to aspect A, in a glass article,
A carrier having a carrier binding surface;
A surface modification layer disposed on the carrier binding surface, wherein the carrier binding surface is bonded to the glass sheet bonding surface by a surface modification layer therebetween, in a bath of 9. The article is subjected to a temperature cycle that is heated from room temperature to 600 ° C. at a rate of 2 ° C., held at a temperature of 600 ° C. for 10 minutes, then cooled to 300 ° C. at 1 ° C. per minute, and then from the bath After removing the article and allowing the article to cool to room temperature, if one is held and the other is exposed to gravity, the carrier and sheet will not separate from each other, and there will be no outgassing from the surface modification layer during the temperature cycle, A surface modification layer configured such that the thinner of the carrier and the sheet can be separated from the carrier without breaking into two or more pieces;
A glass article is provided.

態様Bによれば、ガラス物品において、
担体結合表面を有する担体と、
シート結合表面を有するシートと、
担体結合表面およびシート結合表面の一方の上に配置された表面改質層と、
を備え、
担体結合表面が、シート結合表面に、それらの間の表面改質層により結合されており、シートを担体に結合する表面エネルギーが、槽内で毎分9.2℃の速度で室温から600℃まで加熱され、10分間に亘り600℃の温度に保持され、次いで、毎分1℃で300℃まで冷却される、温度サイクルに物品を施し、次いで、槽から物品を取り出し、物品を室温まで冷ました後、一方が保持され、他方が重力に曝された場合、担体およびシートが互いから分離せず、温度サイクル中に表面改質層からガス放出がなく、担体およびシートの薄い方が2片以上に割れずに、シートを担体から分離できるような特徴のものである、ガラス物品が提供される。
According to aspect B, in a glass article,
A carrier having a carrier binding surface;
A sheet having a sheet binding surface;
A surface modification layer disposed on one of the carrier binding surface and the sheet binding surface;
With
The carrier binding surface is bonded to the sheet bonding surface by a surface modification layer therebetween, and the surface energy for bonding the sheet to the carrier is from room temperature to 600 ° C. at a rate of 9.2 ° C. per minute in the bath. The article is subjected to a temperature cycle that is held at a temperature of 600 ° C. for 10 minutes and then cooled to 300 ° C. at 1 ° C. per minute, then the article is removed from the bath and the article is allowed to cool to room temperature. After that, when one is held and the other is exposed to gravity, the carrier and sheet do not separate from each other, there is no outgassing from the surface modification layer during the temperature cycle, and the thinner carrier and sheet are two pieces. There is provided a glass article characterized by the ability to separate the sheet from the carrier without cracking.

態様Cによれば、前記表面改質層の厚さが0.1から100nmである、態様AまたはBのいずれか1つのガラス物品が提供される。   According to aspect C, there is provided the glass article of any one of aspects A or B, wherein the thickness of the surface modification layer is 0.1 to 100 nm.

態様Dによれば、前記表面改質層の厚さが0.1から10nmである、態様AまたはBのいずれか1つのガラス物品が提供される。   According to Aspect D, there is provided the glass article of any one of Aspects A or B, wherein the thickness of the surface modification layer is 0.1 to 10 nm.

態様Eによれば、前記表面改質層の厚さが0.1から2nmである、態様AまたはBのいずれか1つのガラス物品が提供される。   According to aspect E, there is provided the glass article of any one of aspects A or B, wherein the thickness of the surface modified layer is 0.1 to 2 nm.

態様Fのいずれか1つによれば、前記担体が、ヒ素およびアンチモンの各々を0.05質量%以下のレベルで有する、無アルカリのアルミノケイ酸塩またはホウケイ酸またはアルミノホウケイ酸塩を含むガラスである、態様AからEまたは1〜20のいずれか1つのガラス物品が提供される。   According to any one of aspects F, the support is a glass comprising an alkali-free aluminosilicate or borosilicate or aluminoborosilicate having each of arsenic and antimony at a level of 0.05% by weight or less. Certain glass articles of any one of aspects A through E or 1-20 are provided.

態様Gのいずれか1つによれば、前記担体および前記シートの各々が、100mm×100mm以上のサイズのものである、態様AからFまたは1〜20のいずれか1つのガラス物品が提供される。   According to any one of aspects G, there is provided the glass article of any one of aspects A to F or 1 to 20, wherein each of the carrier and the sheet is of a size of 100 mm x 100 mm or more. .

以下、本発明の好ましい実施形態を項分け記載する。   Hereinafter, preferable embodiments of the present invention will be described in terms of items.

実施形態1
薄いシートを担体に制御可能に結合する方法であって、
薄いシート結合表面を有する薄いシートを得る工程、
担体結合表面を有する担体を得る工程、
前記薄いシート結合表面および前記担体結合表面の少なくとも一方の上に、該薄いシート結合表面および該担体結合表面の該一方の上の第1の表面エネルギーを得るように、表面改質層を堆積させる工程、
前記第1の表面エネルギーを、該第1の表面エネルギーよりも大きい第2の表面エネルギーに変えるように、前記表面改質層を処理する工程、および
前記表面改質層を介して前記薄いシート結合表面を前記担体結合表面に結合させる工程、
を有してなる方法。
Embodiment 1
A method for controllably bonding a thin sheet to a carrier comprising:
Obtaining a thin sheet having a thin sheet bonding surface;
Obtaining a carrier having a carrier binding surface;
A surface modification layer is deposited on at least one of the thin sheet binding surface and the carrier binding surface to obtain a first surface energy on the one of the thin sheet binding surface and the carrier binding surface. Process,
Treating the surface modified layer to change the first surface energy to a second surface energy greater than the first surface energy; and the thin sheet bonding through the surface modified layer Binding a surface to the carrier binding surface;
A method comprising:

実施形態2
前記表面改質層が、
層を形成するための炭素含有ガスのプラズマ重合であって、その後、窒素含有ガスによる前記層の処理が行われる、プラズマ重合、
層を形成するための炭素含有ガスのプラズマ重合であって、その後、窒素含有ガスおよび水素含有ガスの一方である第1のガスと、次いで前記窒素含有ガスおよび前記水素含有ガスの他方である第2のガスによる前記層の連続処理が行われる、プラズマ重合、
層を形成するための炭素含有ガスのプラズマ重合であって、その後、酸素含有ガスによる前記層の処理が行われる、プラズマ重合、
層を形成するための炭素含有ガスのプラズマ重合であって、その後、窒素および酸素含有ガスによる前記層の処理が行われる、プラズマ重合、および
層を形成するための炭素含有ガスのプラズマ重合であって、その後、窒素および酸素含有ガスである第1のガスと、次いで、窒素含有ガスである第2のガスによる前記層の連続処理が行われる、プラズマ重合、
の内の1つによって堆積され、処理される、実施形態1の方法。
Embodiment 2
The surface modification layer is
Plasma polymerization of a carbon-containing gas to form a layer, followed by treatment of the layer with a nitrogen-containing gas, plasma polymerization,
Plasma polymerization of a carbon-containing gas to form a layer, followed by a first gas that is one of a nitrogen-containing gas and a hydrogen-containing gas, and then a second gas that is the other of the nitrogen-containing gas and the hydrogen-containing gas. Plasma polymerization, in which a continuous treatment of the layer with two gases is performed,
Plasma polymerization of a carbon-containing gas to form a layer, followed by treatment of the layer with an oxygen-containing gas, plasma polymerization,
Plasma polymerization of carbon-containing gas to form a layer, followed by treatment of the layer with nitrogen and oxygen-containing gas, and plasma polymerization of carbon-containing gas to form a layer. Plasma polymerisation, followed by continuous treatment of the layer with a first gas that is a nitrogen and oxygen containing gas and then a second gas that is a nitrogen containing gas,
The method of embodiment 1, wherein the method is deposited and processed by one of

実施形態3
前記炭素含有ガスが、炭化水素、アルカン、アルケン、アルキン、または芳香族化合物の少なくとも1つを含む、実施形態2の方法。
Embodiment 3
The method of embodiment 2, wherein the carbon-containing gas comprises at least one of a hydrocarbon, alkane, alkene, alkyne, or aromatic compound.

実施形態4
前記炭素含有ガスが、メタン、エタン、プロパン、ブタン、エチレン、プロピレン、プロピン、アセチレン、CO、およびCO2の少なくとも1つを含む、実施形態3の方法。
Embodiment 4
The carbon-containing gas, methane, ethane, propane, butane, ethylene, propylene, propyne, acetylene, including CO, and of CO 2 of at least one method of the third embodiment.

実施形態5
水素含有ガスが使用される場合、該水素含有ガスはH2を含み、窒素含有ガスが使用される場合、該窒素含有ガスは、アンモニア、N2、ヒドラジン、N2O、NO、N24、メチルアミン、ジメチルアミン、トリメチルアミン、エチルアミン、およびアセトニトリルの少なくとも1つを含む、実施形態2の方法。
Embodiment 5
When a hydrogen-containing gas is used, the hydrogen-containing gas contains H 2 , and when a nitrogen-containing gas is used, the nitrogen-containing gas is ammonia, N 2 , hydrazine, N 2 O, NO, N 2 O 4. The method of embodiment 2, comprising at least one of methylamine, dimethylamine, trimethylamine, ethylamine, and acetonitrile.

実施形態6
水素含有ガスが使用される場合、該水素含有ガスはH2を含み、酸素含有ガスが使用される場合、該酸素含有ガスは、O2、O3、H2O、メタノール、エタノール、プロパノール、N2O、NO、およびN24の少なくとも1つを含む、実施形態2の方法。
Embodiment 6
When a hydrogen-containing gas is used, the hydrogen-containing gas contains H 2. When an oxygen-containing gas is used, the oxygen-containing gas is O 2 , O 3 , H 2 O, methanol, ethanol, propanol, The method of embodiment 2, comprising at least one of N 2 O, NO, and N 2 O 4 .

実施形態7
前記プラズマ重合中に、前記炭素含有ガスと共に水素を流す工程をさらに有する、実施形態2の方法。
Embodiment 7
The method of embodiment 2, further comprising flowing hydrogen with the carbon-containing gas during the plasma polymerization.

実施形態8
前記炭素含有ガスがフッ化炭素である、実施形態2の方法。
Embodiment 8
The method of embodiment 2, wherein the carbon-containing gas is fluorocarbon.

実施形態9
前記表面改質層が高分子形成ガスおよび第2のガスの混合物から堆積され、該第2のガスが全混合物の30%以上である、実施形態2の方法。
Embodiment 9
The method of embodiment 2, wherein the surface modification layer is deposited from a mixture of a polymer-forming gas and a second gas, the second gas being 30% or more of the total mixture.

実施形態10
前記第2のガスが水素である、実施形態9の方法。
Embodiment 10
The method of embodiment 9, wherein the second gas is hydrogen.

実施形態11
前記第2のガスが不活性ガスを含む、実施形態9の方法。
Embodiment 11
The method of embodiment 9, wherein the second gas comprises an inert gas.

実施形態12
前記薄いシート結合表面がガラスを含む、実施形態1の方法。
Embodiment 12
The method of embodiment 1, wherein the thin sheet bonded surface comprises glass.

実施形態13
前記担体結合表面がガラスを含む、実施形態1の方法。
Embodiment 13
The method of embodiment 1, wherein the carrier binding surface comprises glass.

実施形態14
前記薄いシート結合表面および前記担体結合表面の前記少なくとも一方が、前記表面改質層の堆積前に、1nm以下の平均表面粗さRaを有する、実施形態13の方法。
Embodiment 14
14. The method of embodiment 13, wherein the at least one of the thin sheet binding surface and the carrier binding surface has an average surface roughness Ra of 1 nm or less prior to deposition of the surface modified layer.

実施形態15
前記薄いシート結合表面および前記担体結合表面の前記少なくとも一方が、前記表面改質層の堆積およびO2プラズマによるその後の除去後に、1nm以下の平均表面粗さRaを有する、実施形態14の方法。
Embodiment 15
The method of embodiment 14, wherein the at least one of the thin sheet binding surface and the carrier binding surface has an average surface roughness Ra of 1 nm or less after deposition of the surface modification layer and subsequent removal by O 2 plasma.

実施形態16
前記担体結合表面が、前記表面改質層の堆積前に、第1の平均表面粗さRa1を有し、前記担体が、前記表面改質層がその上に堆積され、O2プラズマ洗浄によりその後除去された後に、第2の表面粗さRa2を有し、Ra1とRa2との間の差が1nm以下であり、平均表面粗さの測定が5×5マイクロメートルの面積に亘り行われる、実施形態13の方法。
Embodiment 16
The carrier binding surface has a first average surface roughness Ra1 prior to the deposition of the surface modification layer, and the carrier is deposited thereon with the surface modification layer and then by O 2 plasma cleaning. After being removed, having a second surface roughness Ra2, the difference between Ra1 and Ra2 is 1 nm or less, and the measurement of the average surface roughness is performed over an area of 5 × 5 micrometers The method of form 13.

実施形態17
前記薄いシートの厚さが300マイクロメートル以下である、実施形態1の方法。
Embodiment 17
The method of embodiment 1, wherein the thickness of the thin sheet is 300 micrometers or less.

実施形態18
前記表面改質層の厚さが1から70nmである、実施形態1の方法。
Embodiment 18
The method of embodiment 1, wherein the thickness of the surface modification layer is from 1 to 70 nm.

実施形態19
前記表面改質層の厚さが2から10nmである、実施形態1の方法。
Embodiment 19
The method of embodiment 1, wherein the thickness of the surface modification layer is 2 to 10 nm.

実施形態20
前記薄いシート結合表面および前記担体結合表面の前記少なくとも一方がガラスを含み、前記表面改質層およびその処理により、前記結合表面上に41から80mJ/m2の第2の表面エネルギーが達成される、実施形態1〜19いずれか1つの方法。
Embodiment 20.
The at least one of the thin sheet bonding surface and the carrier bonding surface comprises glass, and the surface modification layer and its treatment achieve a second surface energy of 41 to 80 mJ / m 2 on the bonding surface. The method of any one of Embodiments 1-19.

2 物品
10、900 担体
20 薄いシート
30、790 表面改質層
40 結合区域
50 制御された結合区域
760 積層体
770〜772 ガラスシート
780、781 カバーシート
910 カバー
920 スペーサ
930 加熱槽
2 Article 10, 900 Carrier 20 Thin sheet 30, 790 Surface modification layer 40 Bonding area 50 Controlled bonding area 760 Laminate 770-772 Glass sheet 780, 781 Cover sheet 910 Cover 920 Spacer 930 Heating tank

Claims (10)

薄いシートを担体に制御可能に結合する方法であって、
薄いシート結合表面を有する薄いシートを得る工程、
担体結合表面を有する担体を得る工程、
前記薄いシート結合表面および前記担体結合表面の少なくとも一方の上に、該薄いシート結合表面および該担体結合表面の該一方の上の第1の表面エネルギーを得るように、表面改質層を堆積させる工程、
前記第1の表面エネルギーを、該第1の表面エネルギーよりも大きい第2の表面エネルギーに変えるように、前記表面改質層を処理する工程、および
前記表面改質層を介して前記薄いシート結合表面を前記担体結合表面に結合させる工程、
を有してなる方法。
A method for controllably bonding a thin sheet to a carrier comprising:
Obtaining a thin sheet having a thin sheet bonding surface;
Obtaining a carrier having a carrier binding surface;
A surface modification layer is deposited on at least one of the thin sheet binding surface and the carrier binding surface to obtain a first surface energy on the one of the thin sheet binding surface and the carrier binding surface. Process,
Treating the surface modified layer to change the first surface energy to a second surface energy greater than the first surface energy; and the thin sheet bonding through the surface modified layer Binding a surface to the carrier binding surface;
A method comprising:
前記表面改質層が、
層を形成するための炭素含有ガスのプラズマ重合であって、その後、窒素含有ガスによる前記層の処理が行われる、プラズマ重合、
層を形成するための炭素含有ガスのプラズマ重合であって、その後、窒素含有ガスおよび水素含有ガスの一方である第1のガスと、次いで前記窒素含有ガスおよび前記水素含有ガスの他方である第2のガスによる前記層の連続処理が行われる、プラズマ重合、
層を形成するための炭素含有ガスのプラズマ重合であって、その後、酸素含有ガスによる前記層の処理が行われる、プラズマ重合、
層を形成するための炭素含有ガスのプラズマ重合であって、その後、窒素および酸素含有ガスによる前記層の処理が行われる、プラズマ重合、および
層を形成するための炭素含有ガスのプラズマ重合であって、その後、窒素および酸素含有ガスである第1のガスと、次いで、窒素含有ガスである第2のガスによる前記層の連続処理が行われる、プラズマ重合、
の内の1つによって堆積され、処理される、請求項1記載の方法。
The surface modification layer is
Plasma polymerization of a carbon-containing gas to form a layer, followed by treatment of the layer with a nitrogen-containing gas, plasma polymerization,
Plasma polymerization of a carbon-containing gas to form a layer, followed by a first gas that is one of a nitrogen-containing gas and a hydrogen-containing gas, and then a second gas that is the other of the nitrogen-containing gas and the hydrogen-containing gas. Plasma polymerization, in which a continuous treatment of the layer with two gases is performed,
Plasma polymerization of a carbon-containing gas to form a layer, followed by treatment of the layer with an oxygen-containing gas, plasma polymerization,
Plasma polymerization of carbon-containing gas to form a layer, followed by treatment of the layer with nitrogen and oxygen-containing gas, and plasma polymerization of carbon-containing gas to form a layer. Plasma polymerisation, followed by continuous treatment of the layer with a first gas that is a nitrogen and oxygen containing gas and then a second gas that is a nitrogen containing gas,
The method of claim 1, wherein the method is deposited and processed by one of the following:
前記プラズマ重合中に、前記炭素含有ガスと共に水素を流す工程をさらに有する、請求項2記載の方法。   The method of claim 2, further comprising flowing hydrogen with the carbon-containing gas during the plasma polymerization. 前記炭素含有ガスがフッ化炭素である、請求項2または3記載の方法。   The method according to claim 2 or 3, wherein the carbon-containing gas is fluorocarbon. 前記表面改質層が高分子形成ガスおよび第2のガスの混合物から堆積され、該第2のガスが全混合物の30%以上である、請求項2から4いずれか1項記載の方法。   The method according to any one of claims 2 to 4, wherein the surface modification layer is deposited from a mixture of a polymer-forming gas and a second gas, the second gas being 30% or more of the total mixture. 前記第2のガスが、水素である、または不活性ガスを含む、請求項5記載の方法。   The method of claim 5, wherein the second gas is hydrogen or comprises an inert gas. 前記薄いシート結合表面および前記担体結合表面の前記少なくとも一方が、前記表面改質層の堆積前に、1nm以下の平均表面粗さRaを有し、かつ該薄いシート結合表面および該担体結合表面の該少なくとも一方が、前記表面改質層の堆積およびO2プラズマによるその後の除去後に、1nm以下の平均表面粗さRaを有する、請求項1から6いずれか1項記載の方法。 The at least one of the thin sheet binding surface and the carrier binding surface has an average surface roughness Ra of 1 nm or less prior to the deposition of the surface modification layer, and the thin sheet binding surface and the carrier binding surface The method according to claim 1, wherein the at least one has an average surface roughness Ra of 1 nm or less after deposition of the surface modification layer and subsequent removal by O 2 plasma. 前記薄いシートの厚さが300マイクロメートル以下である、請求項1から7いずれか1項記載の方法。   The method according to any one of claims 1 to 7, wherein the thickness of the thin sheet is 300 micrometers or less. 前記表面改質層の厚さが1から70nmである、請求項1から8いずれか1項記載の方法。   The method according to claim 1, wherein the thickness of the surface modification layer is 1 to 70 nm. 前記薄いシート結合表面および前記担体結合表面の前記少なくとも一方がガラスを含み、前記表面改質層およびその処理により、前記結合表面上に41から80mJ/m2の第2の表面エネルギーが達成される、請求項1から9いずれか1項記載の方法。 The at least one of the thin sheet bonding surface and the carrier bonding surface comprises glass, and the surface modification layer and its treatment achieve a second surface energy of 41 to 80 mJ / m 2 on the bonding surface. 10. The method according to any one of claims 1 to 9.
JP2016547608A 2014-01-27 2015-01-27 Treatment of surface modified layers for controlled bonding of thin sheet carriers. Pending JP2017511756A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461931912P 2014-01-27 2014-01-27
US61/931,912 2014-01-27
PCT/US2015/013017 WO2015113023A1 (en) 2014-01-27 2015-01-27 Treatment of a surface modification layer for controlled bonding of thin sheets with carriers

Publications (1)

Publication Number Publication Date
JP2017511756A true JP2017511756A (en) 2017-04-27

Family

ID=52464589

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016547608A Pending JP2017511756A (en) 2014-01-27 2015-01-27 Treatment of surface modified layers for controlled bonding of thin sheet carriers.

Country Status (6)

Country Link
EP (1) EP3099484A1 (en)
JP (1) JP2017511756A (en)
KR (1) KR20160114106A (en)
SG (1) SG11201605964QA (en)
TW (1) TW201529511A (en)
WO (1) WO2015113023A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7431160B2 (en) 2017-12-15 2024-02-14 コーニング インコーポレイテッド Methods for processing substrates and manufacturing articles including bonded sheets

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
WO2014059263A1 (en) 2012-10-12 2014-04-17 Corning Incorporated Articles having retained strength
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (en) 2012-12-13 2018-03-11 康寧公司 Facilitated processing for controlling bonding between sheet and carrier
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
KR102353030B1 (en) 2014-01-27 2022-01-19 코닝 인코포레이티드 Articles and methods for controlled bonding of thin sheets with carriers
CN107635769B (en) 2015-05-19 2020-09-15 康宁股份有限公司 Article and method for bonding sheet to carrier
EP3313799B1 (en) * 2015-06-26 2022-09-07 Corning Incorporated Methods and articles including a sheet and a carrier
TWI574346B (en) * 2015-08-14 2017-03-11 Dong-Ming Li Composite cold plate for glass substrate cooling
KR20180061346A (en) * 2015-10-02 2018-06-07 코닝 인코포레이티드 Removable glass surface treatment and particle attachment reduction method
KR20180061345A (en) * 2015-10-02 2018-06-07 코닝 인코포레이티드 Glass surface treatment methods for reducing particle adhesion
KR102622227B1 (en) 2015-10-30 2024-01-08 코닝 인코포레이티드 Method for processing a first substrate bonded to a second substrate
US10316010B2 (en) 2015-12-17 2019-06-11 South Dakota Board Of Regents Versatile non-destructive surface modification of carbonaceous materials and process for grafting into polymer matrices
TW201737766A (en) 2016-01-21 2017-10-16 康寧公司 Methods for processing a substrate
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
TW201825623A (en) 2016-08-30 2018-07-16 美商康寧公司 Siloxane plasma polymers for sheet bonding
TWI821867B (en) * 2016-08-31 2023-11-11 美商康寧公司 Articles of controllably bonded sheets and methods for making same
WO2018081080A1 (en) * 2016-10-27 2018-05-03 Corning Incorporated Stack structure for improved puncture resistance
KR101870153B1 (en) * 2016-11-28 2018-06-25 주식회사 네패스 Semiconductor Package of using Insulating Frame and Method of fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
JP2022521578A (en) 2019-02-21 2022-04-11 コーニング インコーポレイテッド Glass or glass-ceramic articles with copper metallized through holes and their manufacturing methods
JP6806193B2 (en) * 2019-07-24 2021-01-06 Agc株式会社 Glass laminate with protective film
JP6939974B2 (en) * 2019-07-24 2021-09-22 Agc株式会社 Display device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040258850A1 (en) * 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US7087134B2 (en) * 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
US20060246218A1 (en) * 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
JP5200538B2 (en) * 2005-08-09 2013-06-05 旭硝子株式会社 Thin glass laminate and method for manufacturing display device using thin glass laminate
WO2008111361A1 (en) * 2007-03-12 2008-09-18 Asahi Glass Company, Limited Glass substrate provided with protection glass and method for manufacturing display device using glass substrate provided with protection glass
CN103492173B (en) * 2011-04-22 2015-05-20 旭硝子株式会社 Laminate, method for producing same, and use of same
JP2013216513A (en) * 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd Method for cutting glass film and glass film lamination body
CN106030686A (en) * 2012-12-13 2016-10-12 康宁股份有限公司 Glass and methods of making glass articles

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7431160B2 (en) 2017-12-15 2024-02-14 コーニング インコーポレイテッド Methods for processing substrates and manufacturing articles including bonded sheets

Also Published As

Publication number Publication date
KR20160114106A (en) 2016-10-04
TW201529511A (en) 2015-08-01
SG11201605964QA (en) 2016-08-30
EP3099484A1 (en) 2016-12-07
WO2015113023A1 (en) 2015-07-30

Similar Documents

Publication Publication Date Title
JP2017511756A (en) Treatment of surface modified layers for controlled bonding of thin sheet carriers.
JP2020037513A (en) Articles and methods for controlled bonding of polymer surfaces with carriers
US11123954B2 (en) Articles and methods for controlled bonding of thin sheets with carriers
JP6353461B2 (en) OLED device processing method
US10538452B2 (en) Bulk annealing of glass sheets
JP6310479B2 (en) Accelerated processing to control the bond between sheet and carrier
EP3584229B1 (en) Method for controlled bonding of glass sheets with carriers
JP6434096B2 (en) Bulk annealing of glass sheets
US20150329415A1 (en) Glass and methods of making glass articles
KR20160066039A (en) Glass articles and methods for controlled bonding of glass sheets with carriers