JP2017503670A - Abrasive material having a structured surface - Google Patents

Abrasive material having a structured surface Download PDF

Info

Publication number
JP2017503670A
JP2017503670A JP2016548074A JP2016548074A JP2017503670A JP 2017503670 A JP2017503670 A JP 2017503670A JP 2016548074 A JP2016548074 A JP 2016548074A JP 2016548074 A JP2016548074 A JP 2016548074A JP 2017503670 A JP2017503670 A JP 2017503670A
Authority
JP
Japan
Prior art keywords
less
treatment
polishing
structured surface
abrasive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2016548074A
Other languages
Japanese (ja)
Other versions
JP2017503670A5 (en
Inventor
秀樹 南
秀樹 南
稔彦 渡瀬
稔彦 渡瀬
陽子 中村
陽子 中村
祥一 増田
祥一 増田
服部 二郎
二郎 服部
モーゼズ エム. デイヴィッド,
モーゼズ エム. デイヴィッド,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
3M Innovative Properties Co
Original Assignee
3M Innovative Properties Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 3M Innovative Properties Co filed Critical 3M Innovative Properties Co
Publication of JP2017503670A publication Critical patent/JP2017503670A/en
Publication of JP2017503670A5 publication Critical patent/JP2017503670A5/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/26Lapping pads for working plane surfaces characterised by the shape of the lapping pad surface, e.g. grooved

Abstract

異物の付着及び堆積を防止する能力が卓越している構造化表面を有する研磨材料を提供すること、並びにその製造方法。本開示の実施形態の研磨材料は、その上に複数の三次元的要素が配設された構造化表面を備える研磨層を有する研磨材料であり、フッ素処理及びケイ素処理からなる群から選択される表面処理が構造化表面の少なくとも一部分の上に実施され、フッ素処理は、プラズマ処理、化学蒸着、物理蒸着、及びフッ素ガス処理からなる群から選択される。【選択図】図1Providing an abrasive material having a structured surface that has an excellent ability to prevent the adhesion and deposition of foreign objects, and a method of manufacturing the same. An abrasive material of an embodiment of the present disclosure is an abrasive material having an abrasive layer with a structured surface having a plurality of three-dimensional elements disposed thereon, and is selected from the group consisting of fluorine treatment and silicon treatment A surface treatment is performed on at least a portion of the structured surface, and the fluorine treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment. [Selection] Figure 1

Description

発明の詳細な説明Detailed Description of the Invention

[発明の分野]
本開示は、構造化表面を有する研磨材料に関する。具体的には、本開示は、表面処理した構造化表面を有する研磨層を含む研磨材料に関する。
[Field of the Invention]
The present disclosure relates to an abrasive material having a structured surface. Specifically, the present disclosure relates to an abrasive material that includes an abrasive layer having a surface-treated structured surface.

[背景]
研磨材料は、半導体ウェハ、磁気記憶媒体、ガラスプレート、レンズ、プリズム、自動車の塗装表面、光ファイバーコネクターの端部表面、及びこれに類するものなどの様々な表面の粗研磨、面取り、最終研磨、及びこれに類するものに幅広く使用されている。
[background]
Abrasive materials include rough polishing, chamfering, final polishing, and various surfaces such as semiconductor wafers, magnetic storage media, glass plates, lenses, prisms, automotive painted surfaces, fiber optic connector end surfaces, and the like. Widely used in similar products.

例えば、半導体ウェハの化学機械研磨(CMP)プロセスでは、四角錐形状、半球形状、又はこれに類するものを有する三次元的要素などの複数の三次元的要素が系統的に配置された構造化表面を有する研磨層を含む研磨材料(コンディショナー又はドレッサーディスクとも称される)が、研磨パッドの粗研磨(ドレッシング又はコンディショニングとも称される)の目的で使用される。CMPプロセスは、研磨パッドと半導体ウェハとの間に研磨粒子を含むスラリーを提供することによってCMPを実施することを含む。コンディショナーは、研磨層としてモノリシックのダイヤモンド層でコーティングされた炭化ケイ素層を含み、例えば、支持ディスク又は支持リングに取り付けられる。研磨材料は、研磨パッドの表面を粗面化し、研磨パッド表面の詰まりを除去する。CMPプロセスは、このようにして安定化される。構造化表面を有する研磨層を含むこの類のコンディショナーは、ニッケルメッキ、ハンダ付け、焼結、又はこれに類するものによって基材上に付着している塊状のダイヤモンド粒子などの研磨粒子を有する他の従来のコンディショナーと比較して、外れた研磨粒子によって発生する大きい引っかき傷を半導体ウェハ表面上に生じない点で有利である。   For example, in a chemical mechanical polishing (CMP) process of a semiconductor wafer, a structured surface in which a plurality of three-dimensional elements are systematically arranged, such as a three-dimensional element having a quadrangular pyramid shape, a hemispherical shape, or the like. A polishing material (also referred to as a conditioner or dresser disk) comprising a polishing layer having the following is used for the purpose of rough polishing (also referred to as dressing or conditioning) of the polishing pad. The CMP process includes performing CMP by providing a slurry containing abrasive particles between a polishing pad and a semiconductor wafer. The conditioner includes a silicon carbide layer coated with a monolithic diamond layer as an abrasive layer and is attached to, for example, a support disk or support ring. The polishing material roughens the surface of the polishing pad and removes clogging of the polishing pad surface. The CMP process is thus stabilized. This type of conditioner, which includes an abrasive layer with a structured surface, has other abrasive particles such as bulk diamond particles deposited on the substrate by nickel plating, soldering, sintering, or the like. Compared to conventional conditioners, it is advantageous in that large scratches caused by detached abrasive particles do not occur on the semiconductor wafer surface.

構造化表面を有する研磨材料は、液晶ディスプレイの製造及びこれに類するものに使用される表面研磨用の大きいガラス板、光ファイバーコネクター端部表面の粗研磨及び最終研磨、自動車の塗装表面、並びにこれに類するものにも使用される。例えば、研磨層が、塊状ダイヤモンド粒子、アルミナ、炭化ケイ素、酸化セリウム、及びこれに類するものなどの研磨粒子、並びに硬化したウレタンアクリレート、エポキシ樹脂、及びこれに類するものなどのバインダーを含む研磨材料が使用される。研磨対象物に接触する研磨層の部分は、研磨対象物の硬さによって粗研磨又は最終研磨中に摩耗し、構造化表面上に新しい研磨粒子が露出する。例えば、ガラス板又はこれに類するもののような硬さを有する研磨対象物を研磨する場合、研磨層は、通常、研磨中に摩耗する。一方で、最も外側の層にアクリル樹脂、ウレタン樹脂、又はこれに類するものを使用している自動車の塗装表面などの低硬度の表面を研磨する場合、研磨層は、著しく摩耗しない場合がある。   Abrasive materials with structured surfaces include large glass plates for surface polishing used in the manufacture of liquid crystal displays and the like, rough and final polishing of optical fiber connector end surfaces, painted surfaces of automobiles, and Also used for similar items. For example, an abrasive material in which the abrasive layer contains abrasive particles such as massive diamond particles, alumina, silicon carbide, cerium oxide, and the like, and a binder such as cured urethane acrylate, epoxy resin, and the like. used. The portion of the polishing layer that contacts the object to be polished wears during rough polishing or final polishing depending on the hardness of the object to be polished, exposing new abrasive particles on the structured surface. For example, when a polishing object having hardness such as a glass plate or the like is polished, the polishing layer is usually worn during polishing. On the other hand, when polishing a low-hardness surface such as a painted surface of an automobile that uses an acrylic resin, urethane resin, or the like for the outermost layer, the polishing layer may not wear significantly.

特許文献1(国際公開第2005−012592号)は、(a)基材であって、(1)セラミック材料のうちの少なくとも1種を含む第1相、及び(2)炭化物形成材料のうちの少なくとも1種を含む第2相を含む表面を有する基材と、(b)少なくとも基材の表面の一部の上に配置される化学蒸着ダイヤモンドコーティングを含むCVDダイヤモンドコーティング複合材料と、を記述している。   Patent Document 1 (International Publication No. 2005-012592) is (a) a substrate, (1) a first phase including at least one of ceramic materials, and (2) a carbide forming material. A substrate having a surface comprising a second phase comprising at least one; and (b) a CVD diamond coating composite comprising a chemical vapor deposition diamond coating disposed on at least a portion of the surface of the substrate. ing.

特許文献2(公表されたPCT出願の日本語訳公報第2002−542057号)は、「裏材と、裏材の表面上に接合された少なくとも1つの三次元的研磨コーティングとを含むガラス又はガラスセラミック被加工物を研磨するために理想的な研磨物品であって、この研磨コーティングが、複数のダイヤモンドビーズ研磨粒子と、研磨コーティングの約40重量%〜約60重量%を構成する充填剤とを分散する硬化バインダー前駆体から形成されたバインダーを含む、研磨物品」を記述する。   Patent Document 2 (Japanese Published Publication No. 2002-542057 of published PCT application) states: “Glass or glass comprising a backing and at least one three-dimensional abrasive coating bonded on the surface of the backing. An abrasive article ideal for polishing ceramic workpieces, the abrasive coating comprising a plurality of diamond bead abrasive particles and a filler comprising from about 40% to about 60% by weight of the abrasive coating. “Abrasive article comprising a binder formed from a dispersed cured binder precursor” is described.

特許文献3(特開2001−179640号)は、「光ファイバーコネクター端部表面を所定の形状へと研磨するために使用される研磨材料であって、この研磨材料が、基材と基材上に設けられる研磨層とを含み、この研磨層が、構成成分として研磨粒子と結合剤とを含む研磨複合物を有し、この研磨層が、複数の系統的に配置された所定の形状の固体要素によって構成される空間構造を有する、研磨材料」を記述する。   Patent Document 3 (Japanese Patent Application Laid-Open No. 2001-179640) states that “a polishing material used for polishing an optical fiber connector end surface into a predetermined shape. A polishing layer comprising a polishing composite comprising abrasive particles and a binder as constituents, wherein the polishing layer is a plurality of systematically arranged solid elements of a predetermined shape Abrasive material having a spatial structure constituted by:

国際公開第2005/012592号International Publication No. 2005/012592 特表2002−542057号公報Japanese translation of PCT publication No. 2002-542057 特開2001−179640号公報JP 2001-179640 A

[発明の概要]
原因は明確ではないが、CMPプロセスの間に、構造化表面を有する研磨層を含む研磨材料を使用してウレタンフォームパッドコンディショニングを実施したとき、コンディショニングサイクルの増加に連動して半導体ウェハ表面の欠陥密度が増加する場合がある。更に、CMPスラリー内に含まれる研磨粒子、ウレタンフォームパッドから掻き落とされたポリウレタン粒子、及びこれに類するものなどの異物の堆積が、研磨層の構造化表面の谷部(凹部)の中に見られる場合がある。異物の堆積は、研磨材料とウレタンフォームパッドとの間でのCMPスラリーの円滑な流れに干渉すると考えられる。
[Summary of Invention]
The cause is not clear, but when the urethane foam pad conditioning was performed during the CMP process using a polishing material containing a polishing layer with a structured surface, defects on the surface of the semiconductor wafer linked to an increase in the conditioning cycle The density may increase. In addition, deposits of foreign matter such as abrasive particles contained in the CMP slurry, polyurethane particles scraped from the urethane foam pad, and the like are seen in valleys (recesses) on the structured surface of the polishing layer. May be. The accumulation of foreign material is believed to interfere with the smooth flow of CMP slurry between the abrasive material and the urethane foam pad.

自動車の塗装表面の粗研磨及び最終研磨のとき(この場合、研磨層は著しい摩耗はせず、付着は突出している部分又は構造化表面の先端において生じる)、ガラス板の表面研磨によって掻き落とされたガラス粉末(研磨粉末)の構造化表面の谷部の中への堆積、及びアクリル樹脂、ウレタン樹脂、又はこれに類するものなどの構造化表面への付着は、生産効率を下げ、ひいては製品品質に影響する場合があるので、防止又は抑制するのが好ましい。   During rough polishing and final polishing of automotive painted surfaces (in this case, the polishing layer does not wear significantly and adhesion occurs at the protruding part or at the tip of the structured surface) and is scraped off by surface polishing of the glass plate. Glass powder (abrasive powder) deposition in the valleys of structured surfaces and adherence to structured surfaces such as acrylic, urethane, or the like reduces production efficiency and thus product quality It is preferable to prevent or suppress it.

本開示の目的は、異物の付着及び堆積を防止する能力が卓越している構造化表面を有する研磨材料、並びにその製造方法を提供することである。   It is an object of the present disclosure to provide an abrasive material having a structured surface that has an excellent ability to prevent the adhesion and deposition of foreign objects, and a method for manufacturing the same.

[発明の要約]
本開示の実施形態は、その上に複数の三次元的要素が配設された構造化表面を有する研磨層を有する研磨材料を提供し、フッ素処理及びケイ素処理からなる群から選択される表面処理が構造化表面の少なくとも一部分の上に実施され、フッ素処理は、プラズマ処理、化学蒸着、物理蒸着、及びフッ素ガス処理からなる群から選択される。
[Summary of Invention]
Embodiments of the present disclosure provide an abrasive material having an abrasive layer having a structured surface with a plurality of three-dimensional elements disposed thereon, the surface treatment being selected from the group consisting of fluorine treatment and silicon treatment Is performed on at least a portion of the structured surface, and the fluorine treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment.

本開示の別の実施形態は、研磨材料を製造する方法であって、その上に複数の三次元的要素が配設された構造化表面を有する研磨層を含む研磨材料を提供することと、フッ素処理及びケイ素処理からなる群から選択される表面処理を、研磨材料の構造化表面の少なくとも一部分の上に実施することと、を含み、フッ素処理が、プラズマ処理、化学蒸着、物理蒸着、及びフッ素ガス処理からなる群から選択される、方法を提供する。   Another embodiment of the present disclosure provides a method of manufacturing an abrasive material comprising an abrasive layer having a structured surface having a plurality of three-dimensional elements disposed thereon, Performing a surface treatment selected from the group consisting of a fluorine treatment and a silicon treatment on at least a portion of the structured surface of the abrasive material, wherein the fluorine treatment comprises plasma treatment, chemical vapor deposition, physical vapor deposition, and A method is provided that is selected from the group consisting of fluorine gas treatment.

本開示の更に別の実施形態は、その上に複数の三次元的要素を配設して構成された構造化表面を備える研磨層を有する研磨材料であって、構造化表面の少なくとも一部分が、(a)緻密化したフッ化炭素、オキシ炭化ケイ素、及び酸化ケイ素からなる群から選択される材料を含む膜、(b)フッ素で終端した表面、又は(c)これらの組み合わせ、を有する、研磨材料を提供する。   Yet another embodiment of the present disclosure is an abrasive material having an abrasive layer comprising a structured surface configured with a plurality of three-dimensional elements disposed thereon, wherein at least a portion of the structured surface comprises: Polishing having (a) a film comprising a material selected from the group consisting of densified fluorocarbon, silicon oxycarbide, and silicon oxide, (b) a surface terminated with fluorine, or (c) a combination thereof. Provide material.

[発明の効果]
本開示によれば、構造化表面、特に構造化表面の谷部(凹部)の中に異物を付着又は堆積させることなく排出することができる研磨材料を提供することができる。
[Effect of the invention]
According to the present disclosure, it is possible to provide an abrasive material that can be discharged without adhering or depositing foreign matter in a structured surface, particularly a valley (concave portion) of the structured surface.

上記の記述は、本発明のすべての実施形態、又は本発明に関連する利点の完全な開示と考えられるべきではないことに留意されたい。   It should be noted that the above description should not be construed as a complete disclosure of all embodiments of the invention, or of the advantages associated with the invention.

本開示の実施形態の研磨材料の断面図である。1 is a cross-sectional view of an abrasive material according to an embodiment of the present disclosure. 本開示の別の実施形態の研磨材料の断面図である。FIG. 6 is a cross-sectional view of an abrasive material according to another embodiment of the present disclosure. 三角錐形状を有する複数の三次元的要素が配置されている構造化表面の上表面概略図である。FIG. 4 is a schematic top view of a structured surface on which a plurality of three-dimensional elements having a triangular pyramid shape are arranged. 四角錐形状を有する複数の三次元的要素が配置されている構造化表面の上表面概略図である。FIG. 6 is a schematic top view of a structured surface on which a plurality of three-dimensional elements having a quadrangular pyramid shape are arranged. 角錐台形状を有する複数の三次元的要素が配置されている構造化表面の上表面概略図である。FIG. 4 is a schematic top view of a structured surface on which a plurality of three-dimensional elements having a truncated pyramid shape are arranged. 半球形状を有する複数の三次元的要素が配置されている構造化表面の上表面概略図である。FIG. 2 is a schematic top view of a structured surface on which a plurality of three-dimensional elements having a hemispherical shape are arranged. 三次元的要素が横方向に配向されかつ整列した三角柱である構造化表面の断面図である。FIG. 3 is a cross-sectional view of a structured surface that is a triangular prism with three-dimensional elements oriented and aligned in a lateral direction. 寄棟屋根形状を有する複数の三次元的要素が配置されている構造化表面の上表面概略図である。FIG. 4 is a schematic top view of a structured surface on which a plurality of three-dimensional elements having a dormitory roof shape are arranged. 様々な形状の複数の三次元的要素の組み合わせが配置されている構造化表面の上表面概略図である。FIG. 3 is a top surface schematic view of a structured surface on which a combination of a plurality of three-dimensional elements of various shapes is disposed. それぞれ実施例1及び2、比較例1及び2の研磨材料の構造化表面の、CMPドレッシング試験を実施した後の光学顕微鏡写真である。It is the optical microscope photograph after implementing the CMP dressing test of the structured surface of the polishing material of Examples 1 and 2 and Comparative Examples 1 and 2, respectively. それぞれ実施例1及び2、比較例1及び2の研磨材料の構造化表面の、CMPドレッシング試験を実施した後の光学顕微鏡写真である。It is the optical microscope photograph after implementing the CMP dressing test of the structured surface of the polishing material of Examples 1 and 2 and Comparative Examples 1 and 2, respectively. それぞれ実施例1及び2、比較例1及び2の研磨材料の構造化表面の、CMPドレッシング試験を実施した後の光学顕微鏡写真である。It is the optical microscope photograph after implementing the CMP dressing test of the structured surface of the polishing material of Examples 1 and 2 and Comparative Examples 1 and 2, respectively. それぞれ実施例1及び2、比較例1及び2の研磨材料の構造化表面の、CMPドレッシング試験を実施した後の光学顕微鏡写真である。It is the optical microscope photograph after implementing the CMP dressing test of the structured surface of the polishing material of Examples 1 and 2 and Comparative Examples 1 and 2, respectively. 実施例3〜5及び比較例3の研磨材料A〜Cの、自動車コーティング研磨試験を実施した後の全体写真である。It is the whole photograph after implementing the automobile coating grinding | polishing test of polishing material AC of Examples 3-5 and Comparative Example 3. 実施例3〜5及び比較例3の研磨材料A〜Cの、自動車コーティング研磨試験を実施した後の構造化表面の光学顕微鏡写真である。It is an optical micrograph of the structured surface after implementing the automobile coating grinding | polishing test of polishing material AC of Examples 3-5 and Comparative Example 3. 実施例3〜5及び比較例3の研磨材料A〜Cの、自動車コーティング研磨試験を実施し、次いで水で洗浄した後の構造化表面の光学顕微鏡写真である。It is an optical microscope photograph of the structured surface after implementing the automobile coating grinding | polishing test of polishing material AC of Examples 3-5 and Comparative Example 3, and then wash | cleaning with water.

[詳細な説明]
本発明の代表的な実施形態を説明するために詳細説明が以下に示されるが、これらの実施形態は、本発明を限定するものとして解釈されるべきではない。
[Detailed description]
Detailed descriptions are given below to illustrate representative embodiments of the present invention, but these embodiments should not be construed as limiting the present invention.

本開示では、「研磨表面」は、研磨対象物との接触表面を指し、換言すれば、研磨材料が平坦な研磨対象物に接触するとき、研磨対象物の表面に平行な基準面である。   In the present disclosure, the “polishing surface” refers to a contact surface with an object to be polished, in other words, a reference plane parallel to the surface of the object to be polished when the polishing material contacts the flat object to be polished.

本開示では、三次元的要素の「高さ」は、研磨表面の垂直線に沿った三次元的要素の底面から三次元的要素の頂点又は頂面までの距離を指す。   In the present disclosure, the “height” of a three-dimensional element refers to the distance from the bottom surface of the three-dimensional element to the apex or top surface of the three-dimensional element along the normal of the polishing surface.

本開示の実施形態の研磨材料は、構造化表面を有する研磨層を含み、構造化表面上に複数の三次元的要素が配置される。フッ素処理又はケイ素処理からなる群から選択される表面処理は、少なくとも構造化表面の一部の上に実施される。本開示では、「フッ素処理」は、フッ素を含有する材料を使用する表面処理を指し、そして「ケイ素処理」は、ケイ素を含有する材料を使用する表面処理を指す。水素、酸素、炭素、窒素、及びこれに類するものなどの、フッ素及びケイ素を除いた他の原子は表面処理に寄与する可能性があり、これらの他の原子は、フッ素を含有する材料若しくはケイ素を含有する材料から誘導される場合があるか、又は別の供給源から誘導される場合がある。   An abrasive material of an embodiment of the present disclosure includes a polishing layer having a structured surface, and a plurality of three-dimensional elements are disposed on the structured surface. A surface treatment selected from the group consisting of fluorine treatment or silicon treatment is performed on at least a portion of the structured surface. In this disclosure, “fluorine treatment” refers to a surface treatment using a material containing fluorine, and “silicon treatment” refers to a surface treatment using a material containing silicon. Other atoms, excluding fluorine and silicon, such as hydrogen, oxygen, carbon, nitrogen, and the like may contribute to the surface treatment, and these other atoms may be fluorine-containing materials or silicon May be derived from a material containing or may be derived from another source.

様々な材料を使用して研磨層を形成することができる。図1は、本開示の実施形態の研磨材料の断面図を図示する。図1に図示される研磨材料10は、研磨層11を含み、研磨層11は、バルク層13、及びバルク層13の少なくとも一部の上に配置される表面コーティング層14を含む。表面コーティング層14は、複数の三次元的要素12が配置されている構造化表面に適用される。図1に図示される実施形態を用いると、バルク層13は、三次元的要素12の形状を決定するだけでなく、研磨材料10を別の工具又はこれに類するものに取り付けるための基材としても機能する。別の基材は、構造化表面とは反対側の面上のバルク層13の表面に取り付けられる場合がある。   A variety of materials can be used to form the polishing layer. FIG. 1 illustrates a cross-sectional view of an abrasive material of an embodiment of the present disclosure. The polishing material 10 illustrated in FIG. 1 includes a polishing layer 11, and the polishing layer 11 includes a bulk layer 13 and a surface coating layer 14 disposed on at least a portion of the bulk layer 13. The surface coating layer 14 is applied to a structured surface on which a plurality of three-dimensional elements 12 are arranged. With the embodiment illustrated in FIG. 1, the bulk layer 13 not only determines the shape of the three-dimensional element 12, but also as a substrate for attaching the abrasive material 10 to another tool or the like. Also works. Another substrate may be attached to the surface of the bulk layer 13 on the side opposite the structured surface.

バルク層は、三次元的要素の形状を決定する。バルク層は、研磨対象物の材料特性及び硬さ並びにこれに類するものを考慮して、無機材料(例えば、焼結したセラミックなどの)などの様々な硬質材料によって形成することができる。焼結したセラミックとしては、例えば、炭化ケイ素、窒化ケイ素、アルミナ、ジルコニア、炭化タングステン、及びこれに類するものを挙げることができる。中でも、炭化ケイ素及び窒化ケイ素、特に炭化ケイ素は、強度、硬度、摩耗抵抗、及びこれに類するものの観点から有利に使用することができる。   The bulk layer determines the shape of the three-dimensional element. The bulk layer can be formed of various hard materials such as inorganic materials (eg, sintered ceramics) in consideration of the material properties and hardness of the object to be polished and the like. Examples of the sintered ceramic include silicon carbide, silicon nitride, alumina, zirconia, tungsten carbide, and the like. Of these, silicon carbide and silicon nitride, particularly silicon carbide, can be advantageously used from the viewpoint of strength, hardness, abrasion resistance, and the like.

バルク層は、炭化ケイ素又はこれに類するものなどのセラミック粒子と、バインダーと、必要に応じて他の材料とを混合し、構造化表面のネガパターンを有する金属ダイの中へと圧力射出し、次いで焼結することによって形成することができる。   The bulk layer is a mixture of ceramic particles such as silicon carbide or the like, a binder, and other materials as needed, and pressure injected into a metal die having a negative pattern of structured surfaces; It can then be formed by sintering.

表面コーティング層は一般に、バルク層より硬い材料によって形成され、研磨中に研磨対象物に接触することによって研磨対象物の研磨に寄与する。使用することができる表面コーティング層の例としては、ダイヤモンド状炭素(DLCと略記される)及び他のダイヤモンド材料、炭化タングステン(WC)、窒化チタン(TiN)、炭化チタン(TiC)、並びにこれに類するものが挙げられる。表面コーティング層の厚さは、一般に、約0.5μm以上又は約1μm以上、かつ約30μm以下又は約20μm以下である。表面コーティング層の厚さを約1μm以上に設定することによって、研磨中に表面コーティング層のみが研磨対象物と接触し、したがって研磨対象物をバルク層との接触から保護することができる。一方で、表面コーティング層とバルク層との付着が弱い場合、表面コーティング層の厚さは、比較的薄くされるのが好ましい。   The surface coating layer is generally formed of a material harder than the bulk layer, and contributes to polishing of the polishing object by contacting the polishing object during polishing. Examples of surface coating layers that can be used include diamond-like carbon (abbreviated as DLC) and other diamond materials, tungsten carbide (WC), titanium nitride (TiN), titanium carbide (TiC), and There are similar ones. The thickness of the surface coating layer is generally about 0.5 μm or more or about 1 μm or more and about 30 μm or less or about 20 μm or less. By setting the thickness of the surface coating layer to about 1 μm or more, only the surface coating layer comes into contact with the object to be polished during polishing, and thus the object to be polished can be protected from contact with the bulk layer. On the other hand, when the adhesion between the surface coating layer and the bulk layer is weak, the thickness of the surface coating layer is preferably relatively thin.

有利にも、ダイヤモンド材料を含む膜を表面コーティング層として使用することができる。この膜は、例えば、ダイヤモンド状炭素を含むことができる。ダイヤモンド状炭素は非晶質であり、水素によって安定化された大量のspを含む(例えば、炭素原子は約40原子%以上、又は約50原子%以上、かつ約99原子%以下、又は約98原子%以下である)。プラズマ強化化学蒸着(PECVD)法、ホットワイヤ化学蒸着(HWCVD)法、イオンビーム、レーザーアブレーション、RFプラズマ、超音波、アーク放電、陰極アークプラズマ蒸着、及びこれに類するものなどの従来の技術によって、メタン若しくはこれに類するものなどの気体炭素供給源、又はグラファイト若しくはこれに類するものなどの固体炭素供給源、及び必要に応じて水素を使用して、ダイヤモンド膜をバルク層の上に堆積させることができる。いくつかの実施形態では、結晶化度の高い膜を安定化させる及び生産することができ、したがって、有利にも厚いダイヤモンド膜を堆積させるためにHWCVD法を使用することができる。 Advantageously, a film comprising a diamond material can be used as the surface coating layer. This film can include, for example, diamond-like carbon. Diamond-like carbon is amorphous and contains large amounts of sp 3 stabilized by hydrogen (eg, about 40 atomic percent or more, or about 50 atomic percent or more, and about 99 atomic percent or less, or about 98 atomic percent or less). By conventional techniques such as plasma enhanced chemical vapor deposition (PECVD), hot wire chemical vapor deposition (HWCVD), ion beam, laser ablation, RF plasma, ultrasound, arc discharge, cathodic arc plasma deposition, and the like, A diamond film may be deposited on the bulk layer using a gaseous carbon source such as methane or the like, or a solid carbon source such as graphite or the like, and optionally hydrogen. it can. In some embodiments, highly crystalline films can be stabilized and produced, and therefore HWCVD methods can be used to deposit advantageously thick diamond films.

図2は、本開示の別の実施形態の研磨材料の断面図を図示する。図2に図示される研磨材料10は、研磨粒子16とバインダー17とを含む研磨層11を裏材15の上に含み、研磨層11は、複数の三次元的要素12が配置されている構造化表面を有する。裏材15は、研磨材料10の基材として作用する。研磨粒子16は、バインダー17を通して均一に又は不均一に分散される。この実施形態を用いると、研磨対象物の表面が研磨材料10を使用して研磨されるとき、研磨対象物に接触している一部分は徐々に破壊され、これによって研磨対象物の硬によって未使用の研磨粒子16が露出する。   FIG. 2 illustrates a cross-sectional view of an abrasive material of another embodiment of the present disclosure. 2 includes a polishing layer 11 including abrasive particles 16 and a binder 17 on a backing 15, and the polishing layer 11 has a structure in which a plurality of three-dimensional elements 12 are arranged. Having a surface. The backing 15 acts as a base material for the abrasive material 10. The abrasive particles 16 are dispersed uniformly or non-uniformly through the binder 17. With this embodiment, when the surface of the object to be polished is polished using the polishing material 10, a portion in contact with the object to be polished is gradually destroyed, and thus unused due to the hardness of the object to be polished. The abrasive particles 16 are exposed.

この実施形態を用いると、研磨粒子、バインダー前駆体、及び反応開始剤を含む硬化性組成物が、構造化表面のネガパターンを有する金属ダイの中へと充填され、熱又は放射を使用してこの組成物が硬化され、したがって研磨粒子及びバインダーを含む研磨層を形成することができる。   With this embodiment, a curable composition comprising abrasive particles, a binder precursor, and an initiator is loaded into a metal die having a structured surface negative pattern and using heat or radiation. This composition is cured, thus forming an abrasive layer comprising abrasive particles and a binder.

使用することができる研磨粒子の例としては、ダイヤモンド、立方晶窒化ホウ素、酸化セリウム、溶融酸化アルミニウム、熱処理した酸化アルミニウム、ゾルゲルプロセスによって調製された酸化アルミニウム、炭化ケイ素、酸化クロム、シリカ、ジルコニア、アルミナジルコニア、酸化鉄、ガーネット、及びこれらの混合物が挙げられる。研磨粒子のモース硬度は、8以上又は9以上であるのが好ましい。意図する研磨に基づいて研磨粒子の種類を選択することができ、ダイヤモンド、立方晶窒化ホウ素、酸化アルミニウム、及び炭化ケイ素は、有利にも、バリ取り又はこれに類するものなどの粗研磨のため、並びに曲面形成又はこれに類するものなどの面取りのために使用することができ、シリカ及び酸化アルミニウムは、有利にも、最終研磨のために使用することができる。   Examples of abrasive particles that can be used include diamond, cubic boron nitride, cerium oxide, molten aluminum oxide, heat treated aluminum oxide, aluminum oxide prepared by a sol-gel process, silicon carbide, chromium oxide, silica, zirconia, Alumina zirconia, iron oxide, garnet, and mixtures thereof. The Mohs hardness of the abrasive particles is preferably 8 or more or 9 or more. The type of abrasive particles can be selected based on the intended polishing, and diamond, cubic boron nitride, aluminum oxide, and silicon carbide are advantageously used for rough polishing such as deburring or the like, And can be used for chamfering such as curved surface formation or the like, and silica and aluminum oxide can be advantageously used for final polishing.

研磨粒子の平均粒径は、研磨粒子の種類、研磨材料の用途、及びこれに類するものに基づいて異なる範囲内であってもよく、一般に約10nm以上、約1μm以上、又は約5μm以上、かつ約500μm以下、約200μm以下、又は約80μm以下である。例えば、平均粒径が約0.5μm以上、かつ約20μm以下又は約10μm以下の研磨粒子は、有利にも、バリ取り又はこれに類するものなどの粗研磨のため及び曲面形状形成又はこれに類するものなどの面取りのために使用することができ、平均粒径が約10nm以上、かつ約1μm以下、約0.5μm以下、又は約0.1μm以下の研磨粒子は、有利にも、最終研磨のために使用することができる。   The average particle size of the abrasive particles may be within a different range based on the type of abrasive particles, the application of the abrasive material, and the like, and is generally about 10 nm or more, about 1 μm or more, or about 5 μm or more, and It is about 500 μm or less, about 200 μm or less, or about 80 μm or less. For example, abrasive particles having an average particle size of about 0.5 μm or more and about 20 μm or less or about 10 μm or less are advantageously used for rough polishing such as deburring or the like and for forming a curved shape or the like. Abrasive particles that can be used for chamfering such as those having an average particle size of about 10 nm or more and about 1 μm or less, about 0.5 μm or less, or about 0.1 μm or less are advantageously used for final polishing. Can be used for.

ガラス、セラミック、金属、金属酸化物、有機樹脂、及びこれに類するものなどの母材中に約1μm〜約100μmの粒径を有するダイヤモンド粒子を分散した塊状ダイヤモンドを使用することができる。15μmより大きい粒径を有するダイヤモンド粒子を含む塊状ダイヤモンドの平均粒径は、一般に約100μm以上又は約250μm以上、かつ約1000μm以下又は約400μm以下である。15μm以下の粒径を有するダイヤモンド粒子を含む塊状ダイヤモンドの平均粒径は、一般に約20μm以上、約40μm以上、又は約70μm以上、かつ約450μm以下、約400μm以下、又は約300μm以下である。   Bulk diamond in which diamond particles having a particle size of about 1 μm to about 100 μm are dispersed in a base material such as glass, ceramic, metal, metal oxide, organic resin, and the like can be used. The average particle size of the block diamond comprising diamond particles having a particle size greater than 15 μm is generally about 100 μm or more or about 250 μm or more and about 1000 μm or less or about 400 μm or less. The average particle size of the block diamond containing diamond particles having a particle size of 15 μm or less is generally about 20 μm or more, about 40 μm or more, or about 70 μm or more, and about 450 μm or less, about 400 μm or less, or about 300 μm or less.

バインダー前駆体として、熱又は放射によって硬化される硬化性樹脂を使用することができる。硬化性樹脂は、一般にラジカル重合又はカチオン性重合によって硬化される。バインダー前駆体の例としては、フェノール樹脂、レゾールフェノール樹脂、アミノプラスト樹脂、ウレタン樹脂、エポキシ樹脂、アクリル樹脂、ポリエステル樹脂、ビニル樹脂、メラミン樹脂、イソシアヌレートアクリレート樹脂、ウレアホルムアルデヒド樹脂、イソシアヌレート樹脂、ウレタンアクリレート樹脂、エポキシアクリレート樹脂、及びこれらの混合物が挙げられる。バインダー前駆体に使用される「アクリレート」という用語は、アクリレート及びメタクリレートを含む。   As the binder precursor, a curable resin cured by heat or radiation can be used. The curable resin is generally cured by radical polymerization or cationic polymerization. Examples of the binder precursor include phenol resin, resol phenol resin, aminoplast resin, urethane resin, epoxy resin, acrylic resin, polyester resin, vinyl resin, melamine resin, isocyanurate acrylate resin, urea formaldehyde resin, isocyanurate resin, Examples include urethane acrylate resins, epoxy acrylate resins, and mixtures thereof. The term “acrylate” used in the binder precursor includes acrylate and methacrylate.

反応開始剤として、従来の熱反応開始剤又は光開始剤を使用することができる。反応開始剤の例としては、有機過酸、アゾ化合物、キノン、ベンゾフェノン、ニトロソ化合物、ハロゲン化アクリル、ヒドラゾン、メルカプト化合物、ピリリウム化合物、トリアクリルイミダゾール、ビスイミダゾール、クロロアルキルトリアジン、ベンゾインエーテル、ベンジルケタール、チオキサントン、アセトフェノン、ヨードニウム塩、スルホニウム塩、及びこれらの誘導体が挙げられる。   As the reaction initiator, a conventional thermal reaction initiator or photoinitiator can be used. Examples of reaction initiators include organic peracids, azo compounds, quinones, benzophenones, nitroso compounds, halogenated acrylics, hydrazones, mercapto compounds, pyrylium compounds, triacrylimidazoles, bisimidazoles, chloroalkyltriazines, benzoin ethers, benzyl ketals. Thioxanthone, acetophenone, iodonium salt, sulfonium salt, and derivatives thereof.

研磨粒子は一般に、硬化性組成物の中に、100質量部のバインダー前駆体に対して約150質量部以上又は約200質量部以上、かつ約1000質量部以下又は約700質量部以下の量で含まれる。反応開始剤は一般に、硬化性組成物の中に、100質量部のバインダー前駆体に対して約0.1質量部以上又は約0.5質量部以上、かつ約10質量部以下又は約2質量部以下の量で含まれる。   The abrasive particles are generally in the curable composition in an amount of about 150 parts by weight or more or about 200 parts by weight or more and about 1000 parts by weight or less or about 700 parts by weight or less based on 100 parts by weight of the binder precursor. included. The reaction initiator is generally in the curable composition at least about 0.1 parts by weight or more than about 0.5 parts by weight and not more than about 10 parts by weight or about 2 parts by weight with respect to 100 parts by weight of the binder precursor. It is included in the amount of parts or less.

硬化性組成物は、カップリング剤、充填剤、湿潤剤、染料、顔料、可塑剤、充填剤、離型剤、研磨助剤、及びこれに類するものなどの任意選択的な構成成分を更に含むことができる。   The curable composition further includes optional components such as coupling agents, fillers, wetting agents, dyes, pigments, plasticizers, fillers, mold release agents, polishing aids, and the like. be able to.

裏材は、ポリエステル、ポリイミド、ポリアミド、及びこれに類するものなどのポリマーフィルム;紙;バルカナイズドファイバー;成型又は注型エラストマー、処理した不繊布又は繊布;及びこれに類するものとすることができる。接着剤層を使用して、裏材を研磨層に付着させることができる。   The backing can be a polymer film such as polyester, polyimide, polyamide, and the like; paper; vulcanized fiber; molded or cast elastomer; treated non-woven or fine fabric; and the like. An adhesive layer can be used to adhere the backing to the polishing layer.

熱可塑性樹脂又は熱硬化性樹脂を使用して、研磨層と裏材とを一体的に形成することができる。熱可塑性樹脂又は熱硬化性樹脂の例としては、フェノール樹脂、アミノプラスト樹脂、ウレタン樹脂、エポキシ樹脂、エチレン性不飽和樹脂、イソシアヌレートアクリレート樹脂、ウレアホルムアルデヒド樹脂、イソシアヌレート樹脂、ウレタンアクリレート樹脂、エポキシアクリレート樹脂、ビスマレイミド(bimaleimide)樹脂、及びこれらの混合物が挙げられる。有利にも、これらの中でも、ポリアミド樹脂、ポリエステル樹脂、及びポリウレタン樹脂(ポリウレタンウレア樹脂を含む)を使用することができる。   The polishing layer and the backing material can be integrally formed using a thermoplastic resin or a thermosetting resin. Examples of thermoplastic resins or thermosetting resins include phenolic resin, aminoplast resin, urethane resin, epoxy resin, ethylenically unsaturated resin, isocyanurate acrylate resin, urea formaldehyde resin, isocyanurate resin, urethane acrylate resin, epoxy Examples include acrylate resins, bimaleimide resins, and mixtures thereof. Advantageously, among these, polyamide resins, polyester resins, and polyurethane resins (including polyurethane urea resins) can be used.

裏材の厚さは、一般に、約1mm以上又は約0.5cm以上、かつ約2cm以下又は約1cm以下に設定することができる。裏材を弾性材料とすることによって、裏材には形状追跡特性を更に適用してもよい。裏材を予備成形することによって、所定の曲率を裏材に適用してもよい。   The thickness of the backing can generally be set to about 1 mm or more or about 0.5 cm or more and about 2 cm or less or about 1 cm or less. By using an elastic material for the backing, shape tracking characteristics may be further applied to the backing. A predetermined curvature may be applied to the backing by preforming the backing.

研磨材料の三次元的要素の研磨機能は、その上部において実証される。研磨粒子及びバインダーを含む研磨層を有する研磨材料を用いると、三次元的要素は研磨中に頂部から劣化され、未使用の研磨粒子が露出する。したがって、三次元的要素の頂部内に存在する研磨粒子の濃度を増大することによって、研磨材料の切削特性及び研磨特性を増大させることができ、したがって研磨材料を有利に使用することができる。三次元的要素の基部、換言すれば基材に付着した又は基材と一体的に形成された研磨層の下部は通常、研磨機能を必要とせず、したがって、研磨粒子を含まないバインダーのみによって形成することができる。   The polishing function of the three-dimensional element of the abrasive material is demonstrated at the top. With an abrasive material having an abrasive layer containing abrasive particles and a binder, the three-dimensional element is degraded from the top during polishing, exposing unused abrasive particles. Thus, by increasing the concentration of abrasive particles present in the top of the three-dimensional element, the cutting and abrasive properties of the abrasive material can be increased, and therefore the abrasive material can be used advantageously. The base of the three-dimensional element, in other words the lower part of the abrasive layer attached to or integrally formed with the substrate, usually does not require a polishing function and is therefore formed only by the binder without abrasive particles. can do.

研磨層の構造化表面は、様々な形状の三次元的要素を含むことができる。三次元的要素の形状の例としては、円筒、楕円筒、角柱、半球、半楕円球、円錐、角錐、円錐台、角錐台、寄棟屋根、及びこれに類するものが挙げられる。構造化表面は、様々な形状を有する複数の三次元的要素の組み合わせを更に含んでもよい。例えば、構造化表面は、複数の円筒と複数の角錐との組み合わせであってもよい。三次元的要素の基部の断面形状は、頂部の断面形状と異なってもよい。例えば、基部の断面は、正方形形状であってもよいが、頂部の断面は、円形形状であってもよい。通常三次元的要素は、頂部の断面積より大きい断面積を有する基部を有する。三次元的要素の基部は、互いに又は交互に接触していてもよく、隣接する三次元的要素の基部を所定の距離で相互に分離することができる。   The structured surface of the polishing layer can include three-dimensional elements of various shapes. Examples of the shape of the three-dimensional element include a cylinder, an elliptic cylinder, a prism, a hemisphere, a semi-elliptical sphere, a cone, a pyramid, a truncated cone, a truncated pyramid, a dormitory roof, and the like. The structured surface may further include a combination of a plurality of three-dimensional elements having various shapes. For example, the structured surface may be a combination of a plurality of cylinders and a plurality of pyramids. The cross-sectional shape of the base of the three-dimensional element may be different from the cross-sectional shape of the top. For example, the cross section of the base may be square, but the cross section of the top may be circular. Typically, the three-dimensional element has a base having a cross-sectional area that is larger than the cross-sectional area of the top. The bases of the three-dimensional elements may be in contact with each other or alternately, and the bases of adjacent three-dimensional elements can be separated from each other by a predetermined distance.

いくつかの実施形態を用いると、複数の三次元的要素は構造化表面上で系統的に配置される。本開示を用いると、三次元的要素の位置に関して使用される「系統的に」とは、同一の形状又は類似の形状を有する三次元的要素が構造化表面上で、研磨表面に平行な基準面上の1つ又は複数の方向に沿って繰り返し配置されることを意味する。研磨表面に平行な基準面上の1つ又は複数の方向は、直線方向、同心方向、らせん型の(らせん状の)方向、又はこれらの組み合わせとすることができる。構造化表面上に複数の三次元的要素が系統的に配置される実施形態を用いると、三次元的要素の間に存在する、例えば、溝などの空間を構造化表面の本体全体上にパターン状で配置することができ、これは、スラリー、研磨粉末、及びこれに類するものを流し、かつ排出するために有利である。複数の三次元的要素は、表面処理、レーザー処理、又は、ダイヤモンド砥石、切断砥石、又は射出成形によるCVDにより多結晶ダイヤモンド堆積法によって形成することができ、射出成形は、バインダー前駆体を構造化表面のネガパターンを有する金属の三次元的要素内に充填し、次いで例えば、熱、又は放射、及びこれに類するものを使用して硬化させる方法である。   With some embodiments, the plurality of three-dimensional elements are systematically arranged on the structured surface. With this disclosure, “systematically” used in reference to the position of a three-dimensional element is a reference where a three-dimensional element having the same or similar shape is on the structured surface and parallel to the polishing surface. It means that they are repeatedly arranged along one or more directions on the surface. The direction or directions on the reference plane parallel to the polishing surface can be a linear direction, a concentric direction, a helical (spiral) direction, or a combination thereof. With embodiments in which a plurality of three-dimensional elements are systematically arranged on the structured surface, a space, such as a groove, that exists between the three-dimensional elements is patterned on the entire body of the structured surface. This is advantageous for flowing and discharging slurry, abrasive powders, and the like. Multiple three-dimensional elements can be formed by polycrystalline diamond deposition by surface treatment, laser treatment, or CVD by diamond wheel, cutting wheel, or injection molding, which structures the binder precursor Filling into a three-dimensional element of metal having a surface negative pattern and then curing using, for example, heat or radiation, and the like.

本開示の研磨材料で使用することができる構造化表面は、実施例を使用して図3A〜図3Gを参照しながら記述される。図3Aは、三角錐形状を有する複数の三次元的要素が配置されている構造化表面の上表面概略図である。図3Aでは、記号oは三次元的要素12の底辺の長さを表し、記号pは三次元的要素12の頂部間の距離を表す。三角錐の底辺の長さは同一であっても又は相互に異なっていてもよく、辺の長さは同一であっても又は相互に異なっていてもよい。例えば、oは、約5μm以上又は約10μm以上、かつ約1000μm以下又は約500μm以下に設定することができる。pは、約5μm以上又は約10μm以上、かつ約1000μm以下又は約500μm以下に設定することができる。図3Aには図示されないが、三次元的要素12の高さhは、約2μm以上又は約4μm以上、かつ約600μm以下又は約300μm以下に設定することができる。hの変動は、好ましくは三次元的要素12の高さの変動の約20%以下、より好ましくは約10%以下である。   Structured surfaces that can be used with the abrasive materials of the present disclosure are described using examples and with reference to FIGS. 3A-3G. FIG. 3A is a schematic top view of a structured surface on which a plurality of three-dimensional elements having a triangular pyramid shape are arranged. In FIG. 3A, the symbol o represents the length of the base of the three-dimensional element 12 and the symbol p represents the distance between the tops of the three-dimensional element 12. The lengths of the bases of the triangular pyramids may be the same or different from each other, and the lengths of the sides may be the same or different from each other. For example, o can be set to about 5 μm or more, or about 10 μm or more, and about 1000 μm or less, or about 500 μm or less. p can be set to about 5 μm or more or about 10 μm or more and about 1000 μm or less or about 500 μm or less. Although not shown in FIG. 3A, the height h of the three-dimensional element 12 can be set to about 2 μm or more, or about 4 μm or more, and about 600 μm or less, or about 300 μm or less. The variation of h is preferably about 20% or less, more preferably about 10% or less of the height variation of the three-dimensional element 12.

図3Bは、四角錐形状を有する複数の三次元的要素が配置されている構造化表面の上表面概略図である。図3Bでは、記号oは三次元的要素12の底辺の長さを表し、記号pは三次元的要素12の頂部間の距離を表す。四角錐の底辺の長さは同一であっても又は相互に異なっていてもよく、辺の長さは同一であっても又は相互に異なっていてもよい。例えば、oは、約5μm以上又は約10μm以上、かつ約1000μm以下又は約500μm以下に設定することができる。pは、約5μm以上又は約10μm以上、かつ約1000μm以下又は約500μm以下に設定することができる。図3Aには図示されないが、三次元的要素12の高さhは、約2μm以上又は約4μm以上、かつ約600μm以下又は約300μm以下に設定することができる。hの変動は、好ましくは三次元的要素12の高さの変動の約20%以下、より好ましくは約10%以下である。   FIG. 3B is a schematic top view of a structured surface on which a plurality of three-dimensional elements having a quadrangular pyramid shape are arranged. In FIG. 3B, the symbol o represents the base length of the three-dimensional element 12 and the symbol p represents the distance between the tops of the three-dimensional element 12. The lengths of the bases of the quadrangular pyramids may be the same or different from each other, and the lengths of the sides may be the same or different from each other. For example, o can be set to about 5 μm or more, or about 10 μm or more, and about 1000 μm or less, or about 500 μm or less. p can be set to about 5 μm or more or about 10 μm or more and about 1000 μm or less or about 500 μm or less. Although not shown in FIG. 3A, the height h of the three-dimensional element 12 can be set to about 2 μm or more or about 4 μm or more and about 600 μm or less or about 300 μm or less. The variation of h is preferably about 20% or less, more preferably about 10% or less of the height variation of the three-dimensional element 12.

本開示の他の実施形態を用いると、三次元的要素を三角錐台又は四角錐台とすることができる。これらの実施形態の三次元的要素の頂面は、一般に、三角形又は四角形の、研磨表面に平行な基準面で構成される。実質的にすべての頂面は、研磨層に平行な基準面上に存在するのが好ましい。   With other embodiments of the present disclosure, the three-dimensional element can be a triangular frustum or a quadrangular frustum. The top surfaces of the three-dimensional elements of these embodiments are generally comprised of a triangular or quadrangular reference plane parallel to the polishing surface. Substantially all of the top surface is preferably on a reference surface parallel to the polishing layer.

図3Cは、四角錐台形状を有する複数の三次元的要素が配置されている構造化表面の上表面概略図である。頂分を切断する前の四角錐形状が左上に図示される。図3Cでは、記号oは三次元的要素12の底辺の長さを表し、記号uは三次元的要素12の底辺間の距離を表し、記号yは頂面の辺の長さを表す。四角錐台の底辺の長さは同一であっても又は相互に異なっていてもよく、辺の長さは同一であっても又は相互に異なっていてもよく、そして頂面の辺の長さは同一であっても又は相互に異なっていてもよい。例えば、oは、約5μm以上又は約10μm以上、かつ約6000μm以下又は約3000μm以下に設定することができる。uは、約0μm以上又は約2μm以上、かつ約10,000μm以下又は約5000μm以下に設定することができる。yは、約0.5μm以上又は約1μm以上、かつ約6000μm以下又は約3000μm以下に設定することができる。図3Cには図示されないが、三次元的要素12の高さhは、約5μm以上又は約10μm以上、かつ約10,000μm以下又は約5000μm以下に設定することができる。hの変動は、好ましくは三次元的要素12の高さの変動の約20%以下、より好ましくは約10%以下である。   FIG. 3C is a schematic top view of a structured surface on which a plurality of three-dimensional elements having a quadrangular frustum shape are arranged. A quadrangular pyramid shape before cutting the apex is shown in the upper left. In FIG. 3C, symbol o represents the length of the bottom of the three-dimensional element 12, symbol u represents the distance between the bottoms of the three-dimensional element 12, and symbol y represents the length of the top surface. The length of the bases of the truncated pyramid may be the same or different from each other, the lengths of the sides may be the same or different from each other, and the length of the side of the top surface May be the same or different from each other. For example, o can be set to about 5 μm or more or about 10 μm or more, and about 6000 μm or less or about 3000 μm or less. u can be set to about 0 μm or more, or about 2 μm or more, and about 10,000 μm or less, or about 5000 μm or less. y can be set to about 0.5 μm or more, or about 1 μm or more, and about 6000 μm or less, or about 3000 μm or less. Although not shown in FIG. 3C, the height h of the three-dimensional element 12 can be set to about 5 μm or more, or about 10 μm or more, and about 10,000 μm or less, or about 5000 μm or less. The variation of h is preferably about 20% or less, more preferably about 10% or less of the height variation of the three-dimensional element 12.

図3Dは、半球形状を有する複数の三次元的要素が配置されている構造化表面の上表面概略図である。図3Dでは、記号rは三次元的要素12の半径を表し、記号pは三次元的要素12の中心間の距離を表す。例えば、rは、約5μm以上又は約10μm以上、かつ約1000μm以下又は約500μm以下に設定することができる。pは、約5μm以上又は約10μm以上、かつ約1000μm以下又は約500μm以下に設定することができる。図3Dには図示されないが、半球形状を有する三次元的要素の高さhは通常、半径rと同一である。hの変動は、好ましくは三次元的要素12の高さの変動の約20%以下、より好ましくは約10%以下である。   FIG. 3D is an upper surface schematic view of a structured surface on which a plurality of three-dimensional elements having a hemispherical shape are arranged. In FIG. 3D, the symbol r represents the radius of the three-dimensional element 12 and the symbol p represents the distance between the centers of the three-dimensional elements 12. For example, r can be set to about 5 μm or more, or about 10 μm or more, and about 1000 μm or less, or about 500 μm or less. p can be set to about 5 μm or more or about 10 μm or more and about 1000 μm or less or about 500 μm or less. Although not shown in FIG. 3D, the height h of a three-dimensional element having a hemispherical shape is usually the same as the radius r. The variation of h is preferably about 20% or less, more preferably about 10% or less of the height variation of the three-dimensional element 12.

図3Eは、本開示の別の実施形態の断面概略図であり、複数の三次元的要素12は横方向に配向された三角柱であり、尾根部を有する。三次元的要素12は、基材15上に配置され、かつ研磨粒子及びバインダーを含む研磨層上部18と、バインダーを含むが研磨粒子を含まない研磨層下部19との2層構造として図示される。尾根部は、実質的に研磨材料の本体全体にわたって、研磨層に平行な基準面上にあるのが好ましい。いくつかの実施形態では、実質的にすべての尾根部が、研磨層に平行な同一の基準面上に存在する。図3Eでは、記号αは、三次元的要素12の対頂角を表し、記号wは、三次元的要素12の底部の幅を表し、記号pは、三次元的要素12の頂部間の距離を表し、記号uは、三次元的要素12の長い基部間の距離を表し、記号hは、三次元的要素12の基材15の表面からの高さを表し、記号sは、研磨層上部18の高さを表す。例えば、αは、約30°以上又は約45°以上、かつ約150°以下又は約140°以下に設定することができる。wは、約2μm以上又は約4μm以上、かつ約2000μm以下又は約1000μm以下に設定することができる。pは、約2μm以上又は約4μm以上、かつ約4000μm以下又は約2000μm以下に設定することができる。uは、約0μm以上又は約2μm以上、かつ約2000μm以下又は約1000μm以下に設定することができる。hは、約2μm以上又は約4μm以上、かつ約600μm以下又は約300μm以下に設定することができる。sは、三次元的要素12の高さhの約5%以上又は約10%以上、かつ約95%以下又は約90%以下に設定することができる。hの変動は、好ましくは三次元的要素12の高さの変動の約20%以下、より好ましくは約10%以下である。   FIG. 3E is a cross-sectional schematic view of another embodiment of the present disclosure, wherein the plurality of three-dimensional elements 12 are laterally oriented triangular prisms having a ridge. The three-dimensional element 12 is illustrated as a two-layer structure disposed on a substrate 15 and comprising a polishing layer upper part 18 containing abrasive particles and a binder and an abrasive layer lower part 19 containing a binder but no abrasive particles. . The ridge is preferably on a reference plane parallel to the polishing layer, substantially over the entire body of polishing material. In some embodiments, substantially all ridges are on the same reference plane parallel to the polishing layer. In FIG. 3E, the symbol α represents the vertical angle of the three-dimensional element 12, the symbol w represents the width of the bottom of the three-dimensional element 12, and the symbol p represents the distance between the tops of the three-dimensional element 12. , The symbol u represents the distance between the long bases of the three-dimensional element 12, the symbol h represents the height of the three-dimensional element 12 from the surface of the substrate 15, and the symbol s represents the top of the polishing layer 18. Represents the height. For example, α can be set to about 30 ° or more or about 45 ° or more, and about 150 ° or less or about 140 ° or less. w can be set to about 2 μm or more, or about 4 μm or more, and about 2000 μm or less, or about 1000 μm or less. p can be set to about 2 μm or more, or about 4 μm or more, and about 4000 μm or less, or about 2000 μm or less. u can be set to about 0 μm or more or about 2 μm or more and about 2000 μm or less or about 1000 μm or less. h can be set to about 2 μm or more, or about 4 μm or more, and about 600 μm or less, or about 300 μm or less. s can be set to about 5% or more or about 10% or more of the height h of the three-dimensional element 12 and about 95% or less or about 90% or less. The variation of h is preferably about 20% or less, more preferably about 10% or less of the height variation of the three-dimensional element 12.

図3Eに図示される個々の三次元的要素12は、研磨材料の全表面にわたって延在する場合がある。この場合、三次元的要素12の基部の長手方向での両方の端部は研磨材料の端部の近傍にあり、複数の三次元的要素12は帯形状で配置される。   The individual three-dimensional elements 12 illustrated in FIG. 3E may extend across the entire surface of the abrasive material. In this case, both ends in the longitudinal direction of the base of the three-dimensional element 12 are in the vicinity of the end of the abrasive material, and the plurality of three-dimensional elements 12 are arranged in a band shape.

本開示の別の実施形態を用いると、三次元的要素は寄棟屋根形状を有する。本開示では、「寄棟屋根」形状は、2つの対応する三角形形状と2つの対応する四角形形状とで構成された側面を備える三次元的形状を示し、隣接する三角形側面と四角形側面とは区域を共有し、対応する2つの四角形の側面によって共有される区域は尾根部である。尾根部は、実質的に研磨材料の本体全体にわたって、研磨層に平行な基準面上にあるのが好ましい。いくつかの実施形態では、実質的にすべての尾根部が、研磨層に平行な同一の基準面上に存在する。2つの三角形側面と2つの四角形側面とは、同一の形状を有しても又は相互に異なる形状を有してもよい。したがって、寄棟屋根形状の底面は、矩形、台形、又はこれに類するものであってもよく、4辺の長さは相互に正方形形状とは異なっていてもよい。   With another embodiment of the present disclosure, the three-dimensional element has a dormitory roof shape. In the present disclosure, the “boarding roof” shape refers to a three-dimensional shape with side surfaces composed of two corresponding triangular shapes and two corresponding square shapes, where adjacent triangular side surfaces and rectangular side surfaces are areas. The area shared by two corresponding rectangular sides is the ridge. The ridge is preferably on a reference plane parallel to the polishing layer, substantially over the entire body of polishing material. In some embodiments, substantially all ridges are on the same reference plane parallel to the polishing layer. The two triangular side surfaces and the two rectangular side surfaces may have the same shape or different shapes. Therefore, the bottom surface of the dormitory roof shape may be rectangular, trapezoidal, or the like, and the lengths of the four sides may be different from the square shape.

図3Fは、寄棟屋根形状を有する複数の三次元的要素が配置されている構造化表面の上表面概略図である。図3Fは、矩形の底面を有する寄棟屋根形状を図示する。図3Fでは、記号lは三次元的要素12の長い基部の長さを表し、記号xは隣接する三次元的要素12の短い基部間の距離を表す。例えば、lは、約5μm以上又は約10μm以上、かつ約10mm以下又は約5mm以下に設定することができる。xは、約0μm以上又は約2μm以上、かつ約2000μm以下又は約1000μm以下に設定することができる。記号w、p、及びuの定義並びに例示的な数値範囲、図3Fには図示されていないが、記号h、s、α、及びこれに類するものは、図3Eに記述されたものと同一である。   FIG. 3F is a schematic top view of a structured surface on which a plurality of three-dimensional elements having a laid roof shape are arranged. FIG. 3F illustrates a dormitory roof shape having a rectangular bottom surface. In FIG. 3F, the symbol l represents the length of the long base of the three-dimensional element 12 and the symbol x represents the distance between the short bases of adjacent three-dimensional elements 12. For example, l can be set to about 5 μm or more or about 10 μm or more, and about 10 mm or less or about 5 mm or less. x can be set to about 0 μm or more, or about 2 μm or more, and about 2000 μm or less, or about 1000 μm or less. Definitions of symbols w, p, and u and exemplary numerical ranges, not shown in FIG. 3F, are the same as those described in FIG. 3E, although symbols h, s, α, and the like are similar. is there.

別の実施形態を用いると、構造化表面は、様々な形状を有する複数の三次元的要素の組み合わせを含む。図3Gは、かかる実施形態の例を図示する。図3Gに図示した構造化表面は、第1の三角錐121と、第2の三角錐122と、六角錐123と、寄棟屋根124との組み合わせを含む。それぞれの三次元的要素の基部の長さは、約5μm以上又は10μm以上、かつ約1000μm以下又は約500μm以下に設定することができ、高さはそれぞれ、約2μm以上又は約4μm以上、かつ約600μm以下又は約300μm以下に設定することができる。隣接する三次元的要素の基部間の距離は、0μm以上又は約2μm以上、かつ約10,000μm以下又は約5000μm以下に設定することができる。寄棟屋根124の尾根部の長さは、約0.5μm以上又は約1μm以上、かつ約1000μm以下又は約500μm以下に設定することができる。   With another embodiment, the structured surface includes a combination of a plurality of three-dimensional elements having various shapes. FIG. 3G illustrates an example of such an embodiment. The structured surface illustrated in FIG. 3G includes a combination of a first triangular pyramid 121, a second triangular pyramid 122, a hexagonal pyramid 123, and a dormitory roof 124. The length of the base of each three-dimensional element can be set to about 5 μm or more or 10 μm or more, and about 1000 μm or less or about 500 μm or less, and the height is about 2 μm or more or about 4 μm or more, respectively. It can be set to 600 μm or less or about 300 μm or less. The distance between the bases of adjacent three-dimensional elements can be set to 0 μm or more or about 2 μm or more, and about 10,000 μm or less or about 5000 μm or less. The length of the ridge portion of the dormitory roof 124 can be set to about 0.5 μm or more or about 1 μm or more and about 1000 μm or less or about 500 μm or less.

いくつかの実施形態を用いると、研磨材料の三次元的要素の密度、換言すれば研磨材料1cm当たりの三次元的要素の数は、約0.5要素/cm以上又は1.0要素/cm以上、かつ約1×10要素/cm以下又は約4×10要素/cm以下である。構造化表面上に複数の三次元的要素が系統的に配置される実施形態を用いると、研磨材料1cm当たりの三次元的要素の数は、約0.05要素/cm以上又は約0.10要素/cm以上、かつ約1×10要素/cm以下又は約4×10要素/cm以下に設定することができる。この実施形態を用いると、構造化表面上に三次元的要素を高い密度で配設することによって高い研磨効率が達成される一方で、三次元的要素の間に存在する所定のパターンを有する空間(例えば、溝など)を使用すること、及び組み合わせて表面処理を構造化表面上に実施することによって、スラリー、研磨粉末、及びこれに類するものを効率的に排出することができる。 With some embodiments, the density of the three-dimensional elements of the abrasive material, in other words, the number of three-dimensional elements per cm 2 of the abrasive material is about 0.5 element / cm 2 or more, or 1.0 element / Cm 2 or more and about 1 × 10 7 elements / cm 2 or less or about 4 × 10 6 elements / cm 2 or less. Using embodiments in which a plurality of three-dimensional elements are systematically arranged on the structured surface, the number of three-dimensional elements per cm 2 of abrasive material is greater than or equal to about 0.05 elements / cm 2 or about 0. .10 element / cm 2 or more and about 1 × 10 6 element / cm 2 or less, or about 4 × 10 5 element / cm 2 or less. With this embodiment, a high polishing efficiency is achieved by arranging three-dimensional elements at a high density on the structured surface, while a space having a predetermined pattern that exists between the three-dimensional elements. Slurries, abrasive powders, and the like can be efficiently discharged by using (e.g., grooves) and combining and performing a surface treatment on the structured surface.

本開示の研磨材料については、フッ素処理又はケイ素処理が構造化表面の少なくとも一部の上に実施される。いかなる理論にも束縛されるものではないが、ダイヤモンド状炭素又はこれに類するものなどの表面コーティング層によって構造化表面が覆われている研磨材料、並びに研磨層が研磨粒子及び樹脂バインダーを含む研磨材料は、構造化表面上に帯電を生じる又は構造化表面の表面エネルギーを生じると考えられ、したがって導電性のニッケルメッキ又はこれに類するものによって基材上に付着した研磨粒子を有する従来の研磨材料と比較して、異物が静電気又は別の相互作用によって構造化表面に付着する傾向がある。本開示によると、構造化表面が比較的高い密度で三次元的要素を含有する場合でさえも、これらの三次元的要素の表面処理によって構造化表面の表面エネルギーを低減することができ、研磨スラリー、有機化合物、及びこれに類するものの中の研磨粒子、ポリウレタンフォームパッドから発生したポリウレタン粒子、並びにこれに類するものの付着又は堆積などの、構造化表面上への異物の付着を防止又は抑制することができる。   For the abrasive materials of the present disclosure, a fluorination or silicon treatment is performed on at least a portion of the structured surface. Without being bound by any theory, an abrasive material whose structured surface is covered by a surface coating layer such as diamond-like carbon or the like, and an abrasive material wherein the abrasive layer comprises abrasive particles and a resin binder Is believed to produce a charge on the structured surface or to produce surface energy of the structured surface, and thus a conventional abrasive material having abrasive particles deposited on a substrate by conductive nickel plating or the like In comparison, foreign objects tend to adhere to structured surfaces due to static electricity or other interactions. According to the present disclosure, even if the structured surface contains three-dimensional elements at a relatively high density, surface treatment of these three-dimensional elements can reduce the surface energy of the structured surface and polish Prevent or inhibit the adherence of foreign matter on structured surfaces such as abrasive particles in slurries, organic compounds, and the like, polyurethane particles generated from polyurethane foam pads, and the like, or the like. Can do.

本開示では、有利にも、プラズマ処理、化学蒸着(CVD)法、物理蒸着(PVD)法、又はフッ素ガス処理によってフッ素処理を実施することができる。   In the present disclosure, the fluorine treatment can be advantageously performed by plasma treatment, chemical vapor deposition (CVD), physical vapor deposition (PVD), or fluorine gas treatment.

本開示による「プラズマ処理」とは、プラズマによって活性化した原材料ガスを使用して処理対象物の表面の化学組成を変化させる処理を指し、プラズマ処理された表面上に、処理対象物に由来する材料を含む反応生成物が含まれる。一方で、化学蒸着及び物理蒸着を用いて、処理対象物の表面上に堆積することによって、気体、液体、又は固体原材料に由来する構成成分を含む膜が形成される。化学蒸着法としては、例えば、熱CVD法、直接プラズマ強化CVD法、リモートプラズマCVD法、ホットワイヤCVD法、及びこれに類するものが挙げられる。物理蒸着法としては、スパッタリング、真空蒸着、アーク溶射、プラズマ溶射、エアロゾル堆積法、及びこれに類するものが挙げられる。   “Plasma treatment” according to the present disclosure refers to a treatment that changes the chemical composition of the surface of a processing object using a raw material gas activated by plasma, and is derived from the processing object on the plasma-treated surface. Reaction products including materials are included. On the other hand, a film containing components derived from gas, liquid, or solid raw materials is formed by depositing on the surface of the object to be processed using chemical vapor deposition and physical vapor deposition. Examples of the chemical vapor deposition method include a thermal CVD method, a direct plasma enhanced CVD method, a remote plasma CVD method, a hot wire CVD method, and the like. Examples of physical vapor deposition include sputtering, vacuum vapor deposition, arc spraying, plasma spraying, aerosol deposition, and the like.

いかなる理論にも束縛されるものではないが、ダイヤモンド状炭素又は研磨粒子などの表面コーティング層の表面の周囲でフッ素がドープされ、バインダー内に含まれるポリマー中でのC−F結合の生成に起因して材料の表面がフッ素で終端し、構造化表面及びこれに類するものの上に多くのC−C結合を含む緻密化したフッ化炭素含むコーティングが形成されるような現象を、フッ素処理が作り出すと考えられる。   Without being bound by any theory, it is due to the formation of C—F bonds in the polymer that is doped with fluorine around the surface of the surface coating layer such as diamond-like carbon or abrasive particles and contained in the binder. Thus, the fluorine treatment creates a phenomenon in which the surface of the material is terminated with fluorine and a dense fluorocarbon coating containing many C—C bonds is formed on the structured surface and the like. it is conceivable that.

いくつかの実施形態を用いると、減圧可能なチャンバ付きの低圧プラズマ装置又は大気圧プラズマ装置を使用して、プラズマ処理又は化学蒸着法によるフッ素処理を実施することができる。プラズマ装置を使用する化学蒸着法は、一般にプラズマ強化CVD法と称される。大気圧プラズマ装置を使用する場合、放電ガスとしては、フッ素含有ガスに加えて、窒素ガス及び/又は周期律表の第18族元素、具体的には、ヘリウム、ネオン、アルゴン、クリプトン、キセノン、ラドン、及びこれに類するものが使用される。これらの中でも、窒素、ヘリウム、及びアルゴンを有利に使用することができ、コストの観点からは窒素が特に有利である。低圧プラズマ装置は、一般にバッチ処理に使用される。長いウェビング又はこれに類するものの連続的な処理が必要な場合、生産性の観点から大気圧プラズマ装置の使用が有利である場合がある。プラズマを発生するための方法として、コロナ放電、13.56MHz高周波電源を使用するシングルRF放電若しくはデュアルRF放電などの誘電体バリア放電、2.45GHzマイクロ波放電、アーク放電、又はこれに類するものなどの従来の方法を使用することができる。これらの発生方法の中で、13.56MHz高周波電源を使用するシングルRF放電を有利に使用することができる。   With some embodiments, a fluorine treatment by plasma treatment or chemical vapor deposition can be performed using a low pressure plasma device with a depressurizable chamber or an atmospheric pressure plasma device. A chemical vapor deposition method using a plasma apparatus is generally referred to as a plasma enhanced CVD method. When an atmospheric pressure plasma apparatus is used, as a discharge gas, in addition to fluorine-containing gas, nitrogen gas and / or Group 18 element of the periodic table, specifically helium, neon, argon, krypton, xenon, Radon and the like are used. Among these, nitrogen, helium, and argon can be advantageously used, and nitrogen is particularly advantageous from the viewpoint of cost. A low-pressure plasma apparatus is generally used for batch processing. If continuous processing of long webbings or the like is required, the use of an atmospheric pressure plasma device may be advantageous from a productivity standpoint. As a method for generating plasma, corona discharge, dielectric barrier discharge such as single RF discharge or dual RF discharge using a 13.56 MHz high frequency power source, 2.45 GHz microwave discharge, arc discharge, or the like Conventional methods can be used. Among these generation methods, a single RF discharge using a 13.56 MHz high frequency power supply can be advantageously used.

プラズマ処理又は化学蒸着法で使用されるフッ素含有ガスとして、CF、C、C、C、CHF、CH、CHF、C、C、C10、C14、三フッ化窒素(NF)、SF、及びこれに類するものなどのフッ化炭素を使用することができる。安全性、反応性、及びこれに類するものの観点から、C、C14、及びCFを有利に使用することができる。フッ素含有ガスの流量は、約20sccm以上又は約50sccm以上、かつ約1000sccm以下又は約500sccm以下に設定することができる。約50sccm以上かつ約5000sccm以下の流量のキャリアガス(窒素、ヘリウム、又はアルゴンなど)を、装置に供給されるガス流に更に含んでもよい。 As fluorine-containing gas used in plasma treatment or chemical vapor deposition, CF 4 , C 4 F 8 , C 5 F 6 , C 4 F 6 , CHF 3 , CH 2 F 2 , CH 3 F, C 2 F 6 , C 3 F 8, C 4 F 10, C 6 F 14, nitrogen trifluoride (NF 3), may be used a fluorocarbon such as SF 6, and the like thereto. From the viewpoints of safety, reactivity, and the like, C 3 F 8 , C 6 F 14 , and CF 4 can be advantageously used. The flow rate of the fluorine-containing gas can be set to about 20 sccm or more or about 50 sccm or more and about 1000 sccm or less or about 500 sccm or less. A carrier gas (such as nitrogen, helium, or argon) having a flow rate between about 50 sccm and about 5000 sccm may be further included in the gas stream supplied to the apparatus.

いくつかの実施形態では、原材料ガスのC/F比を約3以下に設定することによって好ましい膜を堆積する可能性が知られており、この場合、アセチレン、アセトン、及びこれに類するものなどの非フッ素系ガスを添加することによって、C/F比を調節することができる。原材料ガスのC/F比が約2以上かつ約3以下である実施形態を用いると、バイアス電圧に依存して、プラズマ処理による表面改質が選択的に発生する場合があり、又は化学蒸着法による膜堆積が選択的に発生する場合がある。かかる実施形態ではバイアス電圧を調節することによって、フッ素処理を、プラズマ処理又は化学蒸着、又はこれらの組み合わせをすることができる。バイアス電圧の範囲は、装置若しくはこれに類するもののサイズ又は設計に基づいて変動するが、これは一般に約100V以下、約0V以下〜約−1000V以上、又は約−100,000V以上に設定することができる。   In some embodiments, the possibility of depositing a preferred film by setting the C / F ratio of the raw material gas to about 3 or less is known, in which case acetylene, acetone, and the like The C / F ratio can be adjusted by adding a non-fluorine-based gas. When using an embodiment in which the C / F ratio of the raw material gas is about 2 or more and about 3 or less, depending on the bias voltage, surface modification by plasma treatment may occur selectively, or chemical vapor deposition In some cases, film deposition may occur selectively. In such an embodiment, the fluorine treatment can be plasma treatment or chemical vapor deposition, or a combination thereof, by adjusting the bias voltage. The range of the bias voltage varies based on the size or design of the device or the like, which can generally be set to about 100V or less, about 0V or less to about −1000V or more, or about −100,000V or more. it can.

プラズマ発生のために必要とされる印加電力は、処理される研磨材料の寸法に基づいて決定することができ、放射空間内の電力密度は一般に、約0.00003W/cm以上又は約0.0002W/cm以上、かつ約10W/cm以下又は約1W/cm以下となるように選択することができる。例えば、フッ化物処理される研磨材料の寸法が10cm(長さ)×10cm(幅)以下である場合、印加電力は、約200W以上又は約500W以上、かつ約4kW以下又は約2.5kW以下に設定することができる。 The applied power required for plasma generation can be determined based on the dimensions of the abrasive material being processed, and the power density in the radiation space is generally greater than or equal to about 0.00003 W / cm 2 or about .0. 0002W / cm 2 or more, and to be about 10 W / cm 2 or less, or about 1W / cm 2 or less can be selected. For example, when the dimension of the polishing material to be fluoride-treated is 10 cm (length) × 10 cm (width) or less, the applied power is about 200 W or more or about 500 W or more, and about 4 kW or less or about 2.5 kW or less. Can be set.

プラズマ処理又は化学蒸着法の温度は、処理される研磨材料及びこれに類するものの特徴及び性能を損なわない温度であるのが好ましく、処理される研磨材料の表面温度は、約−15℃以上、約0℃以上、又は約15℃以上、かつ約400℃以下、約200℃以下、又は約100℃以下に設定することができる。研磨材料の表面温度は、研磨材料と接触する熱電対、放射温度計、又はこれに類するものによって測定することができる。   The temperature of the plasma treatment or chemical vapor deposition method is preferably a temperature that does not impair the characteristics and performance of the abrasive material to be treated and the like, and the surface temperature of the abrasive material to be treated is about −15 ° C. or more, about It can be set to 0 ° C or higher, or about 15 ° C or higher, and about 400 ° C or lower, about 200 ° C or lower, or about 100 ° C or lower. The surface temperature of the abrasive material can be measured by a thermocouple, radiation thermometer, or the like that contacts the abrasive material.

低圧プラズマ装置を使用してプラズマ処理又は化学蒸着法を実施するとき、処理圧力は、約10mTorr(0.001kPa)以上又は約20mTorr(0.003kPa)以上、かつ約1500mTorr(0.20kPa)以下又は約1000mTorr(0.1kPa)以下に設定することができる。   When performing plasma treatment or chemical vapor deposition using a low-pressure plasma apparatus, the treatment pressure is about 10 mTorr (0.001 kPa) or more or about 20 mTorr (0.003 kPa) or more and about 1500 mTorr (0.20 kPa) or less, or It can be set to about 1000 mTorr (0.1 kPa) or less.

プラズマ処理又は化学蒸着法のための処理時間は、約2秒以上、約5秒以上、又は約10秒以上、かつ約300秒以下、約180秒以下、又は約120秒以下に設定することができる。   The processing time for plasma treatment or chemical vapor deposition may be set to about 2 seconds or more, about 5 seconds or more, or about 10 seconds or more, and about 300 seconds or less, about 180 seconds or less, or about 120 seconds or less. it can.

別の実施形態を用いると、プラズマ処理又は化学蒸着法によるフッ素処理として、リモートプラズマ装置を使用することができる。リモートプラズマ装置を使用する化学蒸着法は、一般にリモートプラズマCVD法と称される。リモートプラズマ装置を用いると、処理チャンバとは異なるプラズマ励起チャンバ内にプラズマが発生し、プラズマ励起チャンバ内に原材料ガスを導入することによって励起活性種が発生し、発生した励起活性種は、窒素、ヘリウム、ネオン、アルゴン、又はこれに類するものなどのキャリアガスとともに処理チャンバの中へと流れ込み、したがって、研磨材料の構造化表面のフッ素処理が実施される。   With another embodiment, a remote plasma device can be used for plasma treatment or fluorine treatment by chemical vapor deposition. A chemical vapor deposition method using a remote plasma apparatus is generally called a remote plasma CVD method. When a remote plasma apparatus is used, plasma is generated in a plasma excitation chamber different from the processing chamber, and excited active species are generated by introducing a raw material gas into the plasma excitation chamber. The generated excited active species are nitrogen, It flows into the processing chamber with a carrier gas such as helium, neon, argon, or the like, and thus a fluorination of the structured surface of the abrasive material is performed.

圧力を低減した処理チャンバを用いる低圧リモートプラズマ装置、又は大気圧リモートプラズマ装置を、リモートプラズマ装置として使用することができる。使用することができる放電ガス及び好ましい放電ガスは、低圧プラズマ装置及び大気圧プラズマ装置について上述されているものである。高周波(13.56MHz)RF放電、2.45GHz)マイクロ波放電、2.45GHzマイクロ波放電/電子サイクロトロン共鳴(ECR)、及びこれに類するものは、プラズマ発生方法として一般に使用され、リモートプラズマに望ましい高いプラズマ密度を達成することができるので、2.45GHzマイクロ波放電及び2.45GHzマイクロ波放電/電子サイクロトロン共鳴(ECR)が有利に使用される。   A low pressure remote plasma device using a reduced pressure processing chamber or an atmospheric pressure remote plasma device can be used as the remote plasma device. Discharge gases that can be used and preferred discharge gases are those described above for the low pressure plasma apparatus and the atmospheric pressure plasma apparatus. High frequency (13.56 MHz) RF discharge, 2.45 GHz microwave discharge, 2.45 GHz microwave discharge / electron cyclotron resonance (ECR), and the like are commonly used as plasma generation methods and are desirable for remote plasma Since high plasma density can be achieved, 2.45 GHz microwave discharge and 2.45 GHz microwave discharge / electron cyclotron resonance (ECR) are advantageously used.

CF、C、C、C、CHF、CH、CHF、C、C、C10、C14、及びこれに類するものなどのフッ化炭素、三フッ化窒素(NF)、SF、並びにこれに類するものを、リモートプラズマ装置を使用するプラズマ処理又は化学蒸着法に使用されるフッ素含有ガスとして使用することができる。励起活性種の寿命はより長く、安全性が高く、したがってNF及びSFを有利に使用することができる。フッ素含有ガスの流量は、約20sccm以上又は約50sccm以上、かつ約1000sccm以下又は約500sccm以下に設定することができる。キャリアガスの流量は、約100sccm以上又は約200sccm以上、かつ約5000sccm以下又は約200sccm以下に設定することができる。 CF 4, C 4 F 8, C 5 F 6, C 4 F 6, CHF 3, CH 2 F 2, CH 3 F, C 2 F 6, C 3 F 8, C 4 F 10, C 6 F 14, Fluorocarbon, nitrogen trifluoride (NF 3 ), SF 6 , and the like, and the like, as fluorine-containing gas used in plasma processing or chemical vapor deposition using a remote plasma apparatus Can be used. The lifetime of the excited active species is longer and safer, so NF 3 and SF 6 can be used advantageously. The flow rate of the fluorine-containing gas can be set to about 20 sccm or more or about 50 sccm or more and about 1000 sccm or less or about 500 sccm or less. The flow rate of the carrier gas can be set to about 100 sccm or more or about 200 sccm or more, and about 5000 sccm or less or about 200 sccm or less.

いくつかの実施形態では、原材料ガスのC/F比を約3以下に設定することによって好ましい膜を堆積する可能性が知られており、この場合、アセチレン、アセトン、及びこれに類するものなどの非フッ素系ガスを添加することによってC/F比を調節することができる。原材料ガスのC/F比が約2以上、かつ約3以下である実施形態を用いると、バイアス電圧に依存して、プラズマ処理による表面改質が選択的に発生する場合があり、又は化学蒸着法による膜堆積が選択的に発生する場合がある。かかる実施形態ではバイアス電圧を調節することによって、フッ素処理は、プラズマ処理又は化学蒸着、又はこれらの組み合わせであることができる。バイアス電圧の範囲は、装置若しくはこれに類するもののサイズ又は設計に基づいて変動するが、これは一般に約100V以下、約0V以下〜約−1000V以上、又は約−100,000V以上に設定することができる。   In some embodiments, the possibility of depositing a preferred film by setting the C / F ratio of the raw material gas to about 3 or less is known, in which case acetylene, acetone, and the like The C / F ratio can be adjusted by adding a non-fluorine gas. When using an embodiment in which the C / F ratio of the raw material gas is about 2 or more and about 3 or less, depending on the bias voltage, surface modification by plasma treatment may occur selectively, or chemical vapor deposition The film deposition by the method may occur selectively. In such embodiments, by adjusting the bias voltage, the fluorine treatment can be plasma treatment or chemical vapor deposition, or a combination thereof. The range of the bias voltage varies based on the size or design of the device or the like, which can generally be set to about 100V or less, about 0V or less to about −1000V or more, or about −100,000V or more. it can.

プラズマ発生で要求される適用電力は、例えば、約1W以上又は約10W以上、かつ約300kW以下又は約30kW以下に設定することができる。   The applied power required for plasma generation can be set to, for example, about 1 W or more or about 10 W or more, and about 300 kW or less or about 30 kW or less.

リモートプラズマ装置を用いると、処理される研磨材料を低い温度に維持しながらフッ素処理を実施することができる。例えば、処理される研磨材料の表面温度は、約−15℃以上、約0℃以上、又は約15℃以上、かつ約200℃以下、約100℃以下、又は約50℃以下に設定することができる。研磨材料の表面温度は、研磨材料と接触する熱電対、放射温度計、又はこれに類するものによって測定することができる。   When a remote plasma apparatus is used, fluorine treatment can be performed while maintaining the polishing material to be processed at a low temperature. For example, the surface temperature of the polishing material to be treated may be set to about −15 ° C. or higher, about 0 ° C. or higher, or about 15 ° C. or higher, and about 200 ° C. or lower, about 100 ° C. or lower, or about 50 ° C. or lower. it can. The surface temperature of the abrasive material can be measured by a thermocouple, radiation thermometer, or the like that contacts the abrasive material.

低圧リモートプラズマ装置を使用してプラズマ処理又は化学蒸着法を実施するとき、処理圧力は、約1mTorr(0.0001kPa)以上又は約10mTorr(0.001kPa)以上、かつ約1500mTorr(0.2kPa)以下又は約1000mTorr(0.1kPa)以下に設定することができる。   When performing plasma processing or chemical vapor deposition using a low-pressure remote plasma apparatus, the processing pressure is about 1 mTorr (0.0001 kPa) or more or about 10 mTorr (0.001 kPa) or more and about 1500 mTorr (0.2 kPa) or less. Alternatively, it can be set to about 1000 mTorr (0.1 kPa) or less.

プラズマ処理又は化学蒸着法のための処理時間は、約2秒以上、約5秒以上、又は約10秒以上、かつ約300秒以下、約180秒以下、又は約120秒以下に設定することができる。   The processing time for plasma treatment or chemical vapor deposition may be set to about 2 seconds or more, about 5 seconds or more, or about 10 seconds or more, and about 300 seconds or less, about 180 seconds or less, or about 120 seconds or less. it can.

別の実施形態では、物理蒸着法によるフッ素処理としてスパッタリングを使用することができる。イオンスパッタリング装置、DCマグネトロンスパッタリング装置、RFマグネトロンスパッタリング装置、又はこれに類するものなどの典型的なスパッタリング装置を使用して、スパッタリングを実施することができる。   In another embodiment, sputtering can be used as a fluorine treatment by physical vapor deposition. Sputtering can be performed using typical sputtering equipment such as ion sputtering equipment, DC magnetron sputtering equipment, RF magnetron sputtering equipment, or the like.

フッ素処理のスパッタリングターゲットとして、ポリテトラフルオロエチレン(PTFE)、ポリフッ化ビニリデン(PVDF)、及びこれに類するものなどのフルオロポリマーを使用することができる。CF、C、C、C、CHF、CH、CHF、C、C、C10、C14、及びこれに類するものなどのフッ化炭素、フッ化窒素(NF)、SF、並びにこれに類するものを処理チャンバ内に供給することによって反応性スパッタリングを実施してもよい。 Fluoropolymers such as polytetrafluoroethylene (PTFE), polyvinylidene fluoride (PVDF), and the like can be used as a fluorine-treated sputtering target. CF 4, C 4 F 8, C 5 F 6, C 4 F 6, CHF 3, CH 2 F 2, CH 3 F, C 2 F 6, C 3 F 8, C 4 F 10, C 6 F 14, And reactive sputtering may be performed by supplying carbon fluoride, nitrogen fluoride (NF 3 ), SF 6 , and the like into the processing chamber.

スパッタリング温度は、約−193℃以上又は約25℃以上、かつ約600℃以下又は約1300℃以下に設定することができる。   The sputtering temperature can be set to about −193 ° C. or higher or about 25 ° C. or higher and about 600 ° C. or lower or about 1300 ° C. or lower.

スパッタリングの処理圧力は、約1×10−5Torr(1×10−6kPa)以上又は約1×10−3Torr(1×10−4kPa)以上、かつ約10mTorr(0.001kPa)以下又は約100mTorr(0.01kPa)以下に設定することができる。 The processing pressure of sputtering is about 1 × 10 −5 Torr (1 × 10 −6 kPa) or more or about 1 × 10 −3 Torr (1 × 10 −4 kPa) or more and about 10 mTorr (0.001 kPa) or less, or It can be set to about 100 mTorr (0.01 kPa) or less.

スパッタリングの処理時間は、約1秒以上、約5秒以上、又は約10秒以上、かつ約30秒以下、約60秒以下、又は約180秒以下に設定することができる。   The sputtering treatment time can be set to about 1 second or more, about 5 seconds or more, or about 10 seconds or more, and about 30 seconds or less, about 60 seconds or less, or about 180 seconds or less.

別の実施形態を用いると、物理蒸着によるフッ素処理として真空蒸着を使用することができる。抵抗加熱蒸着装置、電子ビーム蒸着装置、イオンメッキ装置、又はこれに類するものなどの典型的な堆積装置を使用して、真空蒸着を実施することができる。   With another embodiment, vacuum deposition can be used as the fluorination treatment by physical vapor deposition. Vacuum deposition can be performed using typical deposition equipment such as resistance heating deposition equipment, electron beam deposition equipment, ion plating equipment, or the like.

ポリテトラフルオロエチレン(PTFE)、ポリフッ化ビニリデン(PVDF)、及び他のフルオロポリマー、フッ化カルシウム(CaF)、及び他のフッ素含有有機化合物、並びにこれに類するものを、堆積物供給源として使用することができる。 Use polytetrafluoroethylene (PTFE), polyvinylidene fluoride (PVDF), and other fluoropolymers, calcium fluoride (CaF 2 ), other fluorine-containing organic compounds, and the like as deposit sources can do.

堆積温度は、約−193℃以上又は約25℃以上、かつ約600℃以下又は約1000℃以下に設定することができる。   The deposition temperature can be set to about −193 ° C. or higher or about 25 ° C. or higher and about 600 ° C. or lower or about 1000 ° C. or lower.

堆積の処理圧力は、約1×10−6Torr(1×10−7kPa)以上又は約1×10−5Torr(1×10−6kPa)以上、かつ約1×10−3Torr(1×10−4kPa)以下又は約1×10−2Torr(1×10−3kPa)以下に設定することができる。 The processing pressure for the deposition is about 1 × 10 −6 Torr (1 × 10 −7 kPa) or more, or about 1 × 10 −5 Torr (1 × 10 −6 kPa) or more, and about 1 × 10 −3 Torr (1 × 10 −4 kPa) or less or about 1 × 10 −2 Torr (1 × 10 −3 kPa) or less.

堆積の処理時間は、約5秒以上、約10秒以上、又は約30秒以上、かつ約120秒以下、約600秒以下、又は約1200秒以下に設定することができる。   Deposition processing time can be set to about 5 seconds or more, about 10 seconds or more, or about 30 seconds or more, and about 120 seconds or less, about 600 seconds or less, or about 1200 seconds or less.

別の実施形態を用いると、フッ素処理としてフッ素ガス(F)処理が使用される。フッ素ガスは、窒素、ヘリウム、アルゴン、二酸化炭素、及びこれに類するものなどの不活性ガスで希釈してもよく、希釈せずにそのまま使用してもよい。フッ素ガス処理は、一般に、大気圧で実施される。 With another embodiment, fluorine gas (F 2 ) treatment is used as the fluorine treatment. The fluorine gas may be diluted with an inert gas such as nitrogen, helium, argon, carbon dioxide, and the like, or may be used as it is without being diluted. The fluorine gas treatment is generally performed at atmospheric pressure.

フッ素ガスが研磨材料の構造化表面と接触するときの温度は、室温以上、約50℃以上、又は約100℃以上、かつ約250℃以下、約220℃以下、又は約200℃以下に設定することができる。   The temperature at which the fluorine gas contacts the structured surface of the abrasive material is set to room temperature or higher, about 50 ° C. or higher, or about 100 ° C. or higher, and about 250 ° C. or lower, about 220 ° C. or lower, or about 200 ° C. or lower. be able to.

フッ素ガス処理の処理時間は、約1分以上又は約1時間以上、かつ約1週間以下又は約50時間以下に設定することができる。   The treatment time of the fluorine gas treatment can be set to about 1 minute or more or about 1 hour or more and about 1 week or less or about 50 hours or less.

本開示を用いると、有利にも、プラズマ処理、化学蒸着法、物理蒸着法、又は原子層堆積法によってケイ素処理を実施することができる。いかなる理論にも束縛されるものではないが、ケイ素処理は、バインダーの中、又は研磨粒子の表面上、又はダイヤモンド状炭素若しくはこれに類するものなどの表面コーティング上に含まれるポリマーの中にSi−O−Si結合、Si−C−Si結合、Si−O−C結合、及びこれに類するものを形成することによって構造化表面が改善されるという現象を発生させると考えられ、Si−O−Si結合、Si−C−Si結合、Si−O−C結合、又はこれに類するものを通して形成された比較的密度の高い網目構造を有するオキシ炭化ケイ素又は酸化ケイ素を含むコーティングが、構造化表面又はこれに類するものの上に形成される。   With the present disclosure, silicon treatment can be advantageously performed by plasma treatment, chemical vapor deposition, physical vapor deposition, or atomic layer deposition. Without being bound by any theory, silicon treatment can be performed in Si—in a binder or in a polymer contained on the surface of abrasive particles or on a surface coating such as diamond-like carbon or the like. The formation of O-Si bonds, Si-C-Si bonds, Si-O-C bonds, and the like is considered to cause the phenomenon that the structured surface is improved, and Si-O-Si A coating comprising silicon oxycarbide or silicon oxide having a relatively dense network formed through a bond, Si-C-Si bond, Si-O-C bond, or the like is a structured surface or It is formed on something similar.

プラズマ処理又は化学蒸着法によるケイ素処理は、上述のフッ素処理に対するものと同一の低圧プラズマ装置、大気圧プラズマ装置、低圧リモートプラズマ装置、大気圧リモートプラズマ装置、及びこれに類するものを使用して実施することができる。放電ガス及びプラズマ発生法は、フッ素処理について記述したもの同一である。   Silicon treatment by plasma treatment or chemical vapor deposition is performed using the same low pressure plasma device, atmospheric pressure plasma device, low pressure remote plasma device, atmospheric pressure remote plasma device, and the like as those for fluorine treatment described above. can do. The discharge gas and plasma generation methods are the same as described for the fluorine treatment.

シラン(SiH)、テトラメチルシラン(TMS)、ヘキサメチルジシロキサン(HMDSO)、ヘキサメチルジシラザン(HMDS)、テトラエトキシシラン(TEOS)、及びこれに類するものを、プラズマ処理又は化学蒸着法で使用されるフッ素含有ガスとして使用することができる。これらの中でも、モノシラン又はテトラメチルシランは、反応性が高く、拡散係数が大きいので有利に使用することができる。大気圧プラズマ装置を使用する場合、沸点が低くかつ不燃性のテトラメチルシランが使用される。ケイ素含有ガスの流量は、約20sccm以上又は約50sccm以上、かつ約1000sccm以下又は約500sccm以下に設定することができる。約50sccm以上かつ約5000sccm以下の流量の窒素、ヘリウム、又はアルゴンなどのキャリアガスを、装置に供給されるガス流に更に含んでもよい。 Silane (SiH 4 ), Tetramethylsilane (TMS), Hexamethyldisiloxane (HMDSO), Hexamethyldisilazane (HMDS), Tetraethoxysilane (TEOS), and the like can be obtained by plasma treatment or chemical vapor deposition. It can be used as the fluorine-containing gas used. Among these, monosilane or tetramethylsilane can be advantageously used because it has high reactivity and a large diffusion coefficient. When an atmospheric pressure plasma apparatus is used, tetramethylsilane having a low boiling point and nonflammability is used. The flow rate of the silicon-containing gas can be set to about 20 sccm or more or about 50 sccm or more, and about 1000 sccm or less or about 500 sccm or less. A carrier gas such as nitrogen, helium, or argon at a flow rate between about 50 sccm and about 5000 sccm may be further included in the gas stream supplied to the apparatus.

ケイ素含有ガス中に酸素原子が含まれない場合、プラズマ装置に供給されるガス流に酸素が添加される。酸素は、ケイ素含有ガスとは分離した管路を通してプラズマ装置のチャンバの中へと供給される場合があり、又はケイ素含有ガスとの混合ガスとして、チャンバ内に配置されるシャワーヘッドを通して供給することができる。酸素の流量は、約5sccm以上又は約10sccm以上、かつ約500sccm以下又は約300sccm以下に設定することができる。ケイ素含有ガスの流量を1に設定すると、酸素とケイ素含有ガスとの流量比を、約0.1:1以上、約0.2:1以上、又は約0.3:1以上、かつ約5:1以下、約4:1以下、又は約3:1以下に設定することができる。ケイ素含有ガスの供給を停止した後、例えば、約5sccm以上又は約10sccm以上、かつ約500sccm以下又は約300sccm以下の流量で酸素のみを供給することによって後処理が実施されてもよい。   If the silicon-containing gas does not contain oxygen atoms, oxygen is added to the gas stream supplied to the plasma device. Oxygen may be supplied into the chamber of the plasma device through a conduit separate from the silicon-containing gas, or supplied as a mixed gas with the silicon-containing gas through a showerhead disposed in the chamber. Can do. The flow rate of oxygen can be set to about 5 sccm or more or about 10 sccm or more and about 500 sccm or less or about 300 sccm or less. When the flow rate of the silicon-containing gas is set to 1, the flow rate ratio of oxygen to silicon-containing gas is about 0.1: 1 or more, about 0.2: 1 or more, or about 0.3: 1 or more, and about 5 : 1 or less, about 4: 1 or less, or about 3: 1 or less. After stopping the supply of the silicon-containing gas, the post-treatment may be performed, for example, by supplying only oxygen at a flow rate of about 5 sccm or more or about 10 sccm or more and about 500 sccm or less or about 300 sccm or less.

プラズマ発生のために必要とされる印加電力は、処理される研磨材料の寸法に基づいて決定することができ、放射空間内の電力密度は一般に、約0.00003W/cm以上又は約0.0002W/cm以上、かつ約10W/cm以下又は約1W/cm以下となるように選択することができる。例えば、ケイ素処理される研磨材料の寸法が10cm(長さ)×10cm(幅)以下である場合、印加電力は、約1W以上又は約10W以上、かつ約300kW以下又は約30kW以下に設定することができる。 The applied power required for plasma generation can be determined based on the dimensions of the abrasive material being processed, and the power density in the radiation space is generally greater than or equal to about 0.00003 W / cm 2 or about .0. 0002W / cm 2 or more, and to be about 10 W / cm 2 or less, or about 1W / cm 2 or less can be selected. For example, when the size of the polishing material to be silicon-treated is 10 cm (length) × 10 cm (width) or less, the applied power is set to about 1 W or more or about 10 W or more, and about 300 kW or less or about 30 kW or less. Can do.

プラズマ処理又は化学蒸着法の温度は、処理される研磨材料及びこれに類するものの特徴及び性能を損なわない温度であるのが好ましく、処理される研磨材料の表面温度は、約−15℃以上、約0℃以上、又は約15℃以上、かつ約400℃以下、約200℃以下、又は約100℃以下に設定することができる。研磨材料の表面温度は、研磨材料と接触する熱電対、放射温度計、又はこれに類するものによって測定することができる。   The temperature of the plasma treatment or chemical vapor deposition method is preferably a temperature that does not impair the characteristics and performance of the abrasive material to be treated and the like, and the surface temperature of the abrasive material to be treated is about −15 ° C. or more, about It can be set to 0 ° C. or higher, or about 15 ° C. or higher, and about 400 ° C. or lower, about 200 ° C. or lower, or about 100 ° C. or lower. The surface temperature of the abrasive material can be measured by a thermocouple, radiation thermometer, or the like that contacts the abrasive material.

低圧プラズマ装置を使用してプラズマ処理又は化学蒸着法を実施するとき、処理圧力は、約10mTorr(0.001kPa)以上又は約20mTorr(0.003kPa)以上、かつ約1500mTorr(0.20kPa)以下又は約1000mTorr(0.1kPa)以下に設定することができる。   When performing plasma treatment or chemical vapor deposition using a low-pressure plasma apparatus, the treatment pressure is about 10 mTorr (0.001 kPa) or more or about 20 mTorr (0.003 kPa) or more and about 1500 mTorr (0.20 kPa) or less, or It can be set to about 1000 mTorr (0.1 kPa) or less.

プラズマ処理又は化学蒸着法のための処理時間は、約2秒以上、約5秒以上、又は約10秒以上、かつ約300秒以下、約180秒以下、又は約120秒以下に設定することができる。   The processing time for plasma treatment or chemical vapor deposition may be set to about 2 seconds or more, about 5 seconds or more, or about 10 seconds or more, and about 300 seconds or less, about 180 seconds or less, or about 120 seconds or less. it can.

別の実施形態では、物理蒸着によるケイ素処理としてスパッタリング又は真空蒸着を使用することができる。物理蒸着法を使用するケイ素処理は、フッ素処理について記述したものと同一のイオンスパッタリング機器、DCマグネトロンスパッタリング機器、RFマグネトロンスパッタリング機器、及びこれに類するものなどの標準的なスパッタリング機器、又は抵抗加熱蒸着機器、電子ビーム蒸着機器、イオンメッキ機器、及びこれに類するものなどの標準的な蒸着機器を使用して実施することができる。   In another embodiment, sputtering or vacuum deposition can be used as the silicon treatment by physical vapor deposition. Silicon treatment using physical vapor deposition is the same ion sputtering equipment, DC magnetron sputtering equipment, RF magnetron sputtering equipment, and the like as described for fluorine treatment, or standard heating equipment such as resistance heating deposition It can be performed using standard vapor deposition equipment such as equipment, electron beam vapor deposition equipment, ion plating equipment, and the like.

ケイ素処理のスパッタリングターゲットは、二酸化ケイ素(SiO)とすることができる。反応性スパッタリングは、スパッタリングターゲットとしてケイ素(Si)を使用するとき、処理チャンバの中へ酸素を供給することによって実施される場合がある。 The silicon-treated sputtering target can be silicon dioxide (SiO 2 ). Reactive sputtering may be performed by supplying oxygen into the processing chamber when using silicon (Si) as a sputtering target.

スパッタリング温度は、約−193℃以上又は約25℃以上、かつ約600℃以下又は約1300℃以下に設定することができる。   The sputtering temperature can be set to about −193 ° C. or higher or about 25 ° C. or higher and about 600 ° C. or lower or about 1300 ° C. or lower.

スパッタリングの処理圧力は、約1×10−5Torr(1×10−6kPa)以上又は約1×10−3Torr(1×10−4kPa)以上、かつ約10mTorr(0.001kPa)以下又は約100mTorr(0.01kPa)以下に設定することができる。 The processing pressure of sputtering is about 1 × 10 −5 Torr (1 × 10 −6 kPa) or more or about 1 × 10 −3 Torr (1 × 10 −4 kPa) or more and about 10 mTorr (0.001 kPa) or less, or It can be set to about 100 mTorr (0.01 kPa) or less.

スパッタリングの処理時間は、約1秒以上、約5秒以上、又は約10秒以上、かつ約30秒以下、約60秒以下、又は約180秒以下に設定することができる。   The sputtering treatment time can be set to about 1 second or more, about 5 seconds or more, or about 10 seconds or more, and about 30 seconds or less, about 60 seconds or less, or about 180 seconds or less.

真空蒸着の蒸着源として二酸化ケイ素(SiO)を使用することができる。電子ビーム蒸着は、有利にも二酸化ケイ素蒸着と共に使用することができる。蒸着供給源として一酸化ケイ素(SiO)を使用する蒸着によってケイ素処理を実施してもよく、次いで、酸化雰囲気中でアニーリング酸化を実施し、蒸着チャンバの中へと酸素プラズマを導入しながら一酸化ケイ素の蒸着を実施してもよい。 Silicon dioxide (SiO 2 ) can be used as a deposition source for vacuum deposition. Electron beam deposition can advantageously be used with silicon dioxide deposition. Silicon treatment may be performed by vapor deposition using silicon monoxide (SiO) as a vapor deposition source, followed by annealing oxidation in an oxidizing atmosphere and monoxide while introducing oxygen plasma into the vapor deposition chamber. Silicon deposition may be performed.

堆積温度は、約−193℃以上又は約25℃以上、かつ約600℃以下又は約1000℃以下に設定することができる。   The deposition temperature can be set to about −193 ° C. or higher or about 25 ° C. or higher and about 600 ° C. or lower or about 1000 ° C. or lower.

堆積の処理圧力は、約1×10−6Torr(1×10−7kPa)以上又は約1×10−5Torr(1×10−6kPa)以上、かつ約1×10−3Torr(1×10−4kPa)以下又は約1×10−2Torr(1×10−3kPa)以下に設定することができる。 The processing pressure for the deposition is about 1 × 10 −6 Torr (1 × 10 −7 kPa) or more, or about 1 × 10 −5 Torr (1 × 10 −6 kPa) or more, and about 1 × 10 −3 Torr (1 × 10 −4 kPa) or less or about 1 × 10 −2 Torr (1 × 10 −3 kPa) or less.

堆積の処理時間は、約5秒以上、約10秒以上、又は約30秒以上、かつ約120秒以下、約600秒以下、又は約1200秒以下に設定することができる。   Deposition processing time can be set to about 5 seconds or more, about 10 seconds or more, or about 30 seconds or more, and about 120 seconds or less, about 600 seconds or less, or about 1200 seconds or less.

別の実施形態では、ケイ素処理として原子層堆積法(ALD)を使用することができる。原子層堆積法は、少なくとも2種類の前駆体ガスを交互に反応チャンバの中へと提供することと、毎回これらの前駆体ガスの単一の層を構造化表面上に堆積させることと、これらの前駆体ガスを構造化表面上で反応させることと、を含む。   In another embodiment, atomic layer deposition (ALD) can be used as the silicon treatment. Atomic layer deposition involves alternately providing at least two precursor gases into the reaction chamber, each time depositing a single layer of these precursor gases on the structured surface, Reacting a precursor gas on the structured surface.

使用することができる前駆体ガスAの例としては、テトラエトキシシラン、ビス(tert−ブトキシ)(イソプロポキシ)シラノール、ビス(イソプロポキシ)(tert−ブトキシ)シラノール、ビス(tert−ペントキシ)(イソプロポキシ)シラノール、ビス(イソプロポキシ)(tert−ペントキシ)シラノール、ビス(tert−ペントキシ)(tert−ブトキシ)シラノール、ビス(tert−ブトキシ)(tert−ペントキシ)シラノール、トリス(tert−ペントキシ)シラノール、及びこれに類するものが挙げられる。前駆体ガスBの例としては、水(HO)、酸素(O)、オゾン(O)、及びこれに類するものが挙げられる。 Examples of precursor gas A that can be used include tetraethoxysilane, bis (tert-butoxy) (isopropoxy) silanol, bis (isopropoxy) (tert-butoxy) silanol, bis (tert-pentoxy) (iso Propoxy) silanol, bis (isopropoxy) (tert-pentoxy) silanol, bis (tert-pentoxy) (tert-butoxy) silanol, bis (tert-butoxy) (tert-pentoxy) silanol, tris (tert-pentoxy) silanol, And the like. Examples of the precursor gas B include water (H 2 O), oxygen (O 2 ), ozone (O 3 ), and the like.

前駆体ガスAの流量は、約0.1sccm以上又は約1sccm以上、かつ約100sccm以下又は約1000sccm以下に設定することができる。前駆体ガスAを反応チャンバに導入する時間は、約0.01秒以上、又は約0.1秒以上、かつ約10秒以下、又は約100秒以下とすることができる。   The flow rate of the precursor gas A can be set to about 0.1 sccm or more or about 1 sccm or more, and about 100 sccm or less or about 1000 sccm or less. The time for introducing the precursor gas A into the reaction chamber can be about 0.01 seconds or more, or about 0.1 seconds or more, and about 10 seconds or less, or about 100 seconds or less.

前駆体ガスBの流量は、約0.1sccm以上又は約1sccm以上、かつ約100sccm以下又は約1000sccm以下に設定することができる。前駆体ガスBを反応チャンバに導入する時間は、約0.01秒以上、又は約0.1秒以上、かつ約10秒以下、又は約100秒以下とすることができる。   The flow rate of the precursor gas B can be set to about 0.1 sccm or more or about 1 sccm or more and about 100 sccm or less or about 1000 sccm or less. The time for introducing the precursor gas B into the reaction chamber can be about 0.01 seconds or more, or about 0.1 seconds or more, and about 10 seconds or less, or about 100 seconds or less.

前駆体ガスAの導入と前駆体ガスBの導入との間に反応チャンバ内へとパージガスを導入することによって、未反応前駆体ガス及び/又は反応副産物を反応チャンバからパージすることができる。パージガスは、前駆体ガスと反応しない不活性ガスである。使用することができるパージガスの例としては、窒素ガス、ヘリウム、ネオン、アルゴン、及びこれらの混合物が挙げられる。パージガスの流量は、例えば、約10sccm以上、又は約50sccm以上、かつ約500sccm以下、又は約1000sccm以下とすることができ、パージガスの導入時間は、約1秒以上、又は約10秒以上、かつ約30秒以下、又は約60秒以下とすることができる。   By introducing a purge gas into the reaction chamber between the introduction of precursor gas A and the introduction of precursor gas B, unreacted precursor gas and / or reaction byproducts can be purged from the reaction chamber. The purge gas is an inert gas that does not react with the precursor gas. Examples of purge gases that can be used include nitrogen gas, helium, neon, argon, and mixtures thereof. The purge gas flow rate can be, for example, about 10 sccm or more, or about 50 sccm or more, and about 500 sccm or less, or about 1000 sccm or less, and the purge gas introduction time is about 1 second or more, or about 10 seconds or more, and about It can be 30 seconds or less, or about 60 seconds or less.

前駆体ガスA及び前駆体ガスBの導入の回数、並びに前駆体ガスA及び前駆体ガスBの流量及び導入時間を変動させることによって、所定の厚さのオキシ炭化ケイ素又は酸化ケイ素を含む膜を構造化表面上に形成することができる。前駆体ガスA及び/又は前駆体ガスBを導入した後、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、光子、リモートプラズマ、及びこれに類するものを使用して、前駆体ガスAと前駆体ガスBとの間の反応を促進することができる。   By varying the number of introductions of the precursor gas A and the precursor gas B, and the flow rates and introduction times of the precursor gas A and the precursor gas B, a film containing silicon oxycarbide or silicon oxide having a predetermined thickness is obtained. It can be formed on a structured surface. After introducing the precursor gas A and / or precursor gas B, heat, plasma, pulse plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, electron beam, photon, remote plasma, and the like Can be used to promote the reaction between precursor gas A and precursor gas B.

このようにして表面処理した構造化表面の物理的特性は、例えば、接触角、硬度、及びこれに類するものによって評価することができる。   The physical properties of the structured surface treated in this way can be evaluated, for example, by contact angle, hardness, and the like.

いくつかの実施形態では、例えば、構造化表面がフッ化物処理された実施形態では、表面処理した構造化表面の水の接触角は約70°以上、又は約90°以上、かつ約120°以下、又は約150°以下であった。小滴法、拡張/収縮法、Wilhelmy法、又はこれに類するものによって、水の接触角を決定することができる。   In some embodiments, for example, in embodiments where the structured surface is fluoride treated, the water contact angle of the surface treated structured surface is about 70 ° or more, or about 90 ° or more, and about 120 ° or less. Or about 150 ° or less. The contact angle of water can be determined by the droplet method, expansion / contraction method, Wilhelmy method, or the like.

いくつかの他の実施形態では、例えば、構造化表面が親水性表面を提供するようにケイ素処理された実施形態では、表面処理した構造化表面の水の接触角は、約0°以上、又は約10°以上、かつ約30°以下、又は約45°以下であった。小滴法、拡張/収縮法、Wilhelmy法、又はこれに類するものによって、水の接触角を決定することができる。   In some other embodiments, for example, in embodiments where the structured surface is siliconized to provide a hydrophilic surface, the water contact angle of the surface treated structured surface is about 0 ° or greater, or It was about 10 ° or more and about 30 ° or less, or about 45 ° or less. The contact angle of water can be determined by the droplet method, expansion / contraction method, Wilhelmy method, or the like.

別の実施形態では、表面処理した構造化表面の硬さは、ショア硬さに換算すると、約40以上、又は約50以上、かつ約87以下、又は約97以下であった。表面処理した構造化表面の硬さは、ナノインデンテーション法によって決定することができる。表面処理した構造化表面の硬さが、ショア硬さとして計算したときに約50以上である場合、ポリウレタン又はこれに類するもののポリマー粒子などの比較的軟らかい異物の構造化表面への付着を防止することができる。   In another embodiment, the hardness of the surface treated structured surface was about 40 or more, or about 50 or more, and about 87 or less, or about 97 or less when converted to Shore hardness. The hardness of the surface treated structured surface can be determined by the nanoindentation method. When the hardness of the surface-treated structured surface is about 50 or more when calculated as Shore hardness, the adhesion of relatively soft foreign matter such as polyurethane or similar polymer particles to the structured surface is prevented. be able to.

構造化表面上に堆積した膜の組成、又はフッ化物処理若しくはケイ素処理された構造化表面の改質した状態は、X線光電子分光法(XPS)、又は飛行時間を使用する二次イオン質量分析法(TOF−SIMS)、及びこれに類するものを使用して定性的又は定量的に評価することができる。例えば、表面に対して90°の電子放射極角で単色Al K α光子供給源を使用するKratos Axis Ultra分光計を使用して、XPSスペクトルを得ることができる。TOF−SIMSは、例えば、約1μmのビーム直径を用いて400μm×400μmの区域によってラスタライズしたパルス25keV(4.0×10−15)Ga+一次イオンビームを使用することができる。 The composition of the film deposited on the structured surface, or the modified state of the fluoride or silicon treated structured surface is determined by secondary ion mass spectrometry using X-ray photoelectron spectroscopy (XPS) or time of flight. It can be evaluated qualitatively or quantitatively using a method (TOF-SIMS) and the like. For example, a XPS spectrum can be obtained using a Kratos Axis Ultra spectrometer using a monochromatic Al K α photon source with an electron emission polar angle of 90 ° to the surface. TOF-SIMS can use, for example, a pulsed 25 keV (4.0 × 10 −15 ) Ga + primary ion beam rasterized by a 400 μm × 400 μm area with a beam diameter of about 1 μm.

本開示の更に別の実施形態は、その上に複数の三次元的要素を配設して構成された構造化表面を有する研磨層を含む研磨材料であって、構造化表面の少なくとも一部分が、(a)緻密化したフッ化炭素、オキシ炭化ケイ素、及び酸化ケイ素からなる群から選択される材料を含む膜、(b)フッ素で終端した表面、又は(c)これらの組み合わせ、を含む、研磨材料を提供する。   Yet another embodiment of the present disclosure is an abrasive material comprising an abrasive layer having a structured surface configured with a plurality of three-dimensional elements disposed thereon, wherein at least a portion of the structured surface comprises: Polishing comprising (a) a film comprising a material selected from the group consisting of densified fluorocarbon, silicon oxycarbide, and silicon oxide, (b) a surface terminated with fluorine, or (c) a combination thereof. Provide material.

本開示では、「緻密化したフッ化炭素」とは、比較的大量の四級炭素原子を含む結果としてC−C結合で形成された緻密な三次元的網目構造を含む、フッ化炭素材料を指す。緻密化したフッ化炭素は、高い硬度、並びに架橋した又は架橋していない標準的なフルオロポリマーと比較して卓越した摩耗抵抗及び異物付着抵抗を有する。   In the present disclosure, “densified fluorocarbon” refers to a fluorocarbon material that includes a dense three-dimensional network structure formed by C—C bonds as a result of containing a relatively large amount of quaternary carbon atoms. Point to. Densified fluorocarbons have high hardness and superior wear resistance and foreign matter adhesion resistance compared to standard or non-crosslinked standard fluoropolymers.

緻密化したフッ化炭素は、炭素及びフッ素に加えて、水素、酸素、窒素、及びこれに類するものなどの他の原子を含む場合がある。いくつかの実施形態では、緻密化したフッ化炭素は、水素以外の要素の総量に基づいて約20原子%以上、又は約25原子%以上、かつ約65原子%以下、又は約60原子%以下の炭素原子を含む。いくつかの他の実施形態では、緻密化したフッ化炭素は、水素以外の要素の総量に基づいて約30原子%以上、又は約35原子%以上、かつ約75原子%以下、又は約70原子%以下の炭素原子を含む。更に、いくつかの他の実施形態では、緻密化したフッ化炭素は、水素以外の要素の総量に基づいて約25原子%以上、又は約30原子%以上、かつ約80原子%以下、又は約70原子%以下の、4つの隣接する炭素原子に接合された四級炭素原子を含む。例えば、XPSを使用することによって、緻密化したフッ化炭素の炭素原子及びフッ素原子の原子百分率を決定することができ、例えば、13C−NMR又はこれに類するものを使用して、四級炭素原子の原子百分率を決定することができる。   The densified fluorocarbon may contain other atoms in addition to carbon and fluorine, such as hydrogen, oxygen, nitrogen, and the like. In some embodiments, the densified fluorocarbon is about 20 atomic percent or more, or about 25 atomic percent or more, and about 65 atomic percent or less, or about 60 atomic percent or less, based on the total amount of elements other than hydrogen. Of carbon atoms. In some other embodiments, the densified fluorocarbon is about 30 atomic percent or more, or about 35 atomic percent or more, and about 75 atomic percent or less, or about 70 atoms based on the total amount of elements other than hydrogen. % Carbon atom or less. Further, in some other embodiments, the densified fluorocarbon is about 25 atomic percent or more, or about 30 atomic percent or more, and about 80 atomic percent or less, or about about based on the total amount of elements other than hydrogen. Contains quaternary carbon atoms bonded to four adjacent carbon atoms, up to 70 atomic percent. For example, by using XPS, the atomic percentages of carbon and fluorine atoms of a densified fluorocarbon can be determined, for example, using quaternary carbon atoms using 13C-NMR or the like. The atomic percentage of can be determined.

オキシ炭化ケイ素は、ケイ素、酸素、及び炭素を含む化合物であるが、三次元的要素、他の原子(水素、窒素、及びこれに類するものなど)を含んでもよい。オキシ炭化ケイ素は硬く、かつ卓越した摩耗抵抗、異物付着抵抗、及びこれに類するものを有し、組成を変えることによって親水性又は疎水性のどちらにもすることができる。いくつかの実施形態では、オキシ炭化ケイ素は、水素以外の要素の総量に基づいて約10原子%以上、又は約15原子%以上、かつ約90原子%以下、又は約80原子%以下のケイ素原子を含む。いくつかの他の実施形態では、オキシ炭化ケイ素は、水素以外の要素の総量に基づいて約5原子%以上、又は約10原子%以上、かつ約80原子%以下、又は約70原子%以下の酸素原子を含む。更にいくつかの他の実施形態では、オキシ炭化ケイ素は、水素以外の要素の総量に基づいて約1原子%以上、又は約5原子%以上、かつ約90原子%以下、又は約80原子%以下の炭素原子を含む。オキシ炭化ケイ素中のケイ素原子、酸素原子、及び炭素原子の原子百分率は、XPS、TOF−SIOMS、及びこれに類するものを使用して決定することができる。   Silicon oxycarbide is a compound containing silicon, oxygen, and carbon, but may contain three-dimensional elements and other atoms (such as hydrogen, nitrogen, and the like). Silicon oxycarbide is hard and has excellent wear resistance, foreign matter adhesion resistance, and the like, and can be made either hydrophilic or hydrophobic by changing the composition. In some embodiments, the silicon oxycarbide is about 10 atomic percent or more, or about 15 atomic percent or more, and about 90 atomic percent or less, or about 80 atomic percent or less silicon atoms based on the total amount of elements other than hydrogen. including. In some other embodiments, the silicon oxycarbide is about 5 atomic percent or more, or about 10 atomic percent or more, and about 80 atomic percent or less, or about 70 atomic percent or less, based on the total amount of elements other than hydrogen. Contains oxygen atoms. In yet some other embodiments, the silicon oxycarbide is about 1 atomic percent or more, or about 5 atomic percent or more, and about 90 atomic percent or less, or about 80 atomic percent or less, based on the total amount of elements other than hydrogen. Of carbon atoms. The atomic percentage of silicon atoms, oxygen atoms, and carbon atoms in silicon oxycarbide can be determined using XPS, TOF-SIOMS, and the like.

酸化ケイ素は、ケイ素及び酸素を含む化合物であるが、水素、窒素、及びこれに類するものなどの他の原子(炭素を除く)を含んでもよい。酸化ケイ素、特に末端にSi−O−H結合を有する酸化ケイ素は、一般に親水性であり、疎水性材料の構造化表面への付着を効果的に防止する場合がある。いくつかの実施形態では、酸化ケイ素は、水素以外の要素の総量に基づいて約30原子%以上、又は約33原子%以上、かつ約55原子%以下、又は約50原子%以下のケイ素原子を含む。いくつかの他の実施形態では、オキシ炭化ケイ素は、水素以外の要素の総量に基づいて約45原子%以上、又は約50原子%以上、かつ約70原子%以下、又は約67原子%以下の酸素原子を含む。酸化ケイ素中のケイ素原子及び酸素原子の原子百分率は、XPS、TOF−SIOMS、及びこれに類するものを使用して決定することができる。   Silicon oxide is a compound containing silicon and oxygen, but may contain other atoms (except carbon) such as hydrogen, nitrogen, and the like. Silicon oxide, particularly silicon oxide having a Si—O—H bond at the end, is generally hydrophilic and may effectively prevent adhesion of the hydrophobic material to the structured surface. In some embodiments, the silicon oxide comprises about 30 atomic percent or more, or about 33 atomic percent or more, and about 55 atomic percent or less, or about 50 atomic percent or less silicon atoms based on the total amount of elements other than hydrogen. Including. In some other embodiments, the silicon oxycarbide is about 45 atomic% or more, or about 50 atomic% or more, and about 70 atomic% or less, or about 67 atomic% or less, based on the total amount of elements other than hydrogen. Contains oxygen atoms. The atomic percentage of silicon and oxygen atoms in the silicon oxide can be determined using XPS, TOF-SIOMS, and the like.

緻密化したフッ化炭素、オキシ炭化ケイ素、及び酸化ケイ素を含む膜の厚さは、一般に、約0.05nm以上、又は約0.5nm以上、かつ約200μm以下、又は約150μm以下である。XPS、TOF−SIOMS、及びこれに類するものを使用することによって膜厚を決定することができる。   The thickness of the film containing densified fluorocarbon, silicon oxycarbide, and silicon oxide is generally about 0.05 nm or more, or about 0.5 nm or more, and about 200 μm or less, or about 150 μm or less. The film thickness can be determined by using XPS, TOF-SIOMS, and the like.

フッ素終端した構造化表面のフッ素原子密度は、一般に、約1×1013cm−2以上、又は約5×1013cm−2以上、かつ約5×1015cm−2以下、又は約3×1015cm−2以下である。XPS、TOF−SIOMS、及びこれに類するものを使用することによって、構造化表面のフッ素原子密度を決定することができる。 The fluorine atom density of the fluorine-terminated structured surface is generally about 1 × 10 13 cm −2 or higher, or about 5 × 10 13 cm −2 or higher, and about 5 × 10 15 cm −2 or lower, or about 3 ×. 10 15 cm −2 or less. By using XPS, TOF-SIOMS, and the like, the fluorine atom density on the structured surface can be determined.

本開示の研磨材料を、半導体ウェハ、磁気記憶媒体、ガラス板、レンズ、プリズム、自動車の塗装、光ファイバーコネクターターミナル表面、及びこれに類するものなどの様々な表面の粗研磨、面取り、及び精密研磨などの様々な用途、並びに他の研磨工具に対するドレッシング及びこれに類するものに使用することができる。本開示の研磨材料はまた、有利にも、研磨スラリーを使用する用途にも使用することができる。   Abrasive materials of the present disclosure include rough polishing, chamfering, and precision polishing of various surfaces such as semiconductor wafers, magnetic storage media, glass plates, lenses, prisms, automotive coatings, fiber optic connector terminal surfaces, and the like. Can be used for various applications, as well as dressings for other abrasive tools and the like. The abrasive materials of the present disclosure can also be advantageously used in applications that use abrasive slurries.

以下の実施例では、本開示の特定の実施形態が例示されるが、本発明はこれらに制限されない。特に指定がない限り、すべての「部」及び「%」は質量を基準とする。   The following examples illustrate certain embodiments of the present disclosure, but the invention is not limited thereto. Unless otherwise specified, all “parts” and “%” are based on mass.

1.CMPドレッシング試験
実施例1及び2並びに比較例1及び2では、直径が11mm、かつ厚さ3mmの5つの円盤形の研磨材料が、直径が110mm、かつ厚さが5mmのステンレス鋼のディスク形の基材の中心から43mmの距離の円周上に等間隔で付着されており、次いでCMPドレッシングとして使用された。円盤形の研磨材料は正四角錐(角錐)を有する構造化表面を備える炭化ケイ素バルク層を有し、この正四角錐は360μmの底辺の長さ及び160μmの高さを有し、周期的に配設され、正四角錐の基部は相互接触した。炭化ケイ素バルク層の上にダイヤモンド層がコーティングされた。
1. CMP dressing test In Examples 1 and 2 and Comparative Examples 1 and 2, five disc-shaped abrasive materials having a diameter of 11 mm and a thickness of 3 mm were formed into a stainless steel disk shape having a diameter of 110 mm and a thickness of 5 mm. It was deposited at equal intervals on the circumference at a distance of 43 mm from the center of the substrate and then used as a CMP dressing. The disc-shaped abrasive material has a silicon carbide bulk layer with a structured surface having regular square pyramids (pyramids), the regular pyramids having a base length of 360 μm and a height of 160 μm, arranged periodically The bases of regular square pyramids were in contact with each other. A diamond layer was coated on the silicon carbide bulk layer.

研磨材料の構造化表面は、バッチタイプの容量結合プラズマプラズマ装置(WB 7000(Plasma Therm Industrial Products,Inc.))を使用してフッ化物処理(実施例1)又はケイ素処理(実施例2)された。比較例1の構造化表面は、構造化表面の上に、フルオロポリマー(3M(登録商標)Novec(登録商標)EGC 1720(3M社製))を溶媒(Novec(登録商標)7100(3M社製))中に、固形分率が0.1質量%となるように溶解して作成したコーティング溶液を適用することによって、フルオロポリマーコーティング膜を有して形成された。比較例2は未処理であった(対照試験)。実施例1及び2の詳細な処理条件を表1に示す。   The structured surface of the abrasive material is subjected to fluoride treatment (Example 1) or silicon treatment (Example 2) using a batch type capacitively coupled plasma plasma apparatus (WB 7000 (Plasma Therm Industrial Products, Inc.)). It was. The structured surface of Comparative Example 1 was prepared by adding a fluoropolymer (3M (registered trademark) Novec (registered trademark) EGC 1720 (manufactured by 3M)) to a solvent (Novec (registered trademark) 7100 (manufactured by 3M). )), A coating solution prepared by dissolving so as to have a solid content of 0.1% by mass was applied to form a fluoropolymer coating film. Comparative Example 2 was untreated (control test). Detailed processing conditions of Examples 1 and 2 are shown in Table 1.

実施例1及び2の研磨材料、並びに比較例1及び2の研磨材料は、ディスクに取り付けられ、Buehler(登録商標)EcoMet(登録商標)4000(Buehler社製)にセットされた。研磨システムにはCMPスラリーの代わりに水が供給された。ウレタンフォームパッド(ICE 1000パッド(Dow社の製品))を使用して、5kgf(50N)(研磨材料1つあたり1kgf(10N))の押し付け力及び150RPM(ディスク)/10rpm(ウレタンパッド)の回転速度で、CMPドレッシング試験を1時間の間実施し、次いで標準的なコンパウンディング処理を模倣するために円盤を5分間水浴中に浸漬し、研磨材料の構造化表面を下向きにして自然乾燥し、次いで光学顕微鏡を使用して(300倍に拡大)構造化表面を観察して、異物(ウレタン粒子)の堆積を点検した(図4)。実施例1及び2ではウレタン粒子の堆積がほとんどなく、また比較例2と比較して明白な改善が観察された。比較例1は、比較例2と比較してさえも大量のポリウレタン粒子の堆積を有した。   The abrasive materials of Examples 1 and 2 and the abrasive materials of Comparative Examples 1 and 2 were attached to a disk and set in a Bühler (R) EcoMet (R) 4000 (Buehler). The polishing system was supplied with water instead of CMP slurry. Using a urethane foam pad (ICE 1000 pad (Dow product)), 5 kgf (50 N) (1 kgf (10 N) per abrasive material) and 150 RPM (disk) / 10 rpm (urethane pad) rotation At a speed, a CMP dressing test is performed for 1 hour, then the disc is immersed in a water bath for 5 minutes to mimic a standard compounding process, and is naturally dried with the structured surface of the abrasive material facing down, The structured surface was then observed using an optical microscope (magnified 300x) to check for deposits of foreign matter (urethane particles) (Figure 4). In Examples 1 and 2, there was almost no accumulation of urethane particles, and a clear improvement was observed compared to Comparative Example 2. Comparative Example 1 had a large amount of polyurethane particle deposition even compared to Comparative Example 2.

次に、水を使用して研磨材料を超音波洗浄し、実施例1及び2の構造化表面を光学顕微鏡を使用して(1500倍に拡大)詳細に観察した。実施例1については表面の損傷は特に観察されなかったが、実施例2についてはケイ素膜の部分的な剥がれがあった。   The abrasive material was then ultrasonically cleaned using water and the structured surfaces of Examples 1 and 2 were observed in detail using an optical microscope (enlarged 1500 times). Although no surface damage was particularly observed for Example 1, there was partial peeling of the silicon film for Example 2.

2.自動車の塗装研磨試験
実施例3〜5及び比較例3では、自動車の塗装の表面上の顕微鏡的な突起を除去するための研磨パッドとして以下の研磨材料A〜Cを使用した。
2. Automotive Paint Polishing Test In Examples 3 to 5 and Comparative Example 3, the following abrasive materials A to C were used as polishing pads for removing microscopic protrusions on the surface of automobile paint.

研磨材料A:Trizact(登録商標)膜円盤ロール466 LA−A5(3M社製、グリットサイズ#3000相当)
研磨材料B:Trizact(登録商標)膜円盤ロール466 LA−A3(3M社製、グリットサイズ#4000相当)
研磨材料C:Trizact(登録商標)ダイヤモンド円盤662 XA(住友3M社製)
Abrasive material A: Trizact (registered trademark) film disc roll 466 LA-A5 (3M, equivalent to grit size # 3000)
Abrasive material B: Trizact (registered trademark) film disk roll 466 LA-A3 (manufactured by 3M, equivalent to grit size # 4000)
Polishing material C: Trizact (registered trademark) diamond disk 662 XA (manufactured by Sumitomo 3M)

研磨材料A〜Cの構造化表面は、バッチタイプの容量結合プラズマ装置(WB 7000(Plasma Therm Industrial Products,Inc.))を使用してフッ化物処理(実施例3)又はケイ素処理(実施例4及び5)された。比較例3は未処理であった(対照試験)。実施例3〜5の詳細な処理条件を表1に示す。   The structured surfaces of the abrasive materials A-C were treated with fluoride (Example 3) or silicon (Example 4) using a batch-type capacitively coupled plasma apparatus (WB 7000 (Plasma Therm Industrial Products, Inc.)). And 5). Comparative Example 3 was untreated (control test). Table 1 shows the detailed processing conditions of Examples 3 to 5.

研磨材料A〜Cの背面に、表面処理されている又は表面処理されていない接着剤シートが適用され、直径が32mmのディスクが打ち抜かれた。ボンデ鋼板上に黒色塗料及びクリア塗料(日本ペイント株式会社製のLX Clear)をコーティングした塗装した板を、サンダーを水平な一方向に運転することができる装置に取り付け、研磨材料A〜Cのうちの1つを、3mmの環状運動をする3M(登録商標)ポリッシングサンダー3125(3M社製)の研磨表面に取り付け、約5000rpmの回転と同時に1kgf(10N)の荷重をかけ、そして塗装した板の表面を20cmの距離を1m/分の速さで前後に5回研磨した。研磨の後、研磨材料A〜Cの表面に付着した研磨粉末の量を視覚的に観察し、結果を図5Aの全体写真及び図5Bの光学顕微鏡写真(300倍に拡大)によって示した。ケイ素処理された研磨材料A〜Cの構造化表面に付着した研磨粉末の量が最小だったのは、実施例4であった。   An adhesive sheet with or without surface treatment was applied to the back surface of the abrasive materials A to C, and a disk having a diameter of 32 mm was punched out. A plate coated with black paint and clear paint (LX Clear made by Nippon Paint Co., Ltd.) on a bonde steel plate is attached to an apparatus capable of operating the sander in one horizontal direction. Is attached to the polishing surface of a 3M® polishing sander 3125 (manufactured by 3M) with a 3 mm circular motion, a load of 1 kgf (10 N) is applied simultaneously with rotation of about 5000 rpm, and the coated plate The surface was polished 5 times back and forth at a distance of 20 cm at a speed of 1 m / min. After polishing, the amount of polishing powder adhering to the surfaces of the polishing materials A to C was visually observed, and the results were shown by the overall photograph of FIG. 5A and the optical micrograph (enlarged 300 times) of FIG. 5B. In Example 4, the amount of abrasive powder adhered to the structured surfaces of the siliconized abrasive materials A to C was minimal.

次に、研磨材料A〜Cを水洗いし、その構造化表面を光学顕微鏡(300倍に拡大)によって観察した(図5C)。実施例3〜5はすべて比較例3と比較して好ましい洗浄特性を示し、ケイ素処理された実施例4及び5は更により好ましい洗浄特性を示した。自動車の塗装の研磨用途については、研磨材料の表面は、一般に、数回研磨した後に水洗いされ、したがって好ましい洗い特性を有する研磨材料は、この用途では極めて有利である。   Next, the polishing materials A to C were washed with water, and the structured surface was observed with an optical microscope (enlarged 300 times) (FIG. 5C). Examples 3-5 all showed favorable cleaning properties compared to Comparative Example 3, and siliconized Examples 4 and 5 showed even more preferable cleaning properties. For automotive paint polishing applications, the surface of the abrasive material is generally washed after being polished several times, and therefore abrasive materials having favorable cleaning properties are very advantageous in this application.

3.ガラス板表面研磨試験
実施例6及び7、並びに比較例4では、ガラス板の表面を研磨するために使用された研磨パッドとして、Trizact(登録商標)ダイヤモンドタイルパッド9μm(3M社製)が使用された。
3. Glass plate surface polishing test In Examples 6 and 7 and Comparative Example 4, a Trizact (registered trademark) diamond tile pad 9 μm (manufactured by 3M) was used as a polishing pad used for polishing the surface of the glass plate. It was.

研磨パッドの構造化表面は、バッチタイプの容量結合プラズマ装置(WB 7000 (Plasma Therm Industrial Products,Inc.))を使用してフッ化物処理(実施例6)又はケイ素処理(実施例8)された。比較例4は未処理であった(対照試験)。実施例6及び7の詳細な処理条件を表1に示す。   The structured surface of the polishing pad was fluoride treated (Example 6) or silicon treated (Example 8) using a batch type capacitively coupled plasma device (WB 7000 (Plasma Thermal Industrial Products, Inc.)). . Comparative Example 4 was untreated (control test). The detailed processing conditions of Examples 6 and 7 are shown in Table 1.

実施例6及び7の研磨パッド、並びに比較例4の研磨パッドは、ディスクに取り付けられ、Buehler(登録商標)EcoMet(登録商標)4000(Buehler社製)にセットされた。研磨溶液として、研磨システムにLA−20の5%水溶液(Neos社)を適用した。青板ガラス(旭硝子社製)を、荷重が80N、上側プレートの回転速度が60rpm、及び下側プレートの回転速度が450rpmの条件下で150分間研磨した。研磨中、研磨パッドの構造化表面の洗浄は実施しなかった。   The polishing pads of Examples 6 and 7 and the polishing pad of Comparative Example 4 were attached to a disk and set in a Buehler (registered trademark) EcoMet (registered trademark) 4000 (manufactured by Buehler). As a polishing solution, a 5% aqueous solution of LA-20 (Neos) was applied to the polishing system. Blue plate glass (manufactured by Asahi Glass Co., Ltd.) was polished for 150 minutes under conditions of a load of 80 N, an upper plate rotation speed of 60 rpm, and a lower plate rotation speed of 450 rpm. During polishing, the structured surface of the polishing pad was not cleaned.

研磨後、研磨パッドを60℃の炉内に入れ、研磨溶液を蒸発させた。研磨パッドの乾燥後の重量を測定した(W)。次に、研磨パッドを水洗いし、60℃の炉内に入れて、乾燥させた。研磨パッドの乾燥後の重量を測定した(W)。付着した研磨粉末の量を式:W−Wによって計算し、その値は実施例6については210mg、また実施例7については110mgだったが、比較例4については250mgだった。実施例6及び実施例7はいずれも比較例4と比較して好ましい洗浄特性を示し、ケイ素処理された実施例7は更により好ましい洗浄特性を示した。 After polishing, the polishing pad was placed in a furnace at 60 ° C. to evaporate the polishing solution. The weight after drying of the polishing pad was measured (W 1 ). Next, the polishing pad was washed with water, placed in a 60 ° C. oven, and dried. The weight after drying of the polishing pad was measured (W 2 ). The amount of attached abrasive powder was calculated by the formula: W 2 -W 1 , and the value was 210 mg for Example 6 and 110 mg for Example 7 but 250 mg for Comparative Example 4. Example 6 and Example 7 both showed favorable cleaning properties compared to Comparative Example 4, and siliconized Example 7 showed even more preferable cleaning properties.


Claims (9)

その上に複数の三次元的要素が配設された構造化表面を有する研磨層を備える研磨材料であって、フッ素処理及びケイ素処理からなる群から選択される表面処理が前記構造化表面の少なくとも一部分の上に実施され、前記フッ素処理が、プラズマ処理、化学蒸着、物理蒸着、及びフッ素ガス処理からなる群から選択される、研磨材料。   A polishing material comprising a polishing layer having a structured surface on which a plurality of three-dimensional elements are disposed, wherein a surface treatment selected from the group consisting of a fluorine treatment and a silicon treatment comprises at least the structured surface. An abrasive material implemented over a portion, wherein the fluorine treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment. 前記複数の三次元的要素が前記構造化表面上に周期的に配設される、請求項1に記載の研磨材料。   The abrasive material of claim 1, wherein the plurality of three-dimensional elements are periodically disposed on the structured surface. 前記ケイ素処理が、プラズマ処理、化学蒸着、物理蒸着、及び原子層堆積からなる群から選択される、請求項1又は2に記載の研磨材料。   The polishing material according to claim 1 or 2, wherein the silicon treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and atomic layer deposition. 前記研磨層が、炭化ケイ素を含むバルク層を含み、表面コーティング層が、前記バルク層の少なくとも一部分の上に提供されたダイヤモンド状炭素を含む、請求項1〜3のいずれか一項に記載の研磨材料。   The said polishing layer comprises a bulk layer comprising silicon carbide, and the surface coating layer comprises diamond-like carbon provided on at least a portion of the bulk layer. Abrasive material. 前記研磨層が、研磨粒子及びバインダーを含む、請求項1〜3のいずれか一項に記載の研磨材料。   The abrasive material according to any one of claims 1 to 3, wherein the abrasive layer contains abrasive particles and a binder. 前記複数の三次元的要素が、円筒形、楕円筒形、角柱、半球、半楕円体、円錐、角錐、円錐台、角錐台、寄棟屋根形状、及びこれらの組み合わせからなる群から選択される形状を有する、請求項1〜5のいずれか一項に記載の研磨材料。   The plurality of three-dimensional elements are selected from the group consisting of a cylindrical shape, an elliptical cylindrical shape, a prism, a hemisphere, a semi-ellipsoid, a cone, a pyramid, a truncated cone, a truncated pyramid, a ridge roof shape, and combinations thereof. The abrasive material according to any one of claims 1 to 5, which has a shape. 研磨材料を製造する方法であって、
その上に複数の三次元的要素を配設して構成された構造化表面を有する研磨層を備える研磨材料を提供することと、
フッ素処理及びケイ素処理からなる群から選択される表面処理を、前記研磨材料の前記構造化表面の少なくとも一部分の上に実施することと、を含み、
前記フッ素処理が、プラズマ処理、化学蒸着、物理蒸着、及びフッ素ガス処理からなる群から選択される、方法。
A method for producing an abrasive material, comprising:
Providing an abrasive material comprising an abrasive layer having a structured surface configured with a plurality of three-dimensional elements disposed thereon;
Performing a surface treatment selected from the group consisting of a fluorine treatment and a silicon treatment on at least a portion of the structured surface of the abrasive material,
The method wherein the fluorine treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and fluorine gas treatment.
前記ケイ素処理が、プラズマ処理、化学蒸着、物理蒸着、及び原子層堆積からなる群から選択される、請求項7に記載の方法。   8. The method of claim 7, wherein the silicon treatment is selected from the group consisting of plasma treatment, chemical vapor deposition, physical vapor deposition, and atomic layer deposition. その上に複数の三次元的要素を配設して構成された構造化表面を備える研磨層を有する研磨材料であって、前記構造化表面の少なくとも一部分が、(a)緻密化したフッ化炭素、オキシ炭化ケイ素、及び酸化ケイ素からなる群から選択される材料を含む膜、(b)フッ素で終端した表面、又は(c)これらの組み合わせ、を備える、研磨材料。
A polishing material comprising a polishing layer comprising a structured surface comprising a plurality of three-dimensional elements disposed thereon, wherein at least a portion of the structured surface is (a) a densified fluorocarbon A polishing material comprising: a film comprising a material selected from the group consisting of: silicon oxycarbide, and silicon oxide; (b) a fluorine terminated surface; or (c) a combination thereof.
JP2016548074A 2014-01-24 2015-01-21 Abrasive material having a structured surface Withdrawn JP2017503670A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461931136P 2014-01-24 2014-01-24
US61/931,136 2014-01-24
PCT/US2015/012158 WO2015112540A1 (en) 2014-01-24 2015-01-21 Abrasive material having a structured surface

Publications (2)

Publication Number Publication Date
JP2017503670A true JP2017503670A (en) 2017-02-02
JP2017503670A5 JP2017503670A5 (en) 2018-03-01

Family

ID=53681879

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016548074A Withdrawn JP2017503670A (en) 2014-01-24 2015-01-21 Abrasive material having a structured surface

Country Status (6)

Country Link
US (1) US20170008143A1 (en)
JP (1) JP2017503670A (en)
KR (1) KR20160114627A (en)
CN (1) CN106413986A (en)
TW (1) TW201538272A (en)
WO (1) WO2015112540A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020526406A (en) * 2017-07-11 2020-08-31 スリーエム イノベイティブ プロパティズ カンパニー Polished articles including conformity coatings and polishing systems with them
JP2020526407A (en) * 2017-07-11 2020-08-31 スリーエム イノベイティブ プロパティズ カンパニー Polished articles including conformity coatings and polishing systems from them
KR20210051264A (en) * 2019-10-30 2021-05-10 에스케이씨솔믹스 주식회사 Polishing pad, preparation method thereof, and preparation method of semiconductor device using same
KR20210054388A (en) * 2019-11-05 2021-05-13 에스케이씨솔믹스 주식회사 Polishing pad, preparation method thereof, and preparation method of semiconductor device using same
US11400559B2 (en) 2019-10-30 2022-08-02 Skc Solmics Co., Ltd. Polishing pad, process for preparing the same, and process for preparing a semiconductor device using the same

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3168002B1 (en) * 2014-07-07 2022-03-23 Bando Chemical Industries, Ltd. Polishing film
US10967399B2 (en) * 2016-06-30 2021-04-06 3M Innovative Properties Company Fluorocarbon release coating
JP6925699B2 (en) * 2016-10-04 2021-08-25 株式会社ディスコ Surface grinding wheel
CN110869166B (en) * 2017-07-11 2023-01-20 3M创新有限公司 Abrasive article including conformable coating and polishing system formed therefrom
US20200171619A1 (en) * 2017-08-25 2020-06-04 3M Innovative Properties Company Surface projection polishing pad
TWI649775B (en) * 2018-01-02 2019-02-01 台灣積體電路製造股份有限公司 Ion implanter and method of manufacturing chamber of ion implanter
CN110065011A (en) * 2018-01-23 2019-07-30 项刚 Skive and preparation method thereof
US11331767B2 (en) 2019-02-01 2022-05-17 Micron Technology, Inc. Pads for chemical mechanical planarization tools, chemical mechanical planarization tools, and related methods
CN110530313B (en) * 2019-07-26 2021-05-28 西安交通大学 Cross-magnitude multi-scale line width standard and preparation method thereof
US20210040608A1 (en) * 2019-08-05 2021-02-11 GM Global Technology Operations LLC Method for bonding a polymeric material to a substrate
US20220178017A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Cfx layer to protect aluminum surface from over-oxidation
TWI779728B (en) * 2021-07-20 2022-10-01 大陸商廈門佳品金剛石工業有限公司 Diamond dressing disc and manufacturing method thereof
CN116652825B (en) * 2023-07-24 2023-11-10 北京寰宇晶科科技有限公司 Diamond CMP polishing pad trimmer and preparation method thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015597A (en) * 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
US6458018B1 (en) 1999-04-23 2002-10-01 3M Innovative Properties Company Abrasive article suitable for abrading glass and glass ceramic workpieces
JP4519970B2 (en) 1999-12-21 2010-08-04 スリーエム イノベイティブ プロパティズ カンパニー Polishing material in which the polishing layer has a three-dimensional structure
US6821189B1 (en) * 2000-10-13 2004-11-23 3M Innovative Properties Company Abrasive article comprising a structured diamond-like carbon coating and method of using same to mechanically treat a substrate
US20050025973A1 (en) 2003-07-25 2005-02-03 Slutz David E. CVD diamond-coated composite substrate containing a carbide-forming material and ceramic phases and method for making same
US8080073B2 (en) * 2007-12-20 2011-12-20 3M Innovative Properties Company Abrasive article having a plurality of precisely-shaped abrasive composites
JP5597140B2 (en) * 2007-12-31 2014-10-01 スリーエム イノベイティブ プロパティズ カンパニー Plasma-treated abrasive article and method for producing the article

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020526406A (en) * 2017-07-11 2020-08-31 スリーエム イノベイティブ プロパティズ カンパニー Polished articles including conformity coatings and polishing systems with them
JP2020526407A (en) * 2017-07-11 2020-08-31 スリーエム イノベイティブ プロパティズ カンパニー Polished articles including conformity coatings and polishing systems from them
JP7198801B2 (en) 2017-07-11 2023-01-04 スリーエム イノベイティブ プロパティズ カンパニー Abrasive article with conformable coating and abrasive system therewith
JP7300441B2 (en) 2017-07-11 2023-06-29 スリーエム イノベイティブ プロパティズ カンパニー Abrasive articles containing conformable coatings and polishing systems therefrom
KR20210051264A (en) * 2019-10-30 2021-05-10 에스케이씨솔믹스 주식회사 Polishing pad, preparation method thereof, and preparation method of semiconductor device using same
KR102287923B1 (en) * 2019-10-30 2021-08-09 에스케이씨솔믹스 주식회사 Polishing pad, preparation method thereof, and preparation method of semiconductor device using same
US11400559B2 (en) 2019-10-30 2022-08-02 Skc Solmics Co., Ltd. Polishing pad, process for preparing the same, and process for preparing a semiconductor device using the same
KR20210054388A (en) * 2019-11-05 2021-05-13 에스케이씨솔믹스 주식회사 Polishing pad, preparation method thereof, and preparation method of semiconductor device using same
KR102298114B1 (en) * 2019-11-05 2021-09-03 에스케이씨솔믹스 주식회사 Polishing pad, preparation method thereof, and preparation method of semiconductor device using same

Also Published As

Publication number Publication date
KR20160114627A (en) 2016-10-05
CN106413986A (en) 2017-02-15
TW201538272A (en) 2015-10-16
WO2015112540A1 (en) 2015-07-30
US20170008143A1 (en) 2017-01-12

Similar Documents

Publication Publication Date Title
JP2017503670A (en) Abrasive material having a structured surface
CN107546136B (en) Article and chamber assembly for manufacturing chamber
JPH10504495A (en) Abrasive article having a diamond-like coating layer and method thereof
KR101494912B1 (en) Lapping Carrier and Method
US20130273313A1 (en) Ceramic coated ring and process for applying ceramic coating
US20130284373A1 (en) Plasma resistant ceramic coated conductive article
KR20100133415A (en) Non-planar cvd diamond-coated cmp pad conditioner and method for manufacturing
US20110081848A1 (en) Grinding tool and method of manufacturing the grinding tool
JP2015522710A (en) Enhanced plasma spray process for critical chamber components
WO1999002309A1 (en) Cvd diamond coated substrate for polishing pad conditioning head and method for making same
EP1649075A2 (en) Cvd diamond-coated composite substrate and method for making same
US10166653B2 (en) CMP pad conditioner
CN102612734A (en) Chemical mechanical polishing conditioner
US20090075567A1 (en) Polishing pad conditioner and method for conditioning polishing pad
JP2014183221A (en) Method of manufacturing semiconductor device
JP2007002268A (en) Surface treatment method for polishing member, and article obtained thereby
TWI791028B (en) Abrasive articles including conformable coatings and polishing system therefrom
Kim et al. Novel CVD diamond-coated conditioner for improved performance in CMP processes
US6203417B1 (en) Chemical mechanical polishing tool components with improved corrosion resistance
KR101233239B1 (en) Recycling method of CMP pad conditioner having end of life and recycled CMP pad conditioner treated thereby
CN110869166B (en) Abrasive article including conformable coating and polishing system formed therefrom
JP5082116B2 (en) Method for manufacturing non-metallic carrier for holding object to be polished
KR20090025042A (en) Conditioning disc for polishing pad
KR200319008Y1 (en) Hot plate of ceramic surface
JP2009233770A (en) Polishing pad conditioner and method of conditioning polishing pad

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180116

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180116

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20180405