JP2017157660A - Method for manufacturing semiconductor device, and substrate processing device - Google Patents

Method for manufacturing semiconductor device, and substrate processing device Download PDF

Info

Publication number
JP2017157660A
JP2017157660A JP2016038701A JP2016038701A JP2017157660A JP 2017157660 A JP2017157660 A JP 2017157660A JP 2016038701 A JP2016038701 A JP 2016038701A JP 2016038701 A JP2016038701 A JP 2016038701A JP 2017157660 A JP2017157660 A JP 2017157660A
Authority
JP
Japan
Prior art keywords
gas
substrate
layer
etching
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016038701A
Other languages
Japanese (ja)
Inventor
圭太 市村
Keita Ichimura
圭太 市村
康寿 坪田
Yasuhisa Tsubota
康寿 坪田
真 檜山
Makoto Hiyama
真 檜山
野内 英博
Hidehiro Nouchi
英博 野内
富大 天野
Tomihiro Amano
富大 天野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2016038701A priority Critical patent/JP2017157660A/en
Publication of JP2017157660A publication Critical patent/JP2017157660A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a technique for efficiently removing a silicon layer and a deposit while minimizing an unwanted damage to a substrate in a silicon layer etching process.SOLUTION: A method for manufacturing a semiconductor device comprises the steps of: supplying a first etching gas to a substrate having a silicon layer, a first silicon oxide-containing film formed on one face of the silicon layer and exposed therefrom, and a second silicon oxide-containing film formed on the other face of the silicon layer and exposed therefrom, thereby removing the first silicon oxide-containing film; supplying a second etching gas for selectively removing the silicon layer to the substrate, thereby removing the silicon layer; and removing the second silicon oxide-containing film.SELECTED DRAWING: Figure 7

Description

本発明は、半導体装置の製造方法および基板処理装置に関する。   The present invention relates to a semiconductor device manufacturing method and a substrate processing apparatus.

大規模集積回路(Large Scale Integrated Circuit:以下LSI)の微細化に伴って、パターニング技術の微細化も進んでいる。パターニング技術として、例えばハードマスク等の用途で基板上に形成されたシリコン(Si)層を除去(エッチング)する工程を実施する際に、Si層をエッチングする特性を有するガスを用いて基板を処理することが行われている(例えば、特許文献1参照)。   With the miniaturization of large scale integrated circuits (hereinafter referred to as LSIs), patterning technology has also been miniaturized. As a patterning technique, for example, when performing a step of removing (etching) a silicon (Si) layer formed on the substrate for use as a hard mask, the substrate is processed using a gas having a characteristic of etching the Si layer. (For example, refer to Patent Document 1).

国際公開第2015/016149号International Publication No. 2015/016149

酸化シリコン(SiO)等の膜をエッチングした際にハードマスクとして用いられたSi層の表面には、SiO等のエッチング対象膜を主成分とする堆積物(ポリマー)が付着することがある。しかしながら、Si層を除去する際に、Si層に対してエッチング選択性を有する例えば七フッ化ヨウ素(IF7)ガスなどのエッチングガスのみでは、この堆積物が残留してしまう。また、例えばフッ化水素(HF)ガスなどのエッチングガスを用いてエッチングを行うと、この堆積物だけでなく、パターンとして形成された他のSiO膜や窒化シリコン(SiN)膜等にまでエッチングによるダメージを与えてしまう。 A deposit (polymer) having an etching target film such as SiO as a main component may adhere to the surface of the Si layer used as a hard mask when a film such as silicon oxide (SiO) is etched. However, when the Si layer is removed, the deposit remains only with an etching gas such as iodine heptafluoride (IF 7 ) gas having etching selectivity with respect to the Si layer. Further, when etching is performed using an etching gas such as hydrogen fluoride (HF) gas, not only this deposit but also other SiO films and silicon nitride (SiN) films formed as patterns are etched. It will cause damage.

本発明は、Si層のエッチング処理において、基板への不要なダメージを最小限に抑えつつ、Si層と堆積物を効率的に除去することができる技術を提供することを目的とする。   An object of the present invention is to provide a technique capable of efficiently removing the Si layer and deposits while minimizing unnecessary damage to the substrate in the etching process of the Si layer.

本発明の一態様によれば、
シリコン層と、前記シリコン層の一面に形成され露出している第1の酸化シリコン含有膜と、前記シリコン層の他の面に形成され露出している第2の酸化シリコン含有膜と、を有する基板に第1のエッチングガスを供給して、前記第1の酸化シリコン含有膜を除去する工程と、
前記基板に、前記シリコン層を選択的に除去する第2のエッチングガスを供給して、前記シリコン層を除去する工程と、
前記第2の酸化シリコン含有膜を除去する工程と、
を有する半導体装置の製造方法が提供される。
According to one aspect of the invention,
A silicon layer; a first silicon oxide-containing film formed and exposed on one surface of the silicon layer; and a second silicon oxide-containing film formed and exposed on the other surface of the silicon layer. Supplying a first etching gas to the substrate to remove the first silicon oxide-containing film;
Supplying a second etching gas for selectively removing the silicon layer to the substrate to remove the silicon layer;
Removing the second silicon oxide-containing film;
A method of manufacturing a semiconductor device having the above is provided.

本発明によれば、シリコン層のエッチング処理において、基板への不要なダメージを最小限に抑えつつ、シリコン層と堆積物を効率的に除去することができる技術が提供される。   ADVANTAGE OF THE INVENTION According to this invention, in the etching process of a silicon layer, the technique which can remove a silicon layer and a deposit efficiently is provided, minimizing the unnecessary damage to a board | substrate.

本発明の一実施形態に係る基板処理装置の構成を説明するための縦断面図であって基板処理位置Bでの状態を示す図である。It is a longitudinal cross-sectional view for demonstrating the structure of the substrate processing apparatus which concerns on one Embodiment of this invention, Comprising: It is a figure which shows the state in the substrate processing position B. FIG. 本発明の一実施形態に係る基板処理装置の構成を説明するための縦断面図であって搬送位置Aでの状態を示す図である。It is a longitudinal cross-sectional view for demonstrating the structure of the substrate processing apparatus which concerns on one Embodiment of this invention, Comprising: It is a figure which shows the state in the conveyance position A. FIG. 本発明の一実施形態に係る基板処理装置を説明するための上面断面図である。It is an upper surface sectional view for explaining a substrate processing apparatus concerning one embodiment of the present invention. 本発明の一実施形態に係る基板処理装置の制御部の構成を説明するためのブロック図である。It is a block diagram for demonstrating the structure of the control part of the substrate processing apparatus which concerns on one Embodiment of this invention. 本発明の一実施形態に係る基板処理工程を説明するためのフロー例である。It is an example of a flow for explaining a substrate processing process concerning one embodiment of the present invention. 本発明の一実施形態に係る基板処理工程を説明するための概念図である。It is a conceptual diagram for demonstrating the substrate processing process which concerns on one Embodiment of this invention. 本発明の一実施形態に係る基板処理工程を説明するための概念図である。It is a conceptual diagram for demonstrating the substrate processing process which concerns on one Embodiment of this invention.

次に、本発明の好ましい実施形態について説明する。   Next, a preferred embodiment of the present invention will be described.

以下に、本発明の好ましい実施形態について図面を参照してより詳細に説明する。   Hereinafter, preferred embodiments of the present invention will be described in more detail with reference to the drawings.

(1)基板処理装置の構成
図3は半導体デバイスの製造方法を実施するための枚葉式基板処理装置(以下単に、基板処理装置10という)の上面断面図である。本実施形態にかかるクラスタ型の基板処理装置10の搬送装置は、真空側と大気側とに分かれている。また、基板処理装置10では、基板12を搬送するキャリヤとして、FOUP(Front Opening Unified Pod:以下、ポッドという。)100が使用されている。
(1) Configuration of Substrate Processing Apparatus FIG. 3 is a top sectional view of a single-wafer type substrate processing apparatus (hereinafter simply referred to as a substrate processing apparatus 10) for carrying out a semiconductor device manufacturing method. The transfer device of the cluster type substrate processing apparatus 10 according to the present embodiment is divided into a vacuum side and an atmosphere side. In the substrate processing apparatus 10, a FOUP (Front Opening Unified Pod) 100 is used as a carrier for transporting the substrate 12.

(真空側の構成)
図3に示されているように、基板処理装置10は、真空状態などの大気圧未満の圧力(負圧)に耐え得る第1搬送室103を備えている。第1搬送室103の筐体101は平面視が例えば五角形であり、上下両端が閉塞した箱形状に形成されている。
(Vacuum side configuration)
As shown in FIG. 3, the substrate processing apparatus 10 includes a first transfer chamber 103 that can withstand a pressure (negative pressure) less than atmospheric pressure such as a vacuum state. The casing 101 of the first transfer chamber 103 is, for example, a pentagon in plan view, and is formed in a box shape with both upper and lower ends closed.

第1搬送室103内には、基板12を移載する第1基板移載機112が設けられている。   In the first transfer chamber 103, a first substrate transfer machine 112 for transferring the substrate 12 is provided.

筐体101の五枚の側壁のうち前側に位置する側壁には、予備室(ロードロック室)122,123がそれぞれゲートバルブ126,127を介して連結されている。予備室122,123は、基板12を搬入する機能と基板12を搬出する機能とを併用可能に構成され、それぞれ負圧に耐え得る構造で構成されている。   Preliminary chambers (load lock chambers) 122 and 123 are connected to the front side walls of the five side walls of the casing 101 through gate valves 126 and 127, respectively. The preliminary chambers 122 and 123 are configured to be able to use both the function of loading the substrate 12 and the function of unloading the substrate 12, and each has a structure capable of withstanding negative pressure.

第1搬送室103の筐体101の五枚の側壁のうち後ろ側(背面側)に位置する四枚の側壁には、基板に所望の処理を行う第1プロセスユニット202aと、第2プロセスユニット202b、第3プロセスユニット202c、第4プロセスユニット202dがゲートバルブ70a,70b,70c,70dを介してそれぞれ隣接して連結されている。   Of the five side walls of the casing 101 of the first transfer chamber 103, four side walls located on the rear side (back side) have a first process unit 202a for performing desired processing on the substrate, and a second process unit. 202b, the third process unit 202c, and the fourth process unit 202d are connected adjacently through gate valves 70a, 70b, 70c, and 70d, respectively.

(大気側の構成)
予備室122,123の前側には、大気圧下の状態で基板12を搬送することができる第2搬送室121がゲートバルブ128、129を介して連結されている。第2搬送室121には、基板12を移載する第2基板移載機124が設けられている。
(Composition on the atmosphere side)
A second transfer chamber 121 that can transfer the substrate 12 under atmospheric pressure is connected to the front sides of the preliminary chambers 122 and 123 through gate valves 128 and 129. The second transfer chamber 121 is provided with a second substrate transfer machine 124 for transferring the substrate 12.

第2搬送室121の左側にはノッチ合わせ装置106が設けられている。なお、ノッチ合わせ装置106は、オリエンテーションフラット合わせ装置であってもよい。また、第2搬送室121の上部にはクリーンエアを供給するクリーンユニットが設けられている。   A notch aligning device 106 is provided on the left side of the second transfer chamber 121. The notch aligning device 106 may be an orientation flat aligning device. In addition, a clean unit for supplying clean air is provided in the upper part of the second transfer chamber 121.

第2搬送室121の筐体125の前側には、基板12を第2搬送室121に対して搬入搬出するための基板搬入搬出口134と、ポッドオープナ108と、が設けられている。基板搬入搬出口134を挟んでポッドオープナ108と反対側、すなわち筐体125の外側には、ロードポート(IOステージ)105が設けられている。ポッドオープナ108は、ポッド100のキャップ100aを開閉すると共に基板搬入搬出口134を閉塞可能なクロージャを備えている。ロードポート105に載置されたポッド100のキャップ100aを開閉することにより、ポッド100に対する基板12の出し入れを可能にする。また、ポッド100は図示しない工程内搬送装置(OHTなど)によって、ロードポート105に対して、供給および排出されるようになっている。   A substrate loading / unloading port 134 for loading / unloading the substrate 12 to / from the second transfer chamber 121 and a pod opener 108 are provided on the front side of the housing 125 of the second transfer chamber 121. A load port (IO stage) 105 is provided on the opposite side of the pod opener 108 across the substrate loading / unloading port 134, that is, on the outside of the housing 125. The pod opener 108 includes a closure capable of opening and closing the cap 100a of the pod 100 and closing the substrate loading / unloading port 134. By opening and closing the cap 100a of the pod 100 placed on the load port 105, the substrate 12 can be taken in and out of the pod 100. The pod 100 is supplied to and discharged from the load port 105 by an in-process transfer device (OHT or the like) (not shown).

図1は基板処理装置10が備える第1プロセスユニット202aにおける、基板処理を行う基板処理位置Bにある状態を示す要部断面図である。図2は同じく基板処理装置の概略断面図であり、サセプタが下降して搬送工程を行うことが可能な搬送位置Aにある状態を示す図である。なお、本実施形態では第1プロセスユニット202aにおいて基板処理を行う例について説明するが、第2プロセスユニット202b、第3プロセスユニット202c、第4プロセスユニット202dにおいて、同様の基板処理を行うことができる。以下の説明では、第1〜第4プロセスユニット202a〜202dを単にプロセスユニット202と称する。同様に、ゲートバルブ70a〜70dを単にゲートバルブ70と称する。   FIG. 1 is a cross-sectional view showing the main part of the first process unit 202a provided in the substrate processing apparatus 10 in a substrate processing position B where substrate processing is performed. FIG. 2 is a schematic cross-sectional view of the substrate processing apparatus, showing a state where the susceptor is lowered and in a transfer position A where a transfer process can be performed. In this embodiment, an example in which substrate processing is performed in the first process unit 202a will be described. However, similar substrate processing can be performed in the second process unit 202b, the third process unit 202c, and the fourth process unit 202d. . In the following description, the first to fourth process units 202a to 202d are simply referred to as process units 202. Similarly, the gate valves 70a to 70d are simply referred to as the gate valve 70.

(処理容器)
プロセスユニット202は、基板12を処理する処理容器14を備えており、処理容器14はゲートバルブ70を介して第1搬送室103と連通している。
(Processing container)
The process unit 202 includes a processing container 14 for processing the substrate 12, and the processing container 14 communicates with the first transfer chamber 103 via a gate valve 70.

処理容器14は、上部が開口した容器本体18と、容器本体18の上部開口を塞ぐ蓋体20とから構成されて、内部に密閉構造の処理室22を形成している。なお、処理室22を、蓋体20とサセプタ64とで囲まれた空間で形成するようにしても良い。   The processing container 14 includes a container body 18 having an upper opening and a lid 20 that closes the upper opening of the container body 18, and forms a sealed processing chamber 22 therein. Note that the processing chamber 22 may be formed in a space surrounded by the lid 20 and the susceptor 64.

(ガス導入部)
蓋体20にはガス導入部26と、ガス供給部28が設けられている。ガス導入部26は、蓋体20に設けられ、処理室22内の基板12と対向するように配置され、処理室22内に処理ガスを供給するために設けられる。ガス導入部26は、ガス導入上流側に設けられ、複数のガス孔を有するガス分散板30と、ガス分散板30のガス導入下流側に設けられ、多数のガス孔を有してガスをシャワー状に分散するシャワープレート32と、を有するように構成される。
(Gas introduction part)
The lid 20 is provided with a gas introduction part 26 and a gas supply part 28. The gas introduction unit 26 is provided in the lid 20, is disposed so as to face the substrate 12 in the processing chamber 22, and is provided to supply the processing gas into the processing chamber 22. The gas introduction unit 26 is provided on the gas introduction upstream side, and is provided on the gas introduction plate 30 having a plurality of gas holes, and on the gas introduction downstream side of the gas dispersion plate 30, and has a number of gas holes to shower the gas. And a shower plate 32 dispersed in a shape.

(ガス供給部)
ガス供給部28は、ガス導入部26の上面略中央に形成されたガス導入口34に接続され、ガス導入部26を介して処理室22内に処理ガスを供給するように構成されている。
ガス供給部28は、ガス導入口34に連通されるガス供給管36と、ガス供給管36のガス供給上流側で分岐されるガス供給管38a,38b,38cと、ガス供給管38aのガス供給上流側で分岐されるガス供給管38dと、ガス供給管38a,38b,38c,38dに設けられたガス流路を開閉する開閉弁であるバルブ40a,40b,40c,40d,40e及びガス流量制御器であるマスフロコントローラ(MFC)42a,42b,42c,42dとを備えて、処理室22内に所望の種類のガスを、所望のガス流量、所望のガス比率で供給される。
(Gas supply part)
The gas supply unit 28 is connected to a gas introduction port 34 formed substantially at the center of the upper surface of the gas introduction unit 26, and is configured to supply a processing gas into the processing chamber 22 via the gas introduction unit 26.
The gas supply unit 28 includes a gas supply pipe 36 that communicates with the gas introduction port 34, gas supply pipes 38a, 38b, and 38c that are branched upstream of the gas supply pipe 36, and a gas supply pipe 38a that supplies gas. A gas supply pipe 38d branched on the upstream side, valves 40a, 40b, 40c, 40d, and 40e that are on-off valves for opening and closing gas flow paths provided in the gas supply pipes 38a, 38b, 38c, and 38d, and gas flow rate control Mass flow controllers (MFC) 42a, 42b, 42c, and 42d, which are containers, are supplied into the processing chamber 22 with a desired type of gas at a desired gas flow rate and a desired gas ratio.

すなわち、ガス供給管38aには、ガス供給上流方向から順に、ガス供給源44a、MFC42a、バルブ40a、及びバルブ40eが設けられている。ガス供給管38bには、ガス供給上流方向から順に、ガス供給源44b、MFC42b、及びバルブ40bが設けられている。ガス供給管38cには、ガス供給上流方向から順に、ガス供給源44c、MFC42c、及びバルブ40cが設けられている。ガス供給管38dには、ガス供給上流方向から順に、ガス供給源44d、MFC42d、及びバルブ40dが設けられてガス供給管38aのバルブ40eの上流側に接続されている。   That is, the gas supply pipe 38a is provided with a gas supply source 44a, an MFC 42a, a valve 40a, and a valve 40e in order from the gas supply upstream direction. In the gas supply pipe 38b, a gas supply source 44b, an MFC 42b, and a valve 40b are provided in this order from the gas supply upstream direction. In the gas supply pipe 38c, a gas supply source 44c, an MFC 42c, and a valve 40c are provided in this order from the gas supply upstream direction. The gas supply pipe 38d is provided with a gas supply source 44d, an MFC 42d, and a valve 40d in order from the gas supply upstream direction, and is connected to the upstream side of the valve 40e of the gas supply pipe 38a.

ガス供給管38aからは、第1のエッチングガスである例えばHFガスが、ガス供給源44a、MFC42a、バルブ40a、バルブ40e、ガス供給管36、ガス導入口34及びガス導入部26を介して、処理室22内に供給される。また、ガス供給管38bからは、第2のエッチングガスである例えばIF7ガスが、ガス供給源44b、MFC42b、バルブ40b、ガス供給管36、ガス導入口34及びガス導入部26を介して、処理室22内に供給される。ガス供給管38cからは、不活性ガス及び/又は希釈ガスである例えばN2ガスが、ガス供給源44c、MFC42c、バルブ40c、ガス供給管36、ガス導入口34及びガス導入部26を介して、処理室22内に供給される。また、ガス供給管38dからは、アルコールである例えばメタノールガスが、ガス供給源44d、MFC42d、バルブ40d、バルブ40e、ガス供給管36、ガス導入口34及びガス導入部26を介して、処理室22内に供給される。なお、ガス供給源44a、44b、44c、44dをガス供給ライン(ガス供給部)に含めて構成しても良い。ガス供給源44cから供給されるN2ガスは、後述するパージ工程における不活性ガス(パージガス)として用いてもよく、エッチングガスの希釈ガスとして用いても良い。 From the gas supply pipe 38a, for example, HF gas which is the first etching gas passes through the gas supply source 44a, the MFC 42a, the valve 40a, the valve 40e, the gas supply pipe 36, the gas inlet 34 and the gas inlet 26. It is supplied into the processing chamber 22. Further, from the gas supply pipe 38b, for example, IF 7 gas as the second etching gas is passed through the gas supply source 44b, the MFC 42b, the valve 40b, the gas supply pipe 36, the gas inlet 34 and the gas inlet 26. It is supplied into the processing chamber 22. From the gas supply pipe 38c, for example, N 2 gas which is an inert gas and / or a dilution gas passes through the gas supply source 44c, the MFC 42c, the valve 40c, the gas supply pipe 36, the gas inlet 34 and the gas inlet 26. , And supplied into the processing chamber 22. Further, from the gas supply pipe 38d, for example, methanol gas, which is alcohol, passes through the gas supply source 44d, the MFC 42d, the valve 40d, the valve 40e, the gas supply pipe 36, the gas introduction port 34, and the gas introduction unit 26, and the processing chamber. 22 is supplied. The gas supply sources 44a, 44b, 44c, and 44d may be included in the gas supply line (gas supply unit). The N 2 gas supplied from the gas supply source 44c may be used as an inert gas (purge gas) in a purge process described later, or may be used as a dilution gas for the etching gas.

(サセプタ周辺)
容器本体18には排気口48、搬送口60、及びヒータ62を内蔵したサセプタ64が設けられる。排気口48は、容器本体18に設けられ、容器本体18の上部内周に形成された環状路66と連通し、環状路66を介して処理室22内を排気するように構成されている。また、搬送口60は、容器本体18の排気口48よりも下方の一側部に設けられている。シリコンウェハ等の処理前の基板12は、第1搬送室103から処理室22内に、搬送口60を介して搬入され、処理後の基板12は、処理室22から第1搬送室103へ、搬送口60を介して搬出される。なお、容器本体18の搬送口60には、第1搬送室103と処理室22との雰囲気隔離を行う開閉弁としてのゲートバルブ70が開閉自在に設けられている。
(Around susceptor)
The container main body 18 is provided with an exhaust port 48, a transport port 60, and a susceptor 64 incorporating a heater 62. The exhaust port 48 is provided in the container main body 18, communicates with an annular path 66 formed in the upper inner periphery of the container main body 18, and is configured to exhaust the inside of the processing chamber 22 through the annular path 66. Further, the transport port 60 is provided on one side below the exhaust port 48 of the container body 18. The unprocessed substrate 12 such as a silicon wafer is carried into the processing chamber 22 from the first transfer chamber 103 via the transfer port 60, and the processed substrate 12 is transferred from the processing chamber 22 to the first transfer chamber 103. It is unloaded through the transfer port 60. Note that a gate valve 70 as an opening / closing valve for isolating the atmosphere between the first transfer chamber 103 and the processing chamber 22 is provided at the transfer port 60 of the container body 18 so as to be freely opened and closed.

(サセプタ)
処理容器14の処理室22内に、サセプタ64が昇降自在に設けられ、サセプタ64の表面に基板12が保持される。基板12はサセプタ64を介してヒータ62によって加熱されるようになっている。
(Susceptor)
A susceptor 64 is provided in the processing chamber 22 of the processing container 14 so as to be movable up and down, and the substrate 12 is held on the surface of the susceptor 64. The substrate 12 is heated by a heater 62 via a susceptor 64.

容器本体18の内側底部に複数の支持ピン74が立設され、これらの支持ピン74はヒータ62及びサセプタ64を貫通可能になっており、サセプタ64の昇降に応じて、サセプタ64の表面から出没自在になるように構成されている。   A plurality of support pins 74 are erected on the inner bottom portion of the container body 18, and these support pins 74 can penetrate the heater 62 and the susceptor 64, and appear and disappear from the surface of the susceptor 64 as the susceptor 64 moves up and down. It is configured to be free.

プロセスユニット202は、サセプタ64が下降して搬送工程を行うことが可能な位置にあるとき(図2。以下、この位置を搬送位置Aという)、複数の支持ピン74がサセプタ64から突出して複数の支持ピン74上に基板12を支持可能にし、処理室22と第1搬送室103との間で搬送口60を介して基板12の搬送、搬出が行えるように構成されている。また、プロセスユニット202は、サセプタ64が上昇して、搬送位置Aより上方の中間位置を経て処理工程を行うことが可能な位置にあるとき(図1。以下、この位置を基板処理位置Bという)、支持ピン74は関与せず、サセプタ64上に基板12が載置されるように構成されている。   When the process unit 202 is at a position where the susceptor 64 can be lowered to perform a transfer process (FIG. 2, this position is hereinafter referred to as a transfer position A), a plurality of support pins 74 protrude from the susceptor 64 and The substrate 12 can be supported on the support pins 74, and the substrate 12 can be transported and unloaded through the transport port 60 between the processing chamber 22 and the first transport chamber 103. Further, when the process unit 202 is at a position where the susceptor 64 is raised and a processing step can be performed via an intermediate position above the transfer position A (FIG. 1), this position is hereinafter referred to as a substrate processing position B. ), The support pins 74 are not involved, and the substrate 12 is configured to be placed on the susceptor 64.

サセプタ64は、その支持軸76が昇降回転機構77に連結されて処理室22内を昇降するように設けられている。支持軸76の外周には支持軸76の直線運動をシールするための図示を省略したベローズが設けられる。昇降回転機構77は、基板搬入工程、基板処理工程、基板搬出工程などの各工程で、処理室22内のサセプタ64の上下方向の位置(搬送位置A及び基板処理位置B等)を多段階に調整できるよう構成されている。   The susceptor 64 is provided such that its support shaft 76 is connected to an up-and-down rotation mechanism 77 to move up and down in the processing chamber 22. A bellows (not shown) for sealing the linear motion of the support shaft 76 is provided on the outer periphery of the support shaft 76. The up-and-down rotation mechanism 77 has a multi-stage position of the susceptor 64 in the processing chamber 22 in the vertical direction (transport position A, substrate processing position B, etc.) in each process such as a substrate loading process, a substrate processing process, and a substrate unloading process. It is configured to be adjustable.

また、サセプタ64は回転可能になっている。すなわち、前述した筒状の支持軸76を昇降回転機構77により回転自在として、支持軸76を中心にヒータ62を内蔵したサセプタ64を回転自在に設け、基板12を保持した状態でサセプタ64を任意の速度で回転できるように構成されている。一方、サセプタ64内に設けたヒータ62は固定とし、筒状の支持軸76内に挿通した図示しない固定部によって支持している。このようにサセプタ64を回転自在とし、ヒータ62を固定とすることによって、ヒータ62に対してサセプタ64を相対回転させるようになっている。   Further, the susceptor 64 is rotatable. That is, the above-described cylindrical support shaft 76 can be rotated by the up-and-down rotation mechanism 77, the susceptor 64 having the heater 62 built in is rotatably provided around the support shaft 76, and the susceptor 64 can be arbitrarily set while holding the substrate 12. It can be rotated at a speed of. On the other hand, the heater 62 provided in the susceptor 64 is fixed and supported by a fixing portion (not shown) inserted through a cylindrical support shaft 76. Thus, by making the susceptor 64 rotatable and fixing the heater 62, the susceptor 64 is rotated relative to the heater 62.

(排気部)
プロセスユニット202は、処理室22内の雰囲気を排気する排気部46を備えている。排気部46は、処理室22内の排気経路に設けられた環状路66と、排気口48に接続される排気配管50と、排気配管を開閉するエアバルブ54と、処理室22内の圧力を調整する圧力調整器(APC)56と、真空ポンプ58とを備え、排気口48を介して処理室22内の雰囲気を排気する。また、排気配管50には圧力センサ52が設けられ、処理室22内の圧力が監視される。圧力センサ52で取得された圧力値に基づいて、MFC42a,42b、42c、42d、エアバルブ54、APC56等を制御して、ガスの供給量及び排気量を調整することにより、処理室22内の圧力は所望の値に制御される。
(Exhaust part)
The process unit 202 includes an exhaust unit 46 that exhausts the atmosphere in the processing chamber 22. The exhaust unit 46 adjusts the pressure in the processing chamber 22, an annular path 66 provided in the exhaust path in the processing chamber 22, an exhaust pipe 50 connected to the exhaust port 48, an air valve 54 that opens and closes the exhaust pipe. A pressure regulator (APC) 56 and a vacuum pump 58 are provided, and the atmosphere in the processing chamber 22 is exhausted through the exhaust port 48. The exhaust pipe 50 is provided with a pressure sensor 52 to monitor the pressure in the processing chamber 22. Based on the pressure value acquired by the pressure sensor 52, the pressure in the processing chamber 22 is controlled by controlling the MFC 42a, 42b, 42c, 42d, the air valve 54, the APC 56, etc., and adjusting the gas supply amount and the exhaust amount. Is controlled to a desired value.

(制御部)
制御部(制御手段)としてのコントローラ500は、後述の基板処理工程を行うように、上述の各部を制御する。
図4に示すように、コントローラ500は、CPU(Central Processing Unit)500a、RAM(Random Access Memory)500b、記憶装置500c、I/Oポート500dを備えたコンピュータとして構成されている。RAM500b、記憶装置500c、I/Oポート500dは、内部バス500eを介して、CPU500aとデータ交換可能なように構成されている。コントローラ500には、例えばタッチパネル等として構成された入出力装置501やディスプレイ等の表示装置472が接続されている。
(Control part)
A controller 500 serving as a control unit (control unit) controls each of the above-described units so as to perform a substrate processing process described later.
As shown in FIG. 4, the controller 500 is configured as a computer including a CPU (Central Processing Unit) 500a, a RAM (Random Access Memory) 500b, a storage device 500c, and an I / O port 500d. The RAM 500b, the storage device 500c, and the I / O port 500d are configured to exchange data with the CPU 500a via the internal bus 500e. For example, an input / output device 501 configured as a touch panel or a display device 472 such as a display is connected to the controller 500.

記憶装置500cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置500c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件などが記載されたプロセスレシピ等が、読み出し可能に格納されている。なお、プロセスレシピは、後述する基板処理工程における各手順をコントローラ500に実行させ、所定の結果を得ることが出来るように組み合わされたものであり、プログラムとして機能する。以下、このプロセスレシピや制御プログラム等を総称して、単にプログラムともいう。なお、本明細書においてプログラムという言葉を用いた場合は、プロセスレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。また、RAM500bは、CPU500aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。   The storage device 500c includes, for example, a flash memory, an HDD (Hard Disk Drive), and the like. In the storage device 500c, a control program that controls the operation of the substrate processing apparatus, a process recipe that describes the procedure and conditions of the substrate processing described later, and the like are stored in a readable manner. Note that the process recipe is a combination of functions so that a predetermined result can be obtained by causing the controller 500 to execute each procedure in a substrate processing step to be described later, and functions as a program. Hereinafter, the process recipe, the control program, and the like are collectively referred to as simply a program. When the term “program” is used in this specification, it may include only a process recipe alone, may include only a control program alone, or may include both. The RAM 500b is configured as a memory area (work area) in which programs, data, and the like read by the CPU 500a are temporarily stored.

I/Oポート500dは、上述のヒータ62、MFC42a,42b,42c,42d、バルブ40a,40b,40c,40d,40e,54、APC56、真空ポンプ58、ゲートバルブ70、昇降回転機構77、第1基板移載機112等に接続されている。   The I / O port 500d includes the heater 62, the MFCs 42a, 42b, 42c, 42d, the valves 40a, 40b, 40c, 40d, 40e, 54, the APC 56, the vacuum pump 58, the gate valve 70, the lifting / lowering rotation mechanism 77, the first. It is connected to the substrate transfer machine 112 and the like.

CPU500aは、記憶装置500cから制御プログラムを読み出して実行すると共に、入出力装置501からの操作コマンドの入力等に応じて記憶装置500cからプロセスレシピを読み出すように構成されている。そして、CPU500aは、読み出したプロセスレシピの内容に沿うように、ヒータ62による基板12の加熱・冷却動作、APC56による圧力調整動作、MFC42a,42b,42c,42dとバルブ40a,40b,40c,40d,40e,54による処理ガスの流量調整動作、昇降回転機構77によるサセプタ64の上下回転動作等を制御するように構成されている。   The CPU 500a is configured to read and execute a control program from the storage device 500c, and to read a process recipe from the storage device 500c in response to an operation command input from the input / output device 501 or the like. Then, the CPU 500a performs heating / cooling operation of the substrate 12 by the heater 62, pressure adjustment operation by the APC 56, MFCs 42a, 42b, 42c, 42d and valves 40a, 40b, 40c, 40d, so as to follow the contents of the read process recipe. 40e and 54 are configured to control the flow rate adjustment operation of the processing gas, the vertical rotation operation of the susceptor 64 by the up-and-down rotation mechanism 77, and the like.

なお、コントローラ500は、専用のコンピュータとして構成されている場合に限らず、汎用のコンピュータとして構成されていてもよい。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリ(USB Flash Drive)やメモリカード等の半導体メモリ)123を用意し、係る外部記憶装置123を用いて汎用のコンピュータにプログラムをインストールすること等により、本実施形態に係るコントローラ500を構成することができる。なお、コンピュータにプログラムを供給するための手段は、外部記憶装置123を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置123を介さずにプログラムを供給するようにしてもよい。なお、記憶装置500cや外部記憶装置123は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。なお、本明細書において記録媒体という言葉を用いた場合は、記憶装置500c単体のみを含む場合、外部記憶装置123単体のみを含む場合、または、その両方を含む場合がある。   Note that the controller 500 is not limited to being configured as a dedicated computer, and may be configured as a general-purpose computer. For example, an external storage device (for example, a magnetic tape, a magnetic disk such as a flexible disk or a hard disk, an optical disk such as a CD or a DVD, a magneto-optical disk such as an MO, a USB memory (USB Flash Drive) or a memory card storing the above-described program. The controller 500 according to the present embodiment can be configured by preparing a semiconductor memory) 123 and installing a program in a general-purpose computer using the external storage device 123. The means for supplying the program to the computer is not limited to supplying the program via the external storage device 123. For example, the program may be supplied without using the external storage device 123 by using communication means such as the Internet or a dedicated line. Note that the storage device 500c and the external storage device 123 are configured as computer-readable recording media. Hereinafter, these are collectively referred to simply as a recording medium. Note that when the term “recording medium” is used in this specification, it may include only the storage device 500c alone, may include only the external storage device 123 alone, or may include both.

(2)基板処理工程
続いて、図5〜図7を用いて、本実施形態にかかる半導体製造工程の一工程として実施される基板処理工程について説明する。図5は、本実施形態に係る基板処理工程を示すフロー図である。図6は、本実施形態に係る基板処理工程における、処理室22内の圧力値、第1のエッチングガスとしてのHFガスの供給量、アルコールの供給量、第2のエッチングガスとしてのIF7ガスの供給量、希釈又は不活性ガスの供給量、サセプタの温度の履歴を示す概念図である。図7は、本発明の一実施形態に係る基板処理工程を説明するための概念図である。かかる工程は、上述の基板処理装置10により実施される。なお、本工程において、サセプタ64の温度は一定に保たれている。また、以下の説明において、基板処理装置10を構成する各部の動作は、コントローラ500により制御される。
(2) Substrate Processing Step Next, a substrate processing step performed as one step of the semiconductor manufacturing process according to the present embodiment will be described with reference to FIGS. FIG. 5 is a flowchart showing a substrate processing process according to this embodiment. FIG. 6 shows the pressure value in the processing chamber 22, the supply amount of HF gas as the first etching gas, the supply amount of alcohol, and the IF 7 gas as the second etching gas in the substrate processing step according to this embodiment. It is a conceptual diagram which shows the log | history of supply_amount | feed_rate, the supply amount of dilution or an inert gas, and the temperature history of a susceptor. FIG. 7 is a conceptual diagram for explaining a substrate processing process according to an embodiment of the present invention. Such a process is performed by the substrate processing apparatus 10 described above. In this step, the temperature of the susceptor 64 is kept constant. In the following description, the operation of each part constituting the substrate processing apparatus 10 is controlled by the controller 500.

本実施形態に係る基板処理工程は、Si層をハードマスクとしてSiO層をエッチングした後に、マスクとして用いられたSi層を除去するために行うものである。
本基板処理工程の前に実施される事前エッチング工程では、SiO層上にハードマスクとしてのSi層を形成し、例えばHFガス等のエッチングガスによりSiO層のエッチングが行われる。ハードマスクとして用いられるSi層は、トレンチ状又は柱状の構造を有しており、Si層の高さは例えば1000nm以上であって、SiO層をエッチングした後のSi層のアスペクト比は例えば50:1以上である。この事前エッチング工程の後、Si層の上面には、第1の酸化シリコン含有膜としての自然酸化膜が形成されると共に、Si層の側面(側壁)には、第2の酸化シリコン含有膜としてのSiOを主成分とした堆積物(ポリマー)が付着する。この堆積物は、SiO膜のエッチングの際に付着するもので、エッチング対象であるSiOを主成分とする他に、エッチングガスに含まれる成分も含んでいる。
本実施形態に係る基板処理工程では、ハードマスクとして用いられたSi層の他に、Si層の表面に形成された自然酸化膜及びSiOを主成分とした堆積物であるポリマーを少なくとも除去するものである。
なお、本実施形態に係る基板処理工程が開始される時点においては、このSi層の表面は自然酸化膜と堆積物に覆われているため、実質的に基板の表面において露出していない。
また、SiOを主成分とした堆積物は、結晶構造を有している。
The substrate processing step according to the present embodiment is performed to remove the Si layer used as a mask after etching the SiO layer using the Si layer as a hard mask.
In the pre-etching process performed before the substrate processing process, a Si layer as a hard mask is formed on the SiO layer, and the SiO layer is etched by an etching gas such as HF gas. The Si layer used as a hard mask has a trench-like or columnar structure, the height of the Si layer is, for example, 1000 nm or more, and the aspect ratio of the Si layer after etching the SiO layer is, for example, 50: 1 or more. After this pre-etching step, a natural oxide film as a first silicon oxide-containing film is formed on the upper surface of the Si layer, and a second silicon oxide-containing film is formed on the side surface (side wall) of the Si layer. A deposit (polymer) having SiO as a main component adheres. This deposit adheres at the time of etching the SiO film, and contains the component contained in the etching gas in addition to the main component of the SiO to be etched.
In the substrate processing step according to the present embodiment, in addition to the Si layer used as a hard mask, a natural oxide film formed on the surface of the Si layer and a polymer that is a deposit mainly composed of SiO are removed. It is.
Note that when the substrate processing step according to the present embodiment is started, the surface of the Si layer is covered with a natural oxide film and deposits, and thus is not substantially exposed on the surface of the substrate.
Further, the deposit mainly composed of SiO has a crystal structure.

(基板搬入工程S10)
まず、図2に示すように、Si層を有する基板12が、第1搬送室103から基板搬送ロボット(第1基板移載機112)によって、搬送口60を介して、処理室22内に搬送される。処理室22内に搬入された基板12は、支持ピン74上に載置される。このとき、排気部46から処理室22内の雰囲気を排気しつつ、基板12の搬入経路は減圧下で不活性ガス(例えばN2ガス)によってパージされている。
(Substrate carrying-in process S10)
First, as shown in FIG. 2, the substrate 12 having the Si layer is transferred from the first transfer chamber 103 into the processing chamber 22 through the transfer port 60 by the substrate transfer robot (first substrate transfer machine 112). Is done. The substrate 12 carried into the processing chamber 22 is placed on the support pins 74. At this time, while the atmosphere in the processing chamber 22 is exhausted from the exhaust unit 46, the carry-in path of the substrate 12 is purged with an inert gas (for example, N 2 gas) under reduced pressure.

(パージ・加熱工程S20)
次に、ゲートバルブ70が閉じられた後、図1に示す基板処理位置Bまでサセプタ64が昇降回転機構77の作用により上昇され、基板12がサセプタ64上に載置される。このとき、処理室22内は不活性ガスによってパージされており、処理室22内の雰囲気ガスが排気され、基板12が搬入された際に処理室22内に入り込んだ不要な水分等が排気される。必要に応じて一旦、到達真空まで真空引きしても良い。又、サセプタ64に内包されたヒータ62は予め所定の温度に設定されており、基板12を0℃〜100℃程度の範囲の内、所定の温度になる様に加熱する。また、過剰な熱を排熱するための冷却機構を併用してもよい。なお、所定の基板の温度とは室温未満であっても良く、この場合基板12はサセプタ64によって冷却されることとなる。基板12を冷却する場合には搬出時等に結露しない様に、基板温度と雰囲気の露点に注意する。
(Purge / Heating Step S20)
Next, after the gate valve 70 is closed, the susceptor 64 is raised to the substrate processing position B shown in FIG. 1 by the action of the lifting / lowering rotation mechanism 77, and the substrate 12 is placed on the susceptor 64. At this time, the inside of the processing chamber 22 is purged with an inert gas, the atmospheric gas in the processing chamber 22 is exhausted, and unnecessary moisture or the like that has entered the processing chamber 22 when the substrate 12 is loaded is exhausted. The If necessary, it may be once evacuated to the ultimate vacuum. The heater 62 included in the susceptor 64 is set to a predetermined temperature in advance, and heats the substrate 12 to a predetermined temperature within a range of about 0 ° C to 100 ° C. Further, a cooling mechanism for exhausting excess heat may be used in combination. The temperature of the predetermined substrate may be less than room temperature. In this case, the substrate 12 is cooled by the susceptor 64. When the substrate 12 is cooled, attention should be paid to the substrate temperature and the dew point of the atmosphere so that condensation does not occur at the time of unloading.

(自然酸化膜除去工程S30)
基板12が所定の温度になったところで、図7(a)に示すように、Si層上に形成された自然酸化膜を除去する処理を実施する。このときSi層は露出しておらず、上面に自然酸化膜が形成されている。本工程では、SiOを主成分とする堆積物は除去対象とせず、自然酸化膜のみをターゲットにした条件で、図7(b)に示すように、処理室22内へHFガスを供給する。このときの処理室圧力P1は、例えば10〜1000Pa、本実施形態では500Paであり、ガス供給管38aから供給されるHFガス供給量X1は、例えば50〜500sccm、本実施形態では50sccmであり、HFガスの供給時間は、例えば10〜180sec、本実施形態では60secである。また、このときのHFガス供給量X1は、後述するSiOを主成分とする堆積物の除去工程S50におけるHFガス供給量X2より少なく、供給時間も短く、処理室圧力P1は、S50における処理室圧力P3よりも低いことが望ましい。これにより、自然酸化膜やSiOを主成分とする堆積物とは異なるSiO層又はSiN層の少なくとも一方を含むパターンへのダメージを抑制しつつ、自然酸化膜を除去することができる。自然酸化膜は、SiOを主成分とする堆積物や、パターン中の他部分のSiO層、SiN層等に比べて薄い。また、一般的に当該堆積物は、自然酸化膜に比べてHFガスによりエッチングされにくい性質を有している。すなわち、自然酸化膜に対するエッチングレートの方が、当該堆積物に対するものよりも高い。これは、当該堆積物が事前エッチング工程において用いられたエッチングガスに含まれる成分等も含んでいるためであると推測される。従って、処理室22内へ供給するガスの供給量、処理時間等の調整により、自然酸化膜のみをターゲットにした条件で除去することが可能である。
(Natural oxide film removal step S30)
When the substrate 12 reaches a predetermined temperature, as shown in FIG. 7A, a process of removing the natural oxide film formed on the Si layer is performed. At this time, the Si layer is not exposed, and a natural oxide film is formed on the upper surface. In this step, the HF gas is supplied into the processing chamber 22 as shown in FIG. 7 (b) under the condition that only the natural oxide film is targeted without removing the deposit mainly composed of SiO. The processing chamber pressure P 1 at this time is 10 to 1000 Pa, for example, 500 Pa in this embodiment, and the HF gas supply amount X 1 supplied from the gas supply pipe 38a is 50 to 500 sccm, for example, 50 sccm in this embodiment. Yes, the supply time of HF gas is, for example, 10 to 180 seconds, and in this embodiment 60 seconds. Further, the HF gas supply amount X 1 at this time is smaller than the HF gas supply amount X 2 in the deposit removal step S50 containing SiO as a main component, which will be described later, the supply time is also short, and the processing chamber pressure P 1 is S50. It is desirable that the pressure is lower than the processing chamber pressure P 3 at . As a result, the natural oxide film can be removed while suppressing damage to the pattern including at least one of the SiO layer or the SiN layer different from the natural oxide film or the deposit containing SiO as a main component. The natural oxide film is thinner than deposits containing SiO as a main component, and other portions of the SiO layer, SiN layer, etc. in the pattern. In general, the deposit has a property that it is less likely to be etched by HF gas than a natural oxide film. That is, the etching rate for the natural oxide film is higher than that for the deposit. This is presumed to be because the deposit also contains components contained in the etching gas used in the pre-etching step. Therefore, by adjusting the supply amount of gas supplied into the processing chamber 22, the processing time, etc., it is possible to remove it under conditions targeting only the natural oxide film.

なお、SiOを主成分とする堆積物は、自然酸化膜よりも厚く、また、一般的に自然酸化膜よりも除去されにくい性質を備えているため、上記条件において処理した結果、SiOを主成分とする堆積物は、少なくとも一部が除去されずに残存するが、自然酸化膜の除去と同時にエッチングされたり、表面改質を起こしてもよい。本工程において堆積物に対するエッチングや表面改質が進むことにより、後述するSiOを主成分とする堆積物の除去工程S50における除去を促進し、処理に好適な影響を及ぼすこととなる。   The deposit containing SiO as the main component is thicker than the natural oxide film and generally has a property that it is harder to remove than the natural oxide film. However, at least a portion of the deposit remains without being removed, but may be etched at the same time as the removal of the natural oxide film or may undergo surface modification. The progress of etching and surface modification of the deposit in this step promotes the removal in the step S50 of removing the deposit mainly composed of SiO, which will be described later, and has a favorable influence on the processing.

また、本工程において、HFガスに適切な混合比でアルコールである例えばメタノールを添加しても良い。アルコールを添加することによりSiOに対するエッチングレートを上げ、本工程でSiOを主成分とした堆積物もできるだけ除去することにより後の工程でのエッチング時間を短縮できる。   In this step, alcohol such as methanol may be added to the HF gas at an appropriate mixing ratio. By adding alcohol, the etching rate for SiO is increased, and by removing as much as possible the deposits mainly composed of SiO in this step, the etching time in the subsequent steps can be shortened.

また、本工程において、自然酸化膜を完全に除去できなくとも、Si層の少なくとも一部が露出するように除去できればよく、後述するSi層除去工程S40において、エッチングガスがSi層まで到達し、反応できる程度の除去が行われていればよい。但し、Si層の露出面積をより多くするため、自然酸化膜はできるだけ除去されることが望ましい。また、本工程においては、必要に応じて希釈ガスや不活性ガスを導入してもよい。
そして、本工程終了時に一旦処理室22内の雰囲気を排気する。
Further, in this step, even if the natural oxide film cannot be completely removed, it is sufficient if it can be removed so that at least a part of the Si layer is exposed. In the Si layer removing step S40 described later, the etching gas reaches the Si layer, It is sufficient that the removal is performed to such an extent that the reaction is possible. However, it is desirable to remove the natural oxide film as much as possible in order to increase the exposed area of the Si layer. Moreover, in this process, you may introduce | transduce dilution gas and an inert gas as needed.
At the end of this process, the atmosphere in the processing chamber 22 is once exhausted.

(Si層除去工程S40)
本工程では、図7(c)に示すように、Si層に対して、本格的なSi層の除去(エッチング)を行う。具体的には、SiエッチングガスであるIF7ガスを処理室22内に供給し、所定の基板温度、ガス流量、圧力を所定の時間保持することでSi層の除去を行う。このときの処理室圧力P2は、例えば10〜1000Pa、本実施形態では500Paであり、ガス供給管38bから供給されるIF7ガス供給量Z1は、例えば100〜1000sccm、本実施形態では200sccmであり、IF7ガスの供給時間は、例えば10〜180sec、本実施形態では60secである。IF7ガスは、Siに対して高い選択性を有し、選択的に除去する。ここで、「選択的」とは、Si層に対するエッチングレートが他の種類の層に対するエッチングレートよりも高いことを言う。例えば、IF7ガスによる、SiO層、SiN層、TiN層のエッチングレートに対するSi層のエッチングレートの比率はそれぞれ、1E+5(=1×105)、1E+5(=1×105)、1E+3(=1×103)である。すなわち、IF7ガスは、SiOに対するエッチングレートよりもSiに対するエッチングレートの方が高い。この結果、Si層が選択的に除去されるため、Si層の側面に付着していたSiOを主成分とする堆積物のみが残される。すなわち図7(c)に示すように、本工程前にSi層と接することで露出していなかった堆積物の面が、Si層が除去されることにより露出される。これにより、SiOを主成分とする堆積物の体積当たりの露出面積が増加するため、その後のSiOを主成分とする堆積物の除去工程S50において、処理時間を短くし、ガス供給量を少なくし、圧力を小さく抑えて、効率よく堆積物を除去することができる。
なお、本工程においても、必要に応じて希釈ガスや不活性ガスを供給しても良い。
そして、本工程終了時に一旦処理室22内の雰囲気を排気する。
(Si layer removal step S40)
In this step, as shown in FIG. 7C, the Si layer is completely removed (etched) from the Si layer. Specifically, the Si layer is removed by supplying IF 7 gas, which is a Si etching gas, into the processing chamber 22 and maintaining a predetermined substrate temperature, gas flow rate, and pressure for a predetermined time. The processing chamber pressure P 2 at this time is, for example, 10 to 1000 Pa, 500 Pa in this embodiment, and the IF 7 gas supply amount Z 1 supplied from the gas supply pipe 38b is, for example, 100 to 1000 sccm, and 200 sccm in this embodiment. The supply time of IF 7 gas is, for example, 10 to 180 seconds, and in this embodiment, 60 seconds. IF 7 gas has high selectivity for Si and is selectively removed. Here, “selective” means that the etching rate for the Si layer is higher than the etching rate for other types of layers. For example, the ratio of the etching rate of the Si layer to the etching rate of the SiO layer, the SiN layer, and the TiN layer by IF 7 gas is 1E + 5 (= 1 × 10 5 ), 1E + 5 (= 1 × 10 5 ), 1E + 3 (= 1 × 10 3 ). That is, the IF 7 gas has a higher etching rate for Si than for SiO. As a result, since the Si layer is selectively removed, only the deposit mainly composed of SiO adhering to the side surface of the Si layer remains. That is, as shown in FIG. 7C, the surface of the deposit that was not exposed by contact with the Si layer before this step is exposed by removing the Si layer. As a result, the exposed area per volume of deposits mainly composed of SiO increases, so that in the subsequent removal step S50 of deposits mainly composed of SiO, the processing time is shortened and the gas supply amount is decreased. The deposits can be efficiently removed while keeping the pressure small.
Also in this step, a dilution gas or an inert gas may be supplied as necessary.
At the end of this process, the atmosphere in the processing chamber 22 is once exhausted.

(SiOを主成分とする堆積物の除去工程S50)
本工程では、図7(d)に示すように、HFガスにアルコールである例えばメタノールを添加して供給し、Si層の側面に堆積していたSiOを主成分とする堆積物の除去を行う。このときの処理室圧力P3は、例えば10〜1000Pa、本実施形態では500Paであり、ガス供給管38aから供給されるHFガス供給量X2は、例えば50〜500sccm、本実施形態では50sccmであり、ガス供給管38dから供給されるメタノール供給量Y1は、例えば250〜1000sccm、本実施形態では250sccmであり、HFガス及びメタノールの供給時間は、例えば10〜180sec、本実施形態では60secである。メタノールは、パターン中の他部分の構造との選択性に優れており、蒸気圧が比較的高い。上述したSi層除去工程S40において、Si層は除去されているので、SiOを主成分とする堆積物は、露出面積(表面積)が大きい状態になっており、エッチングガスに曝される体積当たりの表面積を大きくすることができる。すなわち、SiOを主成分とする堆積物を内側と外側との両側から除去することができ、メタノールを添加したHFガスとの反応がより進みやすく、本工程において処理時間を短くし、ガス供給量を少なくし、圧力を小さく抑えて、効率よく除去することができる。
結果として、基板上の自然酸化膜やSiOを主成分とする堆積物とは異なるSiO層又はSiN層の少なくとも一方を含むパターンへのエッチングダメージを抑制しながら、Si層とその表面上の堆積物を除去することができる。なお、この時、必要に応じて希釈ガスや不活性ガスを供給してもよい。また、本工程では、HFガスにメタノールを添加した例について説明したが、これに限らず、HFガスのみを供給するようにしてもよい。
(Deposit removal step S50 containing SiO as a main component)
In this step, as shown in FIG. 7 (d), an alcohol such as methanol is added to the HF gas and supplied to remove deposits mainly composed of SiO deposited on the side surfaces of the Si layer. . The processing chamber pressure P 3 at this time is 10 to 1000 Pa, for example, 500 Pa in this embodiment, and the HF gas supply amount X 2 supplied from the gas supply pipe 38a is 50 to 500 sccm, for example, 50 sccm in this embodiment. The methanol supply amount Y 1 supplied from the gas supply pipe 38d is, for example, 250 to 1000 sccm, and in this embodiment, 250 sccm. The supply time of HF gas and methanol is, for example, 10 to 180 seconds, and in this embodiment, 60 seconds. is there. Methanol has excellent selectivity with respect to the structure of other parts in the pattern, and has a relatively high vapor pressure. In the Si layer removal step S40 described above, since the Si layer is removed, the deposit mainly composed of SiO has a large exposed area (surface area), and the deposit per volume exposed to the etching gas. The surface area can be increased. That is, the deposit containing SiO as a main component can be removed from both the inside and the outside, the reaction with the HF gas to which methanol has been added is easier to proceed, the processing time is shortened in this step, and the gas supply amount It is possible to reduce the pressure efficiently and to reduce the pressure efficiently.
As a result, the Si layer and the deposit on the surface thereof are suppressed while suppressing the etching damage to the pattern including at least one of the SiO layer and the SiN layer different from the natural oxide film on the substrate and the deposit mainly composed of SiO. Can be removed. At this time, a dilution gas or an inert gas may be supplied as necessary. Moreover, although the example which added methanol to HF gas was demonstrated in this process, you may make it supply not only this but HF gas.

上述の通り、HFガスによるSiOを主成分とする堆積物に対するエッチングレートは、自然酸化膜に対するエッチングレートよりも低い。従って、自然酸化膜除去工程S30においてエッチングレートの高い自然酸化膜を先に除去し、SiOを主成分とする堆積物の除去工程S50において、エッチングレートの低い当該堆積物を、露出面積の増大した除去効率がより良好な状態で全て除去するので、自然酸化膜除去工程S30においては、基板12上へ他の構造物へのエッチングダメージを抑えることができ、例えばHFガスの処理時間、供給流量、供給圧力等を小さく抑えることができる。   As described above, the etching rate for deposits mainly composed of SiO by HF gas is lower than the etching rate for natural oxide films. Accordingly, the natural oxide film having a high etching rate is first removed in the natural oxide film removing step S30, and the deposit having a low etching rate is increased in the deposit removing step S50 mainly composed of SiO. Since all removal is performed with better removal efficiency, in the natural oxide film removal step S30, etching damage to other structures on the substrate 12 can be suppressed. For example, HF gas processing time, supply flow rate, Supply pressure and the like can be kept small.

(パージ・冷却工程S60)
次に、メタノールを添加したHFガスの供給を停止し、処理室22内の雰囲気ガスを排気する。この時、パージ用の希釈ガスや不活性ガスである例えばN2ガスを供給しながら排気しても良い。エッチングガスを処置室22内に残留させない為に充分なパージを行う。また、図2の搬送位置Aまでサセプタ64を降下させ、基板12を支持ピン74上に載置してサセプタ64から離して、搬送可能な温度まで冷却する。また、本工程までに基板12がサセプタ64によって冷却されていた場合には、本工程において基板温度は上昇することになる。基板温度が低い場合には搬出した際に結露が起きない様に搬出時の基板温度に留意する。
(Purge / cooling step S60)
Next, the supply of HF gas to which methanol has been added is stopped, and the atmospheric gas in the processing chamber 22 is exhausted. At this time, the gas may be exhausted while supplying, for example, N 2 gas which is a purge dilution gas or an inert gas. Sufficient purging is performed so that the etching gas does not remain in the treatment chamber 22. Further, the susceptor 64 is lowered to the transfer position A in FIG. 2, the substrate 12 is placed on the support pins 74, separated from the susceptor 64, and cooled to a transferable temperature. If the substrate 12 has been cooled by the susceptor 64 by this step, the substrate temperature will increase in this step. When the substrate temperature is low, pay attention to the substrate temperature at the time of unloading so that condensation does not occur when the substrate is unloaded.

(基板搬出工程S70)
次に、基板12が冷却され、処理室22内から搬出する準備が整ったら、希釈ガスや不活性ガスである例えばN2ガスを供給しながら、上述の基板搬入工程S10の逆の手順で搬出する。
(Substrate unloading step S70)
Next, when the substrate 12 is cooled and ready to be carried out from the inside of the processing chamber 22, it is carried out in the reverse procedure of the above-described substrate carrying-in step S10 while supplying dilution gas or inert gas such as N 2 gas. To do.

以上、本発明の実施形態を具体的に説明したが、基板の同時処理枚数、基板を保持する向き、希釈用ガスやパージ用ガスの種類、HFガスに添加するアルコールの種類、基板処理室や加熱機構及び冷却機構の形状等で、実施範囲を限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。   As described above, the embodiment of the present invention has been specifically described. However, the number of simultaneously processed substrates, the direction in which the substrate is held, the type of dilution gas or purge gas, the type of alcohol added to the HF gas, the substrate processing chamber, The scope of implementation is not limited by the shape of the heating mechanism and the cooling mechanism, and various modifications can be made without departing from the scope of the invention.

また、本発明において用いられる第1のエッチングガスは、HFガスに限られず、他のエッチングガスを用いることも可能である。例えば、フッ化アンモニウム水溶液や、水素プラズマなどを用いた方法がある。   In addition, the first etching gas used in the present invention is not limited to HF gas, and other etching gas may be used. For example, there is a method using an ammonium fluoride aqueous solution, hydrogen plasma, or the like.

また、上述の実施形態においては、SiO膜のエッチングにより付着したSiOを主成分とする堆積物の除去を行うが、本発明はSiN膜のエッチングにより付着したSiNを主成分とする堆積物の除去について適用することも可能である。   In the above-described embodiment, the deposit mainly composed of SiO attached by etching the SiO film is removed. However, the present invention removes the deposit mainly composed of SiN adhered by etching the SiN film. It is also possible to apply.

また、本発明において用いられる第2のエッチングガスは、IF7ガスに限られず、他のエッチングガスを用いることも可能である。例えばハロゲン元素を含むガス、特にフッ素(F)、塩素(Cl)、臭素(Br)、ヨウ素(I)の中から選択される2つ以上のハロゲン元素を含むガスが好ましい。例えば、五フッ化ヨウ素(IF5)、七フッ化ヨウ素(IF7)、三フッ化臭素(BrF3)、五フッ化臭素(BrF5)、二フッ化キセノン(XeF2)、三フッ化塩素(ClF3)などが有る。さらに好ましくは、Si層を高い選択性をもって除去させることができる特性を有しているIF7ガスが用いられる。 Further, the second etching gas used in the present invention is not limited to the IF 7 gas, and other etching gases may be used. For example, a gas containing a halogen element, particularly a gas containing two or more halogen elements selected from fluorine (F), chlorine (Cl), bromine (Br), and iodine (I) is preferable. For example, iodine pentafluoride (IF 5 ), iodine heptafluoride (IF 7 ), bromine trifluoride (BrF 3 ), bromine pentafluoride (BrF 5 ), xenon difluoride (XeF 2 ), trifluoride There is chlorine (ClF 3 ). More preferably, IF 7 gas having a characteristic capable of removing the Si layer with high selectivity is used.

また、本発明において第1のエッチングガスに添加されるアルコールとして、メタノールを用いる例について説明したが、これに限らず、エタノール、イソプロピルアルコール(IPA)等を用いることができる。アルコールを添加することで、HFがアニオン(HF2 -:ビフルオリド)となり、SiOとの反応性が向上する。 Moreover, although the example using methanol as alcohol added to 1st etching gas in this invention was demonstrated, not only this but ethanol, isopropyl alcohol (IPA), etc. can be used. By adding alcohol, HF becomes an anion (HF 2 : bifluoride), and the reactivity with SiO is improved.

また、本発明は、本実施形態に係る基板処理装置のような半導体ウエハを処理する半導体製造装置等に限らず、ガラス基板を処理するLCD(Liquid Crystal Display)製造装置、太陽電池製造装置等の基板処理装置、MEMS(Micro Electro Mechanical Systems)製造装置におけるエッチング処理にも適用できる。   Further, the present invention is not limited to a semiconductor manufacturing apparatus that processes a semiconductor wafer such as the substrate processing apparatus according to the present embodiment, but an LCD (Liquid Crystal Display) manufacturing apparatus that processes a glass substrate, a solar cell manufacturing apparatus, or the like. The present invention can also be applied to an etching process in a substrate processing apparatus and a MEMS (Micro Electro Mechanical Systems) manufacturing apparatus.

(3)本実施形態に係る効果
本実施形態によれば、少なくとも以下に示す効果を奏する。
(3) Effects according to the present embodiment According to the present embodiment, at least the following effects are provided.

(a)本実施形態によれば、Si層のドライエッチングにおいて、Si層の側面に堆積したSiOを主成分とする堆積物(SiO含有膜)をパターンの他部分に与えるダメージを抑制しながら除去することができる。 (A) According to this embodiment, in dry etching of the Si layer, deposits (SiO-containing films) mainly composed of SiO deposited on the side surfaces of the Si layer are removed while suppressing damage to other portions of the pattern. can do.

(b)本実施形態によれば、第2のエッチングガスとしてIF7ガスを用いることで、Si層を高い選択性で除去することができる。 (B) According to the present embodiment, the Si layer can be removed with high selectivity by using IF 7 gas as the second etching gas.

(c)本実施形態によれば、第1のエッチングガスに添加するアルコールとしてメタノールを用いることで、パターン中の他部分との選択性を最も高めた条件で処理することができる。 (C) According to the present embodiment, by using methanol as the alcohol added to the first etching gas, it is possible to perform the processing under the condition where the selectivity with respect to the other part in the pattern is maximized.

(d)本実施形態によれば、第1のエッチングガスに添加するアルコールとしてメタノールを用いることで、気化効率を高め簡便な供給が可能となる。 (D) According to the present embodiment, by using methanol as the alcohol added to the first etching gas, the vaporization efficiency is increased and simple supply is possible.

10 基板処理装置
12 基板
14 処理容器
18 容器本体
22 処理室
26 ガス導入部
28 ガス供給部
46 排気部
48 排気口
52 圧力センサ
60 搬送口
64 サセプタ
500 コントローラ
DESCRIPTION OF SYMBOLS 10 Substrate processing apparatus 12 Substrate 14 Processing container 18 Container body 22 Processing chamber 26 Gas introduction part 28 Gas supply part 46 Exhaust part 48 Exhaust port 52 Pressure sensor 60 Transport port 64 Susceptor 500 Controller

Claims (3)

シリコン層と、前記シリコン層の一面に形成され露出している第1の酸化シリコン含有膜と、前記シリコン層の他の面に形成され露出している第2の酸化シリコン含有膜と、を有する基板に第1のエッチングガスを供給して、前記第1の酸化シリコン含有膜を除去する工程と、
前記基板に、前記シリコン層を選択的に除去する第2のエッチングガスを供給して、前記シリコン層を除去する工程と、
前記第2の酸化シリコン含有膜を除去する工程と、
を有する半導体装置の製造方法。
A silicon layer; a first silicon oxide-containing film formed and exposed on one surface of the silicon layer; and a second silicon oxide-containing film formed and exposed on the other surface of the silicon layer. Supplying a first etching gas to the substrate to remove the first silicon oxide-containing film;
Supplying a second etching gas for selectively removing the silicon layer to the substrate to remove the silicon layer;
Removing the second silicon oxide-containing film;
A method for manufacturing a semiconductor device comprising:
前記第2の酸化シリコン含有膜を除去する工程では、前記第1のエッチングガスを含むガスを供給する請求項1に記載の半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein in the step of removing the second silicon oxide-containing film, a gas containing the first etching gas is supplied. シリコン層と、前記シリコン層の一面に形成され露出している第1の酸化シリコン含有膜と、前記シリコン層の他の面に形成され露出している第2の酸化シリコン含有膜と、を有する基板が収容される処理室と、
前記処理室に第1のエッチングガスを供給する第1ガス供給部と、
前記処理室に、前記シリコン層を選択的に除去する第2のエッチングガスを供給する第2ガス供給部と、
前記処理室に前記第1のエッチングガスを供給して、前記第1の酸化シリコン含有膜を除去する工程と、前記処理室に前記第2のエッチングガスを供給して、前記シリコン層を除去する工程と、前記第2の酸化シリコン含有膜を除去する工程と、を実行するように前記第1ガス供給部と前記第2ガス供給部を制御するよう構成される制御部と、
を有する基板処理装置。
A silicon layer; a first silicon oxide-containing film formed and exposed on one surface of the silicon layer; and a second silicon oxide-containing film formed and exposed on the other surface of the silicon layer. A processing chamber in which substrates are accommodated;
A first gas supply unit for supplying a first etching gas to the processing chamber;
A second gas supply unit for supplying a second etching gas for selectively removing the silicon layer into the processing chamber;
Supplying the first etching gas to the processing chamber to remove the first silicon oxide-containing film; and supplying the second etching gas to the processing chamber to remove the silicon layer. A control unit configured to control the first gas supply unit and the second gas supply unit to perform a step and a step of removing the second silicon oxide-containing film;
A substrate processing apparatus.
JP2016038701A 2016-03-01 2016-03-01 Method for manufacturing semiconductor device, and substrate processing device Pending JP2017157660A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2016038701A JP2017157660A (en) 2016-03-01 2016-03-01 Method for manufacturing semiconductor device, and substrate processing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016038701A JP2017157660A (en) 2016-03-01 2016-03-01 Method for manufacturing semiconductor device, and substrate processing device

Publications (1)

Publication Number Publication Date
JP2017157660A true JP2017157660A (en) 2017-09-07

Family

ID=59810634

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016038701A Pending JP2017157660A (en) 2016-03-01 2016-03-01 Method for manufacturing semiconductor device, and substrate processing device

Country Status (1)

Country Link
JP (1) JP2017157660A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112424916A (en) * 2018-07-17 2021-02-26 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and program
CN112673456A (en) * 2018-09-10 2021-04-16 朗姆研究公司 Atomic layer treatment process using metastable activated radical species
EP4141911A1 (en) 2021-08-30 2023-03-01 Kokusai Electric Corp. Substrate processing method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112424916A (en) * 2018-07-17 2021-02-26 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and program
CN112673456A (en) * 2018-09-10 2021-04-16 朗姆研究公司 Atomic layer treatment process using metastable activated radical species
JP7447093B2 (en) 2018-09-10 2024-03-11 ラム リサーチ コーポレーション Atomic layer treatment process using metastable active radical species
EP4141911A1 (en) 2021-08-30 2023-03-01 Kokusai Electric Corp. Substrate processing method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR20230032952A (en) 2021-08-30 2023-03-07 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Similar Documents

Publication Publication Date Title
TWI438833B (en) Substrate processing method and substrate processing device
KR101955829B1 (en) Method for removing adhering matter and dry etching method
WO2015115002A1 (en) Fine pattern forming method, semiconductor device manufacturing method, substrate processing device, and recording medium
US9543220B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, substrate processing method, and recording medium
US10546761B2 (en) Substrate processing apparatus
CN110942985B (en) Etching method, etching device and storage medium
JP5495847B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and substrate processing method
JP5809144B2 (en) Substrate processing method and substrate processing apparatus
JP6262333B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program
TWI692806B (en) Substrate processing method and substrate processing device
JP2009272558A (en) Method for manufacturing semiconductor device, semiconductor device, and semiconductor manufacturing device
WO2015016149A1 (en) Substrate processing device, method for producing semiconductor device, and recording medium
KR102244356B1 (en) Substrate treatment method
JP2017157660A (en) Method for manufacturing semiconductor device, and substrate processing device
WO2017022086A1 (en) Semiconductor device manufacturing method, etching method, substrate processing device and recording medium
TWI588297B (en) Attachment removal method and dry etching method
US11594417B2 (en) Etching method and apparatus
JP6376960B2 (en) Substrate processing apparatus and substrate processing method
WO2017026001A1 (en) Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6630237B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6417916B2 (en) Substrate transport method, substrate processing apparatus, and storage medium
JP7486398B2 (en) Etching method and etching apparatus
JP7175151B2 (en) Conveying method
JP5344824B2 (en) Method for forming resist pattern and recording medium
TW202318534A (en) Semiconductor processing tool

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20181217

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20181218