JP2017120369A - Resist composition and patterning process - Google Patents

Resist composition and patterning process Download PDF

Info

Publication number
JP2017120369A
JP2017120369A JP2016135001A JP2016135001A JP2017120369A JP 2017120369 A JP2017120369 A JP 2017120369A JP 2016135001 A JP2016135001 A JP 2016135001A JP 2016135001 A JP2016135001 A JP 2016135001A JP 2017120369 A JP2017120369 A JP 2017120369A
Authority
JP
Japan
Prior art keywords
group
carbon atoms
resist material
ion
branched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016135001A
Other languages
Japanese (ja)
Other versions
JP6583167B2 (en
Inventor
畠山 潤
Jun Hatakeyama
畠山  潤
大橋 正樹
Masaki Ohashi
正樹 大橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to US15/375,353 priority Critical patent/US10222696B2/en
Priority to KR1020160177929A priority patent/KR102189209B1/en
Priority to TW105143258A priority patent/TWI611259B/en
Publication of JP2017120369A publication Critical patent/JP2017120369A/en
Application granted granted Critical
Publication of JP6583167B2 publication Critical patent/JP6583167B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70025Production of exposure light, i.e. light sources by lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making

Abstract

PROBLEM TO BE SOLVED: To provide a resist material that offers a high dissolution contrast and minimal LWR and causes no dimensional change on PPD in both positive resist material and negative resist material, and a patterning process using the same.SOLUTION: A resist composition comprises a base polymer and a biguanide salt compound represented by the formula (A) (where R-Rindependently represent a hydrogen atom or a predetermined substituent. Ais a hydroxide ion and the like, or an anion represented by the formula (M-1) or (M-2)).SELECTED DRAWING: None

Description

本発明は、ビグアニド塩化合物を含むレジスト材料及びこれを用いるパターン形成方法に関する。   The present invention relates to a resist material containing a biguanide salt compound and a pattern forming method using the resist material.

LSIの高集積化と高速度化に伴い、パターンルールの微細化が急速に進んでいる。特に、フラッシュメモリー市場の拡大と記憶容量の増大化が微細化を牽引している。最先端の微細化技術としては、ArFリソグラフィーによる65nmノードのデバイスの量産が行われており、次世代のArF液浸リソグラフィーによる45nmノードの量産準備が進行中である。次世代の32nmノードとしては、水よりも高屈折率の液体と高屈折率レンズ、高屈折率レジスト材料を組み合わせた超高NAレンズによる液浸リソグラフィー、波長13.5nmの極端紫外線(EUV)リソグラフィー、ArFリソグラフィーの二重露光(ダブルパターニングリソグラフィー)等が候補であり、検討が進められている。   With the high integration and high speed of LSI, pattern rule miniaturization is progressing rapidly. In particular, the expansion of the flash memory market and the increase in storage capacity are leading to miniaturization. As state-of-the-art miniaturization technology, mass production of 65 nm node devices by ArF lithography has been performed, and preparation for mass production of 45 nm nodes by next generation ArF immersion lithography is in progress. The next generation 32nm node includes immersion lithography with ultra-high NA lens combining liquid with higher refractive index than water, high refractive index lens and high refractive index resist material, extreme ultraviolet (EUV) lithography with wavelength of 13.5nm. ArF lithography double exposure (double patterning lithography) and the like are candidates and are being studied.

酸発生剤を添加し、光あるいは電子線(EB)の照射によって酸を発生させて脱保護反応を起こす化学増幅ポジ型レジスト材料、及び酸による架橋反応を起こす化学増幅ネガ型レジスト材料にとって、酸の未露光部分への拡散を制御しコントラストを向上させる目的でのクエンチャーの添加効果は、非常に効果的であった。そのため、多くのアミンクエンチャーが提案された(特許文献1〜3)。   For chemically amplified positive resist materials that add an acid generator and generate a deprotection reaction by generating acid by irradiation with light or electron beam (EB), and for chemically amplified negative resist materials that cause a crosslinking reaction with acid, The effect of adding a quencher for the purpose of controlling the diffusion of the light to the unexposed part and improving the contrast was very effective. Therefore, many amine quenchers have been proposed (Patent Documents 1 to 3).

微細化が進行し、光の回折限界に近づくにつれて、光のコントラストが低下してくる。光のコントラストの低下によって、ポジ型レジスト膜においてはホールパターンやトレンチパターンの解像性や、フォーカスマージンの低下が生じる。   As miniaturization proceeds and approaches the diffraction limit of light, the contrast of light decreases. Due to the decrease in light contrast, the resolution of the hole pattern and the trench pattern and the focus margin decrease in the positive resist film.

光のコントラスト低下によるレジストパターンの解像性低下の影響を防ぐために、レジスト膜の溶解コントラストを向上させる試みが行われている。また、これとともに、レジストパターン内の像ぼけの原因となる酸拡散を抑える試みも行われている。   Attempts have been made to improve the dissolution contrast of the resist film in order to prevent the influence of a decrease in resolution of the resist pattern due to a decrease in light contrast. At the same time, attempts have been made to suppress acid diffusion that causes image blur in the resist pattern.

酸によって酸が発生する酸増殖機構を利用した化学増幅レジスト材料が提案されている。通常、露光量の増大によって酸の濃度が線形的に漸増するが、酸増殖の場合は酸の濃度が露光量の増大に対して非線形的に急激に増大する。酸増殖システムは、化学増幅レジスト膜の高コントラスト、高感度といった長所を更に伸ばすメリットがあるが、アミンの汚染による環境耐性が劣化し、酸拡散距離増大による限界解像性の低下といった化学増幅レジスト膜の欠点を更に劣化させるため、これを実用に供しようとする場合、非常にコントロールしづらい機構である。   A chemically amplified resist material utilizing an acid growth mechanism in which an acid is generated by an acid has been proposed. Normally, the acid concentration increases linearly as the exposure dose increases, but in the case of acid multiplication, the acid concentration rapidly increases nonlinearly with the increase in exposure dose. The acid breeding system has the advantage of further enhancing the advantages of the chemically amplified resist film, such as high contrast and high sensitivity, but the chemically amplified resist has reduced environmental resistance due to amine contamination and reduced limit resolution due to increased acid diffusion distance. In order to further deteriorate the defects of the film, it is a mechanism that is very difficult to control when it is put to practical use.

コントラストを上げるためのもう一つの方法は、露光量の増大に従ってアミンの濃度を低下させる方法である。これには、光によってクエンチャーとしての機能を失う化合物の適用が考えられる。   Another method for increasing the contrast is to decrease the amine concentration as the exposure dose increases. For this, it is possible to apply a compound that loses its function as a quencher by light.

ArF用の(メタ)アクリレートポリマーに用いられている酸不安定基は、α位がフッ素で置換されたスルホン酸が発生する光酸発生剤を使うことによって脱保護反応が進行するが、α位がフッ素で置換されていないスルホン酸やカルボン酸が発生する酸発生剤では脱保護反応が進行しない。α位がフッ素で置換されたスルホン酸が発生するスルホニウム塩やヨードニウム塩に、α位がフッ素で置換されていないスルホン酸が発生するスルホニウム塩やヨードニウム塩を混合すると、α位がフッ素で置換されていないスルホン酸が発生するスルホニウム塩やヨードニウム塩は、α位がフッ素で置換されたスルホン酸とイオン交換を起こす。光によって発生したα位がフッ素で置換されたスルホン酸は、イオン交換によってスルホニウム塩やヨードニウム塩に逆戻りするために、α位がフッ素で置換されていないスルホン酸やカルボン酸のスルホニウム塩やヨードニウム塩はクエンチャーとして機能する。   The acid labile group used in the (meth) acrylate polymer for ArF has a deprotection reaction that proceeds by using a photoacid generator that generates a sulfonic acid in which the α-position is substituted with fluorine. Deprotection reaction does not proceed with an acid generator that generates a sulfonic acid or carboxylic acid that is not substituted with fluorine. Mixing a sulfonium salt or iodonium salt that generates sulfonic acid that is substituted with fluorine at the α-position with a sulfonium salt or iodonium salt that generates sulfonic acid that is not substituted with fluorine at the α-position will replace the α-position with fluorine. Sulphonium salts and iodonium salts in which sulfonic acid is not generated undergo ion exchange with sulfonic acids in which the α-position is substituted with fluorine. The sulfonic acid in which the α position generated by light is substituted with fluorine is returned to the sulfonium salt or iodonium salt by ion exchange, so that the sulfonic acid or carboxylic acid sulfonium salt or iodonium salt in which the α position is not substituted with fluorine is used. Functions as a quencher.

更に、α位がフッ素で置換されていないスルホン酸が発生するスルホニウム塩やヨードニウム塩は、光分解によってクエンチャー能としての能力を失うために、光分解性クエンチャーとしても機能する。構造式は明らかにされていないが、光分解性クエンチャーの添加によってトレンチパターンのマージンが拡大することが示されている(非特許文献3)。しかしながら、性能向上に与える影響は僅かであり、よりコントラストを向上させるクエンチャーの開発が望まれている。   Furthermore, the sulfonium salt or iodonium salt in which a sulfonic acid in which the α-position is not substituted with fluorine is generated loses its ability as a quencher by photolysis, and thus functions as a photodegradable quencher. Although the structural formula has not been clarified, it has been shown that the margin of the trench pattern is expanded by the addition of a photodegradable quencher (Non-patent Document 3). However, the effect on performance improvement is slight, and it is desired to develop a quencher that further improves contrast.

特許文献4には、光によってアミノ基を有するカルボン酸が発生し、これが酸によってラクタムが生成することによって塩基性が低下するオニウム塩型のクエンチャーが提案されている。酸によって塩基性が低下する機構によって、酸の発生量が少ない未露光部分は高い塩基性によって酸の拡散が制御されていて、酸の発生量が多い過露光部分はクエンチャーの塩基性が低下することによって酸の拡散が大きくなっている。これによって露光部と未露光部の酸量の差を広げることができ、コントラストが向上する。ただし、この方法も酸拡散が増大するという欠点を有している。   Patent Document 4 proposes an onium salt-type quencher in which basicity is lowered by the generation of a carboxylic acid having an amino group by light and the generation of a lactam by the acid. Due to the mechanism that the basicity is lowered by the acid, the unexposed part where the amount of acid generated is low, the diffusion of the acid is controlled by the high basicity, the overexposed part where the amount of acid generated is high, the basicity of the quencher is reduced This increases the acid diffusion. Thereby, the difference in the acid amount between the exposed part and the unexposed part can be widened, and the contrast is improved. However, this method also has the disadvantage that acid diffusion increases.

ビグアニドやホスファゼンは、超強塩基化合物として知られている。これらは、ジアザビシクロウンデセン(DBU)よりも高い塩基性を有し、これをエポキシの硬化反応触媒として用いることが検討されている。例えば、特許文献5及び6には、光によってグアニジン、ビグアニド、ホスファゼン、2,5,8,9−テトラアザ−1−ホスファビシクロ[3.3.3]ウンデカン類が発生する塩基発生剤が提案されている。通常は、露光量の増大に従って光酸発生剤から発生する酸の量は増大するが、光酸発生剤とこれらの光塩基発生剤とを併用した場合、光酸発生剤の量と塩基発生剤の量とが同じで発生効率も同じ場合、露光量が増大しても酸の量が増大しない。光酸発生剤の量が多く発生効率が高い場合は露光量の増大に従って酸の量が増大するものの、増大量が少なくレジストのコントラストも低い。   Biguanides and phosphazenes are known as super strong base compounds. These have higher basicity than diazabicycloundecene (DBU), and use of this as a curing reaction catalyst for epoxy has been studied. For example, Patent Documents 5 and 6 propose base generators that generate guanidine, biguanide, phosphazene, 2,5,8,9-tetraaza-1-phosphabicyclo [3.3.3] undecanes by light. Has been. Normally, the amount of acid generated from the photoacid generator increases as the exposure amount increases, but when the photoacid generator and these photobase generators are used in combination, the amount of photoacid generator and the base generator The amount of acid does not increase even if the exposure amount increases. When the amount of photoacid generator is large and the generation efficiency is high, the amount of acid increases as the exposure amount increases, but the amount of increase is small and the contrast of the resist is low.

有機溶剤現像によるネガティブトーンの形成方法が注目されている。光露光でホールパターンを形成しようとする場合、ブライトパターンのマスクとネガ型レジストの組み合わせで形成する場合が最もピッチが小さなホールパターンを形成できるためである。ここで、露光後のポストエクスポージャーベーク(PEB)と現像の間の放置時間(PPD:Post PEB Delay)によって現像後のパターンの寸法が変化することが問題になっている。PEB後の室温放置中に酸が徐々に未露光部分に拡散し、脱保護反応が進行することが原因であると考えられる。PPD問題を解決するには、活性化エネルギーの高い保護基を用いて高温のPEBを行うのが一つの方法である。PPDは室温反応であるために、PEBとの温度ギャップが大きいほどPPDの影響は軽減される。バルキーなアニオンを有する酸を発生させる酸発生剤を用いることもPPDの影響低減に効果的である。酸であるプロトンはアニオンとペアとなっているが、アニオンのサイズが大きくなるほどプロトンのホッピングが低減される。   A negative tone forming method by organic solvent development has attracted attention. This is because when a hole pattern is to be formed by light exposure, a hole pattern having the smallest pitch can be formed by a combination of a bright pattern mask and a negative resist. Here, there is a problem that the dimension of the pattern after development changes depending on the post exposure bake (PEB) after exposure and the standing time (PPD: Post PEB Delay) between development. It is considered that the cause is that the acid gradually diffuses into the unexposed part during standing at room temperature after PEB, and the deprotection reaction proceeds. In order to solve the PPD problem, one method is to perform high-temperature PEB using a protective group having a high activation energy. Since PPD is a room temperature reaction, the effect of PPD is reduced as the temperature gap with PEB increases. Using an acid generator that generates an acid having a bulky anion is also effective in reducing the influence of PPD. The proton that is an acid is paired with an anion, but proton hopping is reduced as the size of the anion increases.

PPDの影響低減に効果的と期待されるもう一つの成分がクエンチャーである。従来のクエンチャーの開発は、高温のPEB中の酸拡散を低減させて脱保護反応のコントラストを向上させることが目的とされていたが、PPDの影響を低減させるためには、視点を変えて室温での酸拡散を効果的に抑えてくれるクエンチャーの開発が望まれている。   Another component that is expected to be effective in reducing the influence of PPD is a quencher. Conventional quencher development was aimed at improving the contrast of deprotection reaction by reducing acid diffusion in high-temperature PEB, but to reduce the influence of PPD, the viewpoint was changed. Development of a quencher that effectively suppresses acid diffusion at room temperature is desired.

特開2001−194776号公報JP 2001-194776 A 特開2002−226470号公報JP 2002-226470 A 特開2002−363148号公報JP 2002-363148 A 特開2015−90382号公報Japanese Patent Laying-Open No. 2015-90382 特開2010−84144号公報JP 2010-84144 A 国際公開第2015/111640号International Publication No. 2015/111640

SPIE Vol. 5039 p1 (2003)SPIE Vol. 5039 p1 (2003) SPIE Vol. 6520 p65203L-1 (2007)SPIE Vol. 6520 p65203L-1 (2007) SPIE Vol. 7639 p76390W (2010)SPIE Vol. 7639 p76390W (2010)

PPDの影響を抑えるためには、クエンチャーの添加が有効であるが、特許文献5及び6に記載の超強塩基が発生する塩基発生剤の場合、塩基が発生する場所は露光領域である。PEB後の室温放置中におけるレジスト膜内の酸拡散は露光部分から未露光部分への酸の拡散であるため、露光部分だけに塩基性物質が発生する機構のクエンチャーでは、露光部から未露光部への酸拡散を防ぐことはできない。   In order to suppress the influence of PPD, addition of a quencher is effective. However, in the case of a base generator that generates a super strong base described in Patent Documents 5 and 6, the place where the base is generated is an exposure region. Since acid diffusion in the resist film during standing at room temperature after PEB is diffusion of acid from the exposed part to the unexposed part, the quencher of the mechanism in which a basic substance is generated only in the exposed part is unexposed from the exposed part. It is not possible to prevent acid diffusion to the part.

アミンクエンチャー、スルホン酸やカルボン酸のスルホニウム塩やヨードニウム塩等のクエンチャーは塩基性が高く、未露光部分における酸拡散の抑制効果が高いが、まだ性能が不十分である。アミンクエンチャー、スルホン酸やカルボン酸のスルホニウム塩やヨードニウム塩等のクエンチャーよりも室温での酸拡散を抑えることができ、更には、溶解コントラストが高く、かつエッジラフネス(LWR)を低減させるクエンチャーの開発が望まれている。   Quenchers such as amine quenchers, sulfonium salts of sulfonic acids and carboxylic acids, and iodonium salts are highly basic and have a high effect of suppressing acid diffusion in unexposed areas, but performance is still insufficient. It can suppress acid diffusion at room temperature more than quenchers such as amine quenchers, sulfonium salts and iodonium salts of sulfonic acids and carboxylic acids, and has a high dissolution contrast and a low edge roughness (LWR). Char development is desired.

本発明は前記事情に鑑みなされたもので、ポジ型レジスト材料においてもネガ型レジスト材料においても溶解コントラストが大きく、かつLWRを小さくでき、PPDにおいても寸法変化が生じることがないレジスト材料、及びこれを用いるパターン形成方法を提供することを目的とする。   The present invention has been made in view of the above circumstances, a resist material having a high dissolution contrast in both a positive resist material and a negative resist material, a low LWR, and no dimensional change in a PPD, and this It is an object of the present invention to provide a pattern forming method using the above.

本発明者らは、前記目的を達成するため鋭意検討を重ねた結果、所定のビグアニド塩化合物をクエンチャーとして用いることによって、LWRが小さく、溶解コントラストが高く、PPDにおいても寸法変化が生じないレジスト膜を得ることができることを見出し、本発明を完成させた。   As a result of intensive studies to achieve the above object, the present inventors have used a predetermined biguanide salt compound as a quencher, so that the resist has a low LWR, a high dissolution contrast, and no dimensional change even in PPD. The present inventors have found that a film can be obtained and completed the present invention.

したがって、本発明は、下記レジスト材料及びこれを用いたパターン形成方法を提供する。
1.ベースポリマー、及び下記式(A)で表されるビグアニド塩化合物を含むレジスト材料。

Figure 2017120369
[式中、R1〜R8は、それぞれ独立に、水素原子、炭素数1〜24の直鎖状、分岐状若しくは環状のアルキル基、炭素数2〜24の直鎖状、分岐状若しくは環状のアルケニル基、炭素数2〜24の直鎖状、分岐状若しくは環状のアルキニル基、又は炭素数6〜20のアリール基であり、これらの中にエステル基、エーテル基、スルフィド基、スルホキシド基、カーボネート基、カーバメート基、スルホン基、ハロゲン原子、アミノ基、アミド基、ヒドロキシ基、チオール基、ニトロ基又はハロゲン原子を含んでいてもよく、R1とR2と、R2とR3と、R3とR4と、R5とR6と、R6とR7と、又はR7とR8とが結合して環を形成してもよく、該環の中にエーテル結合を含んでいてもよい。A-は、水酸化物イオン、塩素イオン、臭素イオン、ヨウ素イオン、硝酸イオン、亜硝酸イオン、塩素酸イオン、亜塩素酸イオン、過塩素酸イオン、炭酸水素イオン、リン酸二水素イオン、硫酸水素イオン、チオシアン酸イオン、シュウ酸水素イオン、シアン化物イオン、ヨウ素酸イオン、又は下記式(M−1)若しくは(M−2)で表される陰イオンである。
Figure 2017120369
(式中、R9は、水素原子、炭素数1〜30の直鎖状、分岐状若しくは環状のアルキル基、炭素数2〜30の直鎖状、分岐状若しくは環状のアルケニル基、炭素数2〜30の直鎖状、分岐状若しくは環状のアルキニル基、炭素数6〜20のアリール基、炭素数7〜20のアラルキル基、又は炭素数3〜20の芳香族若しくは脂肪族複素環含有基であり、これらの基の中にエステル基、エーテル基、スルフィド基、スルホキシド基、カーボネート基、カーバメート基、スルホン基、ハロゲン原子、アミノ基、アミド基、ヒドロキシ基、チオール基、ニトロ基、ハロゲン原子を含んでいてもよいが、R9は、下記式(A)−1
Figure 2017120369
(式中、Arは、炭素数6〜16の芳香族基であり、R12及びR13は、それぞれ独立に、水素原子、ヒドロキシ基、アルコキシ基、炭素数1〜6の直鎖状、分岐状若しくは環状のアルキル基、又は炭素数6〜10のアリール基である。)
で表される基は含まない。R10は、フッ素原子、炭素数1〜10の直鎖状、分岐状若しくは環状のフッ素化アルキル基、又はフッ素化フェニル基であり、ヒドロキシ基、エーテル基、エステル基、アルコキシ基を含んでいてもよい。R11は、水素原子、炭素数1〜10の直鎖状、分岐状若しくは環状のアルキル基、炭素数2〜10の直鎖状、分岐状若しくは環状のアルケニル基、炭素数2〜10の直鎖状若しくは分岐状のアルキニル基、又は炭素数6〜10のアリール基であり、ヒドロキシ基、エーテル基、エステル基、アルコキシ基を含んでいてもよい。)]
2.更に、スルホン酸、スルホンイミド又はスルホンメチドを発生する酸発生剤を含む1のレジスト材料。
3.更に、有機溶剤を含む1又は2のレジスト材料。
4.前記ベースポリマーが、下記式(a1)で表される繰り返し単位又は下記式(a2)で表される繰り返し単位を含むものである1〜3のいずれかのレジスト材料。
Figure 2017120369
(式中、R31及びR33は、それぞれ独立に、水素原子又はメチル基である。R32及びR34は、それぞれ独立に、酸不安定基である。Xは、単結合、エステル基、フェニレン基、ナフチレン基、又はラクトン環を含む炭素数1〜12の連結基である。Yは、単結合又はエステル基である。)
5.更に、溶解阻止剤を含む4のレジスト材料。
6.化学増幅ポジ型レジスト材料である4又は5のレジスト材料。
7.前記ベースポリマーが、酸不安定基を含まないものである1〜3のいずれかのレジスト材料。
8.更に、架橋剤を含む7のレジスト材料。
9.化学増幅ネガ型レジスト材料である7又は8のレジスト材料。
10.前記ベースポリマーが、更に下記式(f1)〜(f3)で表される繰り返し単位から選ばれる少なくとも1つの繰り返し単位を含む1〜9のいずれかのレジスト材料。
Figure 2017120369
(式中、R51、R55及びR59は、それぞれ独立に、水素原子又はメチル基である。R52は、単結合、フェニレン基、−O−R63−又は−C(=O)−Y1−R63−であり、Y1は、−O−又は−NH−であり、R63は、カルボニル基、エステル基、エーテル基若しくはヒドロキシ基を含んでいてもよい炭素数1〜6の直鎖状、分岐状若しくは環状の、アルキレン基若しくはアルケニレン基、又はフェニレン基である。R53、R54、R56、R57、R58、R60、R61及びR62は、それぞれ独立に、カルボニル基、エステル基若しくはエーテル基を含んでいてもよい炭素数1〜12の直鎖状、分岐状若しくは環状のアルキル基、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基、若しくはメルカプトフェニル基である。A1は、単結合、−A0−C(=O)−O−、−A0−O−又は−A0−O−C(=O)−であり、A0は、カルボニル基、エステル基又はエーテル基を含んでいてもよい炭素数1〜12の直鎖状、分岐状又は環状のアルキレン基である。A2は、水素原子又はトリフルオロメチル基である。Z1は、単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R64−又は−C(=O)−Z2−R64−であり、Z2は、−O−又は−NH−であり、R64は、カルボニル基、エステル基、エーテル基若しくはヒドロキシ基を含んでいてもよい炭素数1〜6の直鎖状、分岐状若しくは環状の、アルキレン基若しくはアルケニレン基、又はフェニレン基、フッ素化されたフェニレン基、若しくはトリフルオロメチル基で置換されたフェニレン基である。M-は、非求核性対向イオンを表す。f1、f2及びf3は、0≦f1≦0.5、0≦f2≦0.5、0≦f3≦0.5、及び0<f1+f2+f3≦0.5を満たす正数である。)
11.更に、界面活性剤を含む1〜10のいずれかのレジスト材料。
12.1〜11のいずれかのレジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むパターン形成方法。
13.前記高エネルギー線が、波長193nmのArFエキシマレーザー又は波長248nmのKrFエキシマレーザーである12のパターン形成方法。
14.前記高エネルギー線が、EB又は波長3〜15nmのEUVである12のパターン形成方法。 Accordingly, the present invention provides the following resist material and a pattern forming method using the resist material.
1. A resist material comprising a base polymer and a biguanide salt compound represented by the following formula (A).
Figure 2017120369
[Wherein, R 1 to R 8 are each independently a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 24 carbon atoms, a linear, branched or cyclic group having 2 to 24 carbon atoms. An alkenyl group, a linear, branched or cyclic alkynyl group having 2 to 24 carbon atoms, or an aryl group having 6 to 20 carbon atoms, among which an ester group, an ether group, a sulfide group, a sulfoxide group, It may contain a carbonate group, a carbamate group, a sulfone group, a halogen atom, an amino group, an amide group, a hydroxy group, a thiol group, a nitro group or a halogen atom, and R 1 and R 2 , R 2 and R 3 , R 3 and R 4 , R 5 and R 6 , R 6 and R 7 , or R 7 and R 8 may be combined to form a ring, and the ring contains an ether bond. May be. A - is hydroxide ion, chlorine ion, bromine ion, iodine ion, nitrate ion, nitrite ion, chlorate ion, chlorite ion, perchlorate ion, hydrogen carbonate ion, dihydrogen phosphate ion, sulfuric acid It is a hydrogen ion, a thiocyanate ion, a hydrogen oxalate ion, a cyanide ion, an iodate ion, or an anion represented by the following formula (M-1) or (M-2).
Figure 2017120369
(In the formula, R 9 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 30 carbon atoms, or a carbon number of 2; A linear, branched or cyclic alkynyl group of ˜30, an aryl group of 6 to 20 carbon atoms, an aralkyl group of 7 to 20 carbon atoms, or an aromatic or aliphatic heterocyclic group containing 3 to 20 carbon atoms. There are ester group, ether group, sulfide group, sulfoxide group, carbonate group, carbamate group, sulfone group, halogen atom, amino group, amide group, hydroxy group, thiol group, nitro group, halogen atom in these groups. Although it may contain, R < 9 > is following formula (A) -1
Figure 2017120369
(In the formula, Ar is an aromatic group having 6 to 16 carbon atoms, and R 12 and R 13 are each independently a hydrogen atom, a hydroxy group, an alkoxy group, a straight chain having 1 to 6 carbon atoms, branched. Or a cyclic alkyl group or an aryl group having 6 to 10 carbon atoms.)
The group represented by is not included. R 10 is a fluorine atom, a linear, branched or cyclic fluorinated alkyl group having 1 to 10 carbon atoms, or a fluorinated phenyl group, and includes a hydroxy group, an ether group, an ester group, and an alkoxy group. Also good. R 11 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 10 carbon atoms, a straight chain having 2 to 10 carbon atoms. It is a chain or branched alkynyl group or an aryl group having 6 to 10 carbon atoms, and may contain a hydroxy group, an ether group, an ester group, or an alkoxy group. ]]
2. Furthermore, 1 resist material containing the acid generator which generate | occur | produces a sulfonic acid, a sulfonimide, or a sulfonemethide.
3. Furthermore, 1 or 2 resist material containing an organic solvent.
4). The resist material according to any one of 1 to 3, wherein the base polymer includes a repeating unit represented by the following formula (a1) or a repeating unit represented by the following formula (a2).
Figure 2017120369
Wherein R 31 and R 33 are each independently a hydrogen atom or a methyl group. R 32 and R 34 are each independently an acid labile group. X is a single bond, an ester group, (A phenylene group, a naphthylene group, or a linking group having 1 to 12 carbon atoms including a lactone ring. Y is a single bond or an ester group.)
5. Furthermore, 4 resist materials containing a dissolution inhibitor.
6). 4 or 5 resist material which is a chemically amplified positive resist material.
7). The resist material according to any one of 1 to 3, wherein the base polymer does not contain an acid labile group.
8). Furthermore, 7 resist materials containing a crosslinking agent.
9. 7 or 8 resist material which is a chemically amplified negative resist material.
10. The resist material according to any one of 1 to 9, wherein the base polymer further contains at least one repeating unit selected from repeating units represented by the following formulas (f1) to (f3).
Figure 2017120369
(In the formula, R 51 , R 55 and R 59 each independently represents a hydrogen atom or a methyl group. R 52 represents a single bond, a phenylene group, —O—R 63 — or —C (═O) —. Y 1 —R 63 —, Y 1 is —O— or —NH—, and R 63 has 1 to 6 carbon atoms which may contain a carbonyl group, an ester group, an ether group or a hydroxy group. A linear, branched or cyclic alkylene group, alkenylene group, or phenylene group, R 53 , R 54 , R 56 , R 57 , R 58 , R 60 , R 61, and R 62 are each independently A linear, branched or cyclic alkyl group having 1 to 12 carbon atoms which may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms. group, or .A 1 is mercapto phenyl group, Bond, -A 0 -C (= O) -O -, - A 0 -O- or -A 0 -O-C (= O ) - and is, A 0 is a carbonyl group, an ester group or an ether group A C 1-12 linear, branched or cyclic alkylene group which may contain A 2 is a hydrogen atom or a trifluoromethyl group, Z 1 is a single bond, a methylene group, ethylene; Group, phenylene group, fluorinated phenylene group, —O—R 64 — or —C (═O) —Z 2 —R 64 —, wherein Z 2 is —O— or —NH—, R 64 is a linear, branched or cyclic alkylene group or alkenylene group having 1 to 6 carbon atoms which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, or a phenylene group, fluorinated Phenyl substituted with a phenylene group or trifluoromethyl group Is a group .M - is, .f1 represents a non-nucleophilic counter ion, f2 and f3 is, 0 ≦ f1 ≦ 0.5,0 ≦ f2 ≦ 0.5,0 ≦ f3 ≦ 0.5, and 0 <It is a positive number satisfying f1 + f2 + f3 ≦ 0.5.)
11. Furthermore, the resist material in any one of 1-10 containing surfactant.
12. A pattern forming method comprising a step of applying a resist material of any one of 12.1 to 11 on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer.
13. 12. The pattern forming method according to 12, wherein the high energy beam is an ArF excimer laser having a wavelength of 193 nm or a KrF excimer laser having a wavelength of 248 nm.
14 12. The pattern forming method according to 12, wherein the high energy ray is EB or EUV having a wavelength of 3 to 15 nm.

前記ビグアニド塩化合物を含むレジスト膜は、酸拡散を抑える効果が高く、溶解コントラストが高いために、アルカリ現像におけるポジ型レジスト膜、ネガ型レジスト膜、及び有機溶剤現像におけるネガレジスト膜として優れた解像性と広いフォーカスマージンを有し、LWRが小さく、PPDにおいても寸法変化が生じない。   Since the resist film containing the biguanide salt compound has a high effect of suppressing acid diffusion and a high dissolution contrast, it is an excellent solution as a positive resist film, a negative resist film in alkali development, and a negative resist film in organic solvent development. It has image quality and a wide focus margin, has a small LWR, and does not cause dimensional changes even in the PPD.

[レジスト材料]
本発明のレジスト材料は、ベースポリマー、及び所定のビグアニド塩化合物を含む。前記ビグアニド塩化合物は、酸発生剤から発生したスルホン酸、スルホンイミド又はスルホンメチド、特にはフッ素化されたアルキル基を含むスルホン酸、ビススルホンイミド又はトリススルホンメチドとイオン交換を起こして塩を形成し、カルボン酸又はスルホンアミドを放出する。ビグアニドの塩基性は非常に高いため、酸の捕集能力と酸拡散を抑える効果が高い。前記ビグアニド塩化合物は感光性がなく、光によってビグアニドが生成されることがないし、未露光部分でも十分な酸を補足する能力がある。よって露光部から未露光部への酸の拡散を抑えることができる。
[Resist material]
The resist material of the present invention includes a base polymer and a predetermined biguanide salt compound. The biguanide salt compound forms a salt by causing ion exchange with sulfonic acid, sulfonimide or sulfonemethide generated from an acid generator, particularly sulfonic acid, bissulfonimide or trissulfonemethide containing a fluorinated alkyl group. And release carboxylic acid or sulfonamide. Since the basicity of biguanide is very high, it has a high effect of suppressing acid collection and acid diffusion. The biguanide salt compound has no photosensitivity, does not generate a biguanide by light, and has an ability to supplement a sufficient acid even in an unexposed portion. Therefore, the diffusion of the acid from the exposed part to the unexposed part can be suppressed.

本発明のレジスト材料には、前記ビグアニド塩化合物のほかに、他のアミン化合物、アンモニウム塩、スルホニウム塩又はヨードニウム塩をクエンチャーとして別途添加してもよい。このとき、クエンチャーとして添加するアンモニウム塩、スルホニウム塩又はヨードニウム塩としては、カルボン酸、スルホン酸、スルホンアミド及びサッカリンのスルホニウム塩又はヨードニウム塩が適当である。このときのカルボン酸は、α位がフッ素化されていてもいなくてもよい。   In addition to the biguanide salt compound, another amine compound, ammonium salt, sulfonium salt, or iodonium salt may be separately added as a quencher to the resist material of the present invention. At this time, as the ammonium salt, sulfonium salt or iodonium salt added as the quencher, the sulfonium salt or iodonium salt of carboxylic acid, sulfonic acid, sulfonamide and saccharin is suitable. The carboxylic acid at this time may or may not be fluorinated at the α-position.

前記ビグアニド塩化合物による酸拡散抑制効果及びコントラスト向上効果は、アルカリ現像によるポジティブパターン形成やネガティブパターン形成においても、有機溶剤現像におけるネガティブパターン形成のどちらにおいても有効である。   The acid diffusion suppressing effect and contrast improving effect of the biguanide salt compound are effective both in positive pattern formation and negative pattern formation by alkali development and in negative pattern formation in organic solvent development.

[ビグアニド塩化合物]
本発明のレジスト材料に含まれるビグアニド塩化合物は、下記式(A)で表されるものである。

Figure 2017120369
[Biguanide salt compounds]
The biguanide salt compound contained in the resist material of the present invention is represented by the following formula (A).
Figure 2017120369

式(A)中、R1〜R8は、それぞれ独立に、水素原子、炭素数1〜24の直鎖状、分岐状若しくは環状のアルキル基、炭素数2〜24の直鎖状、分岐状若しくは環状のアルケニル基、炭素数2〜24の直鎖状、分岐状若しくは環状のアルキニル基、又は炭素数6〜20のアリール基であり、これらの中にエステル基、エーテル基、スルフィド基、スルホキシド基、カーボネート基、カーバメート基、スルホン基、ハロゲン原子、アミノ基、アミド基、ヒドロキシ基、チオール基、ニトロ基又はハロゲン原子を含んでいてもよく、R1とR2と、R2とR3と、R3とR4と、R5とR6と、R6とR7と、又はR7とR8とが結合して環を形成してもよく、該環の中にエーテル結合を含んでいてもよい。 In formula (A), R 1 to R 8 are each independently a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 24 carbon atoms, a linear or branched structure having 2 to 24 carbon atoms. Or a cyclic alkenyl group, a linear, branched or cyclic alkynyl group having 2 to 24 carbon atoms, or an aryl group having 6 to 20 carbon atoms, among which an ester group, an ether group, a sulfide group, a sulfoxide Group, carbonate group, carbamate group, sulfone group, halogen atom, amino group, amide group, hydroxy group, thiol group, nitro group or halogen atom, R 1 and R 2 , R 2 and R 3 R 3 and R 4 , R 5 and R 6 , R 6 and R 7 , or R 7 and R 8 may combine to form a ring, and an ether bond is formed in the ring. May be included.

式(A)中、A-は、水酸化物イオン、塩素イオン、臭素イオン、ヨウ素イオン、硝酸イオン、亜硝酸イオン、塩素酸イオン、亜塩素酸イオン、過塩素酸イオン、炭酸水素イオン、リン酸二水素イオン、硫酸水素イオン、チオシアン酸イオン、シュウ酸水素イオン、シアン化物イオン、ヨウ素酸イオン、又は下記式(M−1)若しくは(M−2)で表される陰イオンである。

Figure 2017120369
[式(M−1)中、R9は、水素原子、炭素数1〜30の直鎖状、分岐状若しくは環状のアルキル基、炭素数2〜30の直鎖状、分岐状若しくは環状のアルケニル基、炭素数2〜30の直鎖状、分岐状若しくは環状のアルキニル基、炭素数6〜20のアリール基、炭素数7〜20のアラルキル基、又は炭素数3〜20の芳香族若しくは脂肪族複素環含有基であり、これらの基の中にエステル基、エーテル基、スルフィド基、スルホキシド基、カーボネート基、カーバメート基、スルホン基、ハロゲン原子、アミノ基、アミド基、ヒドロキシ基、チオール基、ニトロ基、ハロゲン原子を含んでいてもよいが、R9は、下記式(A)−1
Figure 2017120369
(式中、Arは、炭素数6〜16の芳香族基であり、R12及びR13は、それぞれ独立に、水素原子、ヒドロキシ基、アルコキシ基、炭素数1〜6の直鎖状、分岐状若しくは環状のアルキル基、又は炭素数6〜10のアリール基である。)
で表される基は含まない。式(M−2)中、R10は、フッ素原子、炭素数1〜10の直鎖状、分岐状若しくは環状のフッ素化アルキル基、又はフッ素化フェニル基であり、ヒドロキシ基、エーテル基、エステル基、アルコキシ基を含んでいてもよい。R11は、水素原子、炭素数1〜10の直鎖状、分岐状若しくは環状のアルキル基、炭素数2〜10の直鎖状、分岐状若しくは環状のアルケニル基、炭素数2〜10の直鎖状若しくは分岐状のアルキニル基、又は炭素数6〜10のアリール基であり、ヒドロキシ基、エーテル基、エステル基、アルコキシ基を含んでいてもよい。] In formula (A), A represents hydroxide ion, chlorine ion, bromine ion, iodine ion, nitrate ion, nitrite ion, chlorate ion, chlorite ion, perchlorate ion, bicarbonate ion, phosphorus ion It is an acid dihydrogen ion, hydrogen sulfate ion, thiocyanate ion, hydrogen oxalate ion, cyanide ion, iodate ion, or an anion represented by the following formula (M-1) or (M-2).
Figure 2017120369
[In the formula (M-1), R 9 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 30 carbon atoms. Group, a linear, branched or cyclic alkynyl group having 2 to 30 carbon atoms, an aryl group having 6 to 20 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or an aromatic or aliphatic group having 3 to 20 carbon atoms Heterocycle-containing groups, among which are ester groups, ether groups, sulfide groups, sulfoxide groups, carbonate groups, carbamate groups, sulfone groups, halogen atoms, amino groups, amide groups, hydroxy groups, thiol groups, nitro groups R 9 may contain a group or a halogen atom, but R 9 represents the following formula (A) -1
Figure 2017120369
(In the formula, Ar is an aromatic group having 6 to 16 carbon atoms, and R 12 and R 13 are each independently a hydrogen atom, a hydroxy group, an alkoxy group, a straight chain having 1 to 6 carbon atoms, branched. Or a cyclic alkyl group or an aryl group having 6 to 10 carbon atoms.)
The group represented by is not included. In Formula (M-2), R 10 is a fluorine atom, a linear, branched or cyclic fluorinated alkyl group having 1 to 10 carbon atoms, or a fluorinated phenyl group, and is a hydroxy group, an ether group, or an ester Group and an alkoxy group may be contained. R 11 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 10 carbon atoms, a straight chain having 2 to 10 carbon atoms. It is a chain or branched alkynyl group or an aryl group having 6 to 10 carbon atoms, and may contain a hydroxy group, an ether group, an ester group, or an alkoxy group. ]

式(M−1)で表されるカルボン酸塩のアニオンとしては、以下に示すものが挙げられるが、これらに限定されない。   Examples of the anion of the carboxylate represented by the formula (M-1) include, but are not limited to, the following.

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

式(M−2)で表されるスルホンアミド塩のアニオンとしては、以下に示すものが挙げられるが、これらに限定されない。   Examples of the anion of the sulfonamide salt represented by the formula (M-2) include, but are not limited to, the following.

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

式(A)で表されるビグアニド塩化合物のカチオンとしては、以下に示すものが挙げられるが、これらに限定されない。   Examples of the cation of the biguanide salt compound represented by the formula (A) include, but are not limited to, those shown below.

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

前記カチオン化したビグアニドのプラス電荷は、5つの窒素原子に非局在化している。このため、スルホン酸、スルホンイミド、スルホンメチドのアニオンをトラップして中和するポイントが至る所に存在し、これによって素早くアニオンをトラップすることができる。ビグアニドは、高い塩基性度に合わせて高いトラップ能を有している優れたクエンチャーである。   The positive charge of the cationized biguanide is delocalized on five nitrogen atoms. For this reason, there are points where the anions of sulfonic acid, sulfonimide, and sulfonemethide are trapped and neutralized, so that the anions can be quickly trapped. Biguanide is an excellent quencher having a high trapping ability in accordance with a high basicity.

式(A)で表されるビグアニド塩化合物の合成方法としては、例えば、グアニジン類及びカルボジイミド類を反応させることで得られたビグアニド化合物と、カルボン酸又はスルホンアミドとを混合する方法が挙げられる。このような方法は、例えば、国際公開第2015/111640号に詳しく掲載されている。   Examples of the method for synthesizing the biguanide salt compound represented by the formula (A) include a method of mixing a biguanide compound obtained by reacting guanidines and carbodiimides with carboxylic acid or sulfonamide. Such a method is described in detail, for example, in International Publication No. 2015/111640.

本発明のレジスト材料における、式(A)で表されるビグアニド塩化合物の含有量は、感度と酸拡散抑制効果の点から、ベースポリマー100質量部に対し、0.001〜50質量部が好ましく、0.01〜20質量部がより好ましい。   In the resist material of the present invention, the content of the biguanide salt compound represented by the formula (A) is preferably 0.001 to 50 parts by mass with respect to 100 parts by mass of the base polymer from the viewpoint of sensitivity and acid diffusion inhibiting effect. 0.01 to 20 parts by mass is more preferable.

[ベースポリマー]
本発明のレジスト材料に含まれるベースポリマーは、ポジ型レジスト材料の場合、酸不安定基を含む繰り返し単位を含む。酸不安定基を含む繰り返し単位としては、下記式(a1)で表される繰り返し単位(以下、繰り返し単位a1という。)、又は式(a2)で表される繰り返し単位(以下、繰り返し単位a2という。)が好ましい。

Figure 2017120369
[Base polymer]
In the case of a positive resist material, the base polymer contained in the resist material of the present invention contains a repeating unit containing an acid labile group. As the repeating unit containing an acid labile group, a repeating unit represented by the following formula (a1) (hereinafter referred to as repeating unit a1) or a repeating unit represented by formula (a2) (hereinafter referred to as repeating unit a2). .) Is preferred.
Figure 2017120369

式中、R31及びR33は、それぞれ独立に、水素原子又はメチル基である。R32及びR34は、それぞれ独立に、酸不安定基である。Xは、単結合、エステル基、フェニレン基、ナフチレン基、又はラクトン環を含む炭素数1〜12の連結基であるが、単結合、フェニレン基、又はナフチレン基が好ましい。Yは、単結合又はエステル基であるが、単結合が好ましい。 In the formula, R 31 and R 33 are each independently a hydrogen atom or a methyl group. R 32 and R 34 are each independently an acid labile group. X is a single bond, an ester group, a phenylene group, a naphthylene group, or a linking group having 1 to 12 carbon atoms including a lactone ring, and is preferably a single bond, a phenylene group, or a naphthylene group. Y is a single bond or an ester group, but a single bond is preferred.

繰り返し単位a1としては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、R31及びR32は、前記と同じである。

Figure 2017120369
Examples of the repeating unit a1 include, but are not limited to, those shown below. In the following formulae, R 31 and R 32 are the same as described above.
Figure 2017120369

繰り返し単位a1及びa2中のR32及びR34で表される酸不安定基としては種々選定されるが、例えば、特開2013−80033号公報や特開2013−83821号公報に記載の酸不安定基を用いることができる。 The acid labile groups represented by R 32 and R 34 in the repeating units a1 and a2 are variously selected. For example, the acid groups described in JP2013-80033A and JP2013-83821A can be used. Stabilizing groups can be used.

典型的には、前記酸不安定基としては、下記式(AL−1)〜(AL−3)で表されるものが挙げられる。

Figure 2017120369
Typically, examples of the acid labile group include those represented by the following formulas (AL-1) to (AL-3).
Figure 2017120369

式(AL−1)及び(AL−2)中、R35及びR38は、炭素数1〜40、特に1〜20の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基であり、酸素原子、硫黄原子、窒素原子、フッ素原子等のヘテロ原子を含んでいてもよい。R36及びR37は、それぞれ独立に、水素原子、又は炭素数1〜20の直鎖状、分岐状若しくは環状のアルキル基等の1価炭化水素基であり、酸素原子、硫黄原子、窒素原子、フッ素原子等のヘテロ原子を含んでいてもよい。A1は0〜10、特に1〜5の整数である。R36とR37と、R36とR38と、又はR37とR38とは、互いに結合してこれらが結合する炭素原子又は炭素原子と酸素原子と共に炭素数3〜20、好ましくは4〜16の環、特に脂環を形成してもよい。 In the formulas (AL-1) and (AL-2), R 35 and R 38 are monovalent hydrocarbon groups such as a linear, branched or cyclic alkyl group having 1 to 40 carbon atoms, particularly 1 to 20 carbon atoms. And may contain heteroatoms such as oxygen atom, sulfur atom, nitrogen atom and fluorine atom. R 36 and R 37 are each independently a hydrogen atom or a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, an oxygen atom, a sulfur atom, a nitrogen atom And may contain a hetero atom such as a fluorine atom. A1 is an integer of 0 to 10, particularly 1 to 5. R 36 and R 37 , R 36 and R 38 , or R 37 and R 38 are bonded to each other and together with the carbon atom or carbon atom and oxygen atom to which they are bonded, the number of carbon atoms is 3 to 20, preferably 4 to You may form 16 rings, especially an alicyclic ring.

式(AL−3)中、R39、R40及びR41は、それぞれ独立に、炭素数1〜20の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基であり、酸素原子、硫黄原子、窒素原子、フッ素原子等のヘテロ原子を含んでいてもよい。R39とR40と、R39とR41と、又はR40とR41とは、互いに結合してこれらが結合する炭素原子と共に炭素数3〜20、好ましくは4〜16の環、特に脂環を形成してもよい。 In the formula (AL-3), R 39 , R 40 and R 41 are each independently a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and oxygen Hetero atoms, such as an atom, a sulfur atom, a nitrogen atom, and a fluorine atom, may be included. R 39 and R 40 , R 39 and R 41 , or R 40 and R 41 , together with the carbon atoms to which they are bonded, have 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms, A ring may be formed.

前記ベースポリマーは、更に、密着性基としてフェノール性ヒドロキシ基を含む繰り返し単位bを含んでもよい。繰り返し単位bを与えるモノマーとしては、以下に示すものが挙げられるが、これらに限定されない。   The base polymer may further contain a repeating unit b containing a phenolic hydroxy group as an adhesive group. Examples of the monomer that gives the repeating unit b include, but are not limited to, those shown below.

Figure 2017120369
Figure 2017120369

前記ベースポリマーは、更に、他の密着性基として、フェノール性ヒドロキシ基以外のヒドロキシ基、ラクトン環、エーテル基、エステル基、カルボニル基又はシアノ基を含む繰り返し単位cを含んでもよい。繰り返し単位cを与えるモノマーとしては、以下に示すものが挙げられるが、これらに限定されない。   The base polymer may further contain a repeating unit c containing a hydroxy group other than the phenolic hydroxy group, a lactone ring, an ether group, an ester group, a carbonyl group or a cyano group as another adhesive group. Examples of the monomer that gives the repeating unit c include, but are not limited to, those shown below.

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

ヒドロキシ基を含むモノマーの場合、重合時にヒドロキシ基をエトキシエトキシ基等の酸によって脱保護しやすいアセタール基で置換しておいて重合後に弱酸と水によって脱保護を行ってもよいし、アセチル基、ホルミル基、ピバロイル基等で置換しておいて重合後にアルカリ加水分解を行ってもよい。   In the case of a monomer containing a hydroxy group, the hydroxy group may be replaced with an acetal group that can be easily deprotected with an acid such as an ethoxyethoxy group at the time of polymerization, and then deprotected with a weak acid and water after the polymerization, or an acetyl group, Substitution with a formyl group, pivaloyl group or the like, and alkali hydrolysis may be performed after polymerization.

前記ベースポリマーは、更に、インデン、ベンゾフラン、ベンゾチオフェン、アセナフチレン、クロモン、クマリン、ノルボルナジエン又はこれらの誘導体に由来する繰り返し単位dを含んでもよい。繰り返し単位dを与えるモノマーとしては、以下に示すものが挙げられるが、これらに限定されない。   The base polymer may further include a repeating unit d derived from indene, benzofuran, benzothiophene, acenaphthylene, chromone, coumarin, norbornadiene, or derivatives thereof. Monomers that give the repeating unit d include, but are not limited to, those shown below.

Figure 2017120369
Figure 2017120369

前記ベースポリマーは、更に、スチレン、ビニルナフタレン、ビニルアントラセン、ビニルピレン、メチレンインダン、ビニルピリジン又はビニルカルバゾールに由来する繰り返し単位eを含んでもよい。   The base polymer may further contain a repeating unit e derived from styrene, vinyl naphthalene, vinyl anthracene, vinyl pyrene, methylene indan, vinyl pyridine or vinyl carbazole.

前記ベースポリマーは、更に、重合性炭素−炭素二重結合を含むオニウム塩に由来する繰り返し単位fを含んでもよい。特開2005−84365号公報には、特定のスルホン酸が発生する重合性炭素−炭素二重結合を含むスルホニウム塩やヨードニウム塩が提案されている。特開2006−178317号公報には、スルホン酸が主鎖に直結したスルホニウム塩が提案されている。   The base polymer may further include a repeating unit f derived from an onium salt containing a polymerizable carbon-carbon double bond. Japanese Patent Application Laid-Open No. 2005-84365 proposes sulfonium salts and iodonium salts containing a polymerizable carbon-carbon double bond capable of generating a specific sulfonic acid. Japanese Patent Application Laid-Open No. 2006-178317 proposes a sulfonium salt in which a sulfonic acid is directly bonded to the main chain.

好ましい繰り返し単位fとしては、下記式(f1)、(f2)又は(f3)で表される繰り返し単位(以下、それぞれ繰り返し単位f1、繰り返し単位f2、繰り返し単位f3という。)が挙げられる。なお、繰り返し単位f1〜f3は、1種単独でも、2種以上を組み合せて使用してもよい。

Figure 2017120369
Preferable repeating unit f includes repeating units represented by the following formula (f1), (f2) or (f3) (hereinafter referred to as repeating unit f1, repeating unit f2, and repeating unit f3, respectively). The repeating units f1 to f3 may be used alone or in combination of two or more.
Figure 2017120369

式中、R51、R55及びR59は、それぞれ独立に、水素原子又はメチル基である。R52は、単結合、フェニレン基、−O−R63−又は−C(=O)−Y1−R63−であり、Y1は、−O−又は−NH−であり、R63は、カルボニル基、エステル基、エーテル基若しくはヒドロキシ基を含んでいてもよい炭素数1〜6の直鎖状、分岐状若しくは環状の、アルキレン基若しくはアルケニレン基、又はフェニレン基である。R53、R54、R56、R57、R58、R60、R61及びR62は、それぞれ独立に、カルボニル基、エステル基若しくはエーテル基を含んでいてもよい炭素数1〜12の直鎖状、分岐状若しくは環状のアルキル基、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基、若しくはメルカプトフェニル基である。A1は、単結合、−A0−C(=O)−O−、−A0−O−又は−A0−O−C(=O)−であり、A0は、カルボニル基、エステル基又はエーテル基を含んでいてもよい炭素数1〜12の直鎖状、分岐状又は環状のアルキレン基である。A2は、水素原子又はトリフルオロメチル基である。Z1は、単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R64−又は−C(=O)−Z2−R64−であり、Z2は、−O−又は−NH−であり、R64は、カルボニル基、エステル基、エーテル基若しくはヒドロキシ基を含んでいてもよい炭素数1〜6の直鎖状、分岐状若しくは環状の、アルキレン基若しくはアルケニレン基、又はフェニレン基、フッ素化されたフェニレン基、若しくはトリフルオロメチル基で置換されたフェニレン基である。M-は、非求核性対向イオンを表す。f1、f2及びf3は、0≦f1≦0.5、0≦f2≦0.5、0≦f3≦0.5、及び0<f1+f2+f3≦0.5を満たす正数である。 In the formula, R 51 , R 55 and R 59 are each independently a hydrogen atom or a methyl group. R 52 is a single bond, a phenylene group, —O—R 63 — or —C (═O) —Y 1 —R 63 —, Y 1 is —O— or —NH—, and R 63 is , A carbonyl group, an ester group, an ether group, or a hydroxy group, which is a linear, branched or cyclic alkylene group, alkenylene group, or phenylene group having 1 to 6 carbon atoms. R 53 , R 54 , R 56 , R 57 , R 58 , R 60 , R 61 and R 62 are each independently a straight chain having 1 to 12 carbon atoms which may contain a carbonyl group, an ester group or an ether group. A chain, branched or cyclic alkyl group, an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or a mercaptophenyl group. A 1 represents a single bond, -A 0 -C (= O) -O -, - A 0 -O- or -A 0 -O-C (= O ) - and is, A 0 represents a carbonyl group, an ester It is a C1-C12 linear, branched or cyclic alkylene group which may contain a group or an ether group. A 2 is a hydrogen atom or a trifluoromethyl group. Z 1 is a single bond, a methylene group, an ethylene group, a phenylene group, a fluorinated phenylene group, —O—R 64 — or —C (═O) —Z 2 —R 64 —, and Z 2 is —O— or —NH—, and R 64 is a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, or An alkenylene group, or a phenylene group, a phenylene group substituted with a fluorinated phenylene group, or a trifluoromethyl group. M represents a non-nucleophilic counter ion. f1, f2, and f3 are positive numbers that satisfy 0 ≦ f1 ≦ 0.5, 0 ≦ f2 ≦ 0.5, 0 ≦ f3 ≦ 0.5, and 0 <f1 + f2 + f3 ≦ 0.5.

繰り返し単位f1を与えるモノマーとしては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、M-は、非求核性対向イオンを表す。

Figure 2017120369
Examples of the monomer that gives the repeating unit f1 include, but are not limited to, those shown below. In the following formulae, M represents a non-nucleophilic counter ion.
Figure 2017120369

-で表される非求核性対向イオンとしては、塩化物イオン、臭化物イオン等のハライドイオン、トリフレート、1,1,1−トリフルオロエタンスルホネート、ノナフルオロブタンスルホネート等のフルオロアルキルスルホネート、トシレート、ベンゼンスルホネート、4−フルオロベンゼンスルホネート、1,2,3,4,5−ペンタフルオロベンゼンスルホネート等のアリールスルホネート、メシレート、ブタンスルホネート等のアルキルスルホネート、ビス(トリフルオロメチルスルホニル)イミド、ビス(パーフルオロエチルスルホニル)イミド、ビス(パーフルオロブチルスルホニル)イミド等のスルホンイミド、トリス(トリフルオロメチルスルホニル)メチド、トリス(パーフルオロエチルスルホニル)メチド等のスルホンメチドが挙げられる。 Non-nucleophilic counter ions represented by M include halide ions such as chloride ions and bromide ions, fluoroalkyl sulfonates such as triflate, 1,1,1-trifluoroethanesulfonate, and nonafluorobutanesulfonate. Aryl sulfonates such as tosylate, benzene sulfonate, 4-fluorobenzene sulfonate, 1,2,3,4,5-pentafluorobenzene sulfonate, alkyl sulfonates such as mesylate and butane sulfonate, bis (trifluoromethylsulfonyl) imide, bis ( Examples thereof include sulfonimides such as perfluoroethylsulfonyl) imide and bis (perfluorobutylsulfonyl) imide, and sulfonemethides such as tris (trifluoromethylsulfonyl) methide and tris (perfluoroethylsulfonyl) methide.

前記非求核性対向イオンとしては、更に、下記式(K−1)表されるα位がフルオロ置換されたスルホン酸イオン、下記式(K−2)で表されるα及びβ位がフルオロ置換されたスルホン酸イオン等が挙げられる。

Figure 2017120369
The non-nucleophilic counter ion further includes a sulfonate ion in which the α-position represented by the following formula (K-1) is fluoro-substituted, and the α and β-positions represented by the following formula (K-2) are fluoro. Examples include substituted sulfonate ions.
Figure 2017120369

式(K−1)中、R65は、水素原子、炭素数1〜20の直鎖状、分岐状若しくは環状のアルキル基、炭素数2〜20の直鎖状、分岐状若しくは環状のアルケニル基、又は炭素数6〜20のアリール基であり、エーテル基、エステル基、カルボニル基、ラクトン環又はフッ素原子を含んでいてもよい。 In the formula (K-1), R 65 represents a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 20 carbon atoms. Or an aryl group having 6 to 20 carbon atoms and may contain an ether group, an ester group, a carbonyl group, a lactone ring or a fluorine atom.

式(K−2)中、R66は、水素原子、炭素数1〜30の直鎖状、分岐状若しくは環状のアルキル基、炭素数1〜30の直鎖状、分岐状若しくは環状のアシル基、炭素数2〜20の直鎖状、分岐状若しくは環状のアルケニル基、炭素数6〜20のアリール基、又は炭素数6〜20のアリールオキシ基であり、エーテル基、エステル基、カルボニル基又はラクトン環を含んでいてもよい。 In the formula (K-2), R 66 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a linear, branched or cyclic acyl group having 1 to 30 carbon atoms. , A linear, branched or cyclic alkenyl group having 2 to 20 carbon atoms, an aryl group having 6 to 20 carbon atoms, or an aryloxy group having 6 to 20 carbon atoms, an ether group, an ester group, a carbonyl group, or It may contain a lactone ring.

繰り返し単位f2を与えるモノマーとしては、以下に示すものが挙げられるが、これらに限定されない。

Figure 2017120369
Examples of the monomer that gives the repeating unit f2 include, but are not limited to, those shown below.
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

繰り返し単位f3を与えるモノマーとしては、以下に示すものが挙げられるが、これらに限定されない。

Figure 2017120369
Monomers that give the repeating unit f3 include, but are not limited to, those shown below.
Figure 2017120369

Figure 2017120369
Figure 2017120369

ポリマー主鎖に酸発生剤を結合させることによって酸拡散を小さくし、酸拡散のぼけによる解像性の低下を防止できる。また、酸発生剤が均一に分散することによってエッジラフネスが改善される。なお、繰り返し単位f1〜f3から選ばれる少なくとも1つの繰り返し単位を含むベースポリマーを用いる場合、後述する光酸発生剤の配合を省略し得る。   By binding an acid generator to the polymer main chain, acid diffusion can be reduced, and degradation of resolution due to blurring of acid diffusion can be prevented. Further, the edge roughness is improved by uniformly dispersing the acid generator. In addition, when using the base polymer containing the at least 1 repeating unit chosen from repeating unit f1-f3, the mixing | blending of the photo-acid generator mentioned later can be abbreviate | omitted.

ポジ型レジスト材料用のベースポリマーとしては、酸不安定基を含む繰り返し単位a1又はa2を必須とする。この場合、繰り返し単位a1、a2、b、c、d、e、f1、f2及びf3の含有比率は、0≦a1<1.0、0≦a2<1.0、0<a1+a2<1.0、0≦b≦0.9、0≦c≦0.9、0≦d≦0.8、0≦e≦0.8、0≦f1≦0.5、0≦f2≦0.5、及び0≦f3≦0.5が好ましく、0≦a1≦0.9、0≦a2≦0.9、0.1≦a1+a2≦0.9、0≦b≦0.8、0≦c≦0.8、0≦d≦0.7、0≦e≦0.7、0≦f1≦0.4、0≦f2≦0.4、及び0≦f3≦0.4がより好ましく、0≦a1≦0.8、0≦a2≦0.8、0.1≦a1+a2≦0.8、0≦b≦0.75、0≦c≦0.75、0≦d≦0.6、0≦e≦0.6、0≦f1≦0.3、0≦f2≦0.3、及び0≦f3≦0.3が更に好ましい。なお、a1+a2+b+c+d+e+f1+f2+f3=1.0である。   As the base polymer for the positive resist material, the repeating unit a1 or a2 containing an acid labile group is essential. In this case, the content ratios of the repeating units a1, a2, b, c, d, e, f1, f2, and f3 are as follows: 0 ≦ a1 <1.0, 0 ≦ a2 <1.0, 0 <a1 + a2 <1.0. 0 ≦ b ≦ 0.9, 0 ≦ c ≦ 0.9, 0 ≦ d ≦ 0.8, 0 ≦ e ≦ 0.8, 0 ≦ f1 ≦ 0.5, 0 ≦ f2 ≦ 0.5, and 0 ≦ f3 ≦ 0.5 is preferable, 0 ≦ a1 ≦ 0.9, 0 ≦ a2 ≦ 0.9, 0.1 ≦ a1 + a2 ≦ 0.9, 0 ≦ b ≦ 0.8, 0 ≦ c ≦ 0.5. 8, 0 ≦ d ≦ 0.7, 0 ≦ e ≦ 0.7, 0 ≦ f1 ≦ 0.4, 0 ≦ f2 ≦ 0.4, and 0 ≦ f3 ≦ 0.4, more preferably 0 ≦ a1 ≦ 0.8, 0 ≦ a2 ≦ 0.8, 0.1 ≦ a1 + a2 ≦ 0.8, 0 ≦ b ≦ 0.75, 0 ≦ c ≦ 0.75, 0 ≦ d ≦ 0.6, 0 ≦ e ≦ More preferred are 0.6, 0 ≦ f1 ≦ 0.3, 0 ≦ f2 ≦ 0.3, and 0 ≦ f3 ≦ 0.3. Note that a1 + a2 + b + c + d + e + f1 + f2 + f3 = 1.0.

一方、ネガ型レジスト材料用のベースポリマーは、酸不安定基は必ずしも必要ではない。このようなベースポリマーとしては、繰り返し単位bを含み、必要に応じて更に繰り返し単位c、d、e、f1、f2及び/又はf3を含むものが挙げられる。これらの繰り返し単位の含有比率は、0<b≦1.0、0≦c≦0.9、0≦d≦0.8、0≦e≦0.8、0≦f1≦0.5、0≦f2≦0.5、及び0≦f3≦0.5であり、好ましくは0.2≦b≦1.0、0≦c≦0.8、0≦d≦0.7、0≦e≦0.7、0≦f1≦0.4、0≦f2≦0.4、及び0≦f3≦0.4、更に好ましくは0.3≦b≦1.0、0≦c≦0.75、0≦d≦0.6、0≦e≦0.6、0≦f1≦0.3、0≦f2≦0.3、及び0≦f3≦0.3である。なお、b+c+d+e+f1+f2+f3=1.0である。   On the other hand, the base polymer for the negative resist material does not necessarily require an acid labile group. Examples of such a base polymer include those containing the repeating unit b and further containing repeating units c, d, e, f1, f2 and / or f3 as necessary. The content ratios of these repeating units are 0 <b ≦ 1.0, 0 ≦ c ≦ 0.9, 0 ≦ d ≦ 0.8, 0 ≦ e ≦ 0.8, 0 ≦ f1 ≦ 0.5, 0 ≤ f2 ≤ 0.5 and 0 ≤ f3 ≤ 0.5, preferably 0.2 ≤ b ≤ 1.0, 0 ≤ c ≤ 0.8, 0 ≤ d ≤ 0.7, 0 ≤ e ≤ 0.7, 0 ≦ f1 ≦ 0.4, 0 ≦ f2 ≦ 0.4, and 0 ≦ f3 ≦ 0.4, more preferably 0.3 ≦ b ≦ 1.0, 0 ≦ c ≦ 0.75. 0 ≦ d ≦ 0.6, 0 ≦ e ≦ 0.6, 0 ≦ f1 ≦ 0.3, 0 ≦ f2 ≦ 0.3, and 0 ≦ f3 ≦ 0.3. Note that b + c + d + e + f1 + f2 + f3 = 1.0.

前記ベースポリマーを合成するには、例えば、前述した繰り返し単位を与えるモノマーを、有機溶剤中、ラジカル重合開始剤を加えて加熱重合を行えばよい。   In order to synthesize the base polymer, for example, the above-described monomer giving the repeating unit may be heated and polymerized in an organic solvent by adding a radical polymerization initiator.

重合時に使用する有機溶剤としては、トルエン、ベンゼン、テトラヒドロフラン、ジエチルエーテル、ジオキサン等が挙げられる。重合開始剤としては、2,2'−アゾビスイソブチロニトリル(AIBN)、2,2'−アゾビス(2,4−ジメチルバレロニトリル)、ジメチル2,2−アゾビス(2−メチルプロピオネート)、ベンゾイルパーオキシド、ラウロイルパーオキシド等が挙げられる。重合時の温度は、好ましくは50〜80℃である。反応時間は、好ましくは2〜100時間、より好ましくは5〜20時間である。   Examples of the organic solvent used at the time of polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, dioxane and the like. As polymerization initiators, 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis (2,4-dimethylvaleronitrile), dimethyl 2,2-azobis (2-methylpropionate) ), Benzoyl peroxide, lauroyl peroxide, and the like. The temperature during the polymerization is preferably 50 to 80 ° C. The reaction time is preferably 2 to 100 hours, more preferably 5 to 20 hours.

ヒドロキシスチレンやヒドロキシビニルナフタレンを共重合する場合は、ヒドロキシスチレンやヒドロキシビニルナフタレンのかわりにアセトキシスチレンやアセトキシビニルナフタレンを用い、重合後前記アルカリ加水分解によってアセトキシ基を脱保護してヒドロキシスチレンやヒドロキシビニルナフタレンにしてもよい。   When copolymerizing hydroxystyrene or hydroxyvinylnaphthalene, acetoxystyrene or acetoxyvinylnaphthalene is used in place of hydroxystyrene or hydroxyvinylnaphthalene, and after polymerization, the acetoxy group is deprotected by alkaline hydrolysis and hydroxystyrene or hydroxyvinyl is used. Naphthalene may be used.

アルカリ加水分解時の塩基としては、アンモニア水、トリエチルアミン等が使用できる。また、反応温度は、好ましくは−20〜100℃、より好ましくは0〜60℃である。反応時間は、好ましくは0.2〜100時間、より好ましくは0.5〜20時間である。   Ammonia water, triethylamine, etc. can be used as the base during the alkali hydrolysis. Moreover, reaction temperature becomes like this. Preferably it is -20-100 degreeC, More preferably, it is 0-60 degreeC. The reaction time is preferably 0.2 to 100 hours, more preferably 0.5 to 20 hours.

前記ベースポリマーは、溶剤としてテトラヒドロフラン(THF)を用いたゲルパーミエーションクロマトグラフィー(GPC)によるポリスチレン換算重量平均分子量(Mw)が、好ましくは1,000〜500,000、より好ましくは2,000〜30,000である。Mwが小さすぎるとレジスト材料が耐熱性に劣るものとなり、大きすぎるとアルカリ溶解性が低下し、パターン形成後に裾引き現象が生じやすくなる。   The base polymer has a polystyrene-equivalent weight average molecular weight (Mw) by gel permeation chromatography (GPC) using tetrahydrofuran (THF) as a solvent, preferably 1,000 to 500,000, more preferably 2,000 to 30,000. If Mw is too small, the resist material is inferior in heat resistance, and if it is too large, the alkali solubility is lowered, and the trailing phenomenon tends to occur after pattern formation.

更に、前記ベースポリマーにおいて分子量分布(Mw/Mn)が広い場合は、低分子量や高分子量のポリマーが存在するために、露光後、パターン上に異物が見られたり、パターンの形状が悪化したりするおそれがある。パターンルールが微細化するに従って、Mwや分子量分布の影響が大きくなりやすいことから、微細なパターン寸法に好適に用いられるレジスト材料を得るには、前記ベースポリマーの分子量分布は、1.0〜2.0、特に1.0〜1.5と狭分散であることが好ましい。   Furthermore, when the molecular weight distribution (Mw / Mn) is wide in the base polymer, there are low molecular weight or high molecular weight polymers, so that foreign matter is seen on the pattern after exposure or the shape of the pattern deteriorates. There is a risk. Since the influence of Mw and molecular weight distribution tends to increase as the pattern rule becomes finer, the molecular weight distribution of the base polymer is 1.0 to 2 in order to obtain a resist material suitably used for fine pattern dimensions. A narrow dispersion of 0.0, particularly 1.0 to 1.5 is preferable.

前記ベースポリマーは、組成比率、Mw、分子量分布が異なる2つ以上のポリマーを含んでもよい。   The base polymer may include two or more polymers having different composition ratios, Mw, and molecular weight distribution.

[酸発生剤]
式(A)で表されるビグアニド塩化合物、及び前記ベースポリマーを含むレジスト材料に酸発生剤を添加することで、化学増幅ポジ型レジスト材料あるいは化学増幅ネガ型レジスト材料として機能させることができる。前記酸発生剤としては、例えば、活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)が挙げられる。光酸発生剤としては、高エネルギー線照射により酸を発生する化合物であればいかなるものでも構わないが、スルホン酸、スルホンイミド又はスルホンメチドを発生するものが好ましい。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート型酸発生剤等がある。光酸発生剤の具体例としては、特開2008−111103号公報の段落[0122]〜[0142]に記載されているものが挙げられる。
[Acid generator]
By adding an acid generator to the resist material containing the biguanide salt compound represented by the formula (A) and the base polymer, it can function as a chemically amplified positive resist material or a chemically amplified negative resist material. Examples of the acid generator include compounds that generate an acid in response to actinic rays or radiation (photoacid generator). Any photoacid generator may be used as long as it is a compound that generates an acid upon irradiation with high energy rays, but a compound that generates sulfonic acid, sulfonimide, or sulfonemethide is preferable. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like. Specific examples of the photoacid generator include those described in paragraphs [0122] to [0142] of JP-A-2008-111103.

また、光酸発生剤としては、下記式(1)又は(2)で表されるものも好適に使用できる。

Figure 2017120369
Moreover, what is represented by following formula (1) or (2) can also be used suitably as a photo-acid generator.
Figure 2017120369

式(1)中、R101、R102及びR103は、それぞれ独立に、ヘテロ原子を含んでいてもよい炭素数1〜20の直鎖状、分岐状又は環状の1価炭化水素基を表す。また、R101、R102及びR103のうちのいずれか2つが、互いに結合してこれらが結合する硫黄原子と共に環を形成してもよい。 In formula (1), R 101 , R 102 and R 103 each independently represent a linear, branched or cyclic monovalent hydrocarbon group having 1 to 20 carbon atoms which may contain a hetero atom. . Further, any two of R 101 , R 102 and R 103 may be bonded to each other to form a ring together with the sulfur atom to which they are bonded.

式(1)中、X-は、下記式(1A)〜(1D)から選ばれるアニオンを表す。

Figure 2017120369
In the formula (1), X represents an anion selected from the following formulas (1A) to (1D).
Figure 2017120369

式(1A)中、Rfaは、フッ素原子、又はヘテロ原子を含んでいてもよい炭素数1〜40の直鎖状、分岐状若しくは環状の1価炭化水素基を表す。 In the formula (1A), R fa represents a fluorine atom or a linear, branched or cyclic monovalent hydrocarbon group having 1 to 40 carbon atoms which may contain a hetero atom.

式(1A)で表されるアニオンとしては、下記式(1A')で表されるものが好ましい。

Figure 2017120369
As the anion represented by the formula (1A), those represented by the following formula (1A ′) are preferable.
Figure 2017120369

式(1A')中、R104は、水素原子又はトリフルオロメチル基を表し、好ましくはトリフルオロメチル基である。R105は、ヘテロ原子を含んでいてもよい炭素数1〜38の直鎖状、分岐状又は環状の1価炭化水素基を表す。前記ヘテロ原子としては、酸素原子、窒素原子、硫黄原子、ハロゲン原子等が好ましく、酸素原子がより好ましい。前記1価炭化水素基としては、微細パターン形成において高解像性を得る点から、特に炭素数6〜30であるものが好ましい。前記1価炭化水素基としては、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基、イソブチル基、s−ブチル基、t−ブチル基、ペンチル基、ネオペンチル基、シクロペンチル基、ヘキシル基、シクロヘキシル基、3−シクロヘキセニル基、ヘプチル基、2−エチルヘキシル基、ノニル基、ウンデシル基、トリデシル基、ペンタデシル基、ヘプタデシル基、1−アダマンチル基、2−アダマンチル基、1−アダマンチルメチル基、ノルボルニル基、ノルボルニルメチル基、トリシクロデカニル基、テトラシクロドデカニル基、テトラシクロドデカニルメチル基、ジシクロヘキシルメチル基、イコサニル基、アリル基、ベンジル基、ジフェニルメチル基、テトラヒドロフリル基、メトキシメチル基、エトキシメチル基、メチルチオメチル基、アセトアミドメチル基、トリフルオロエチル基、(2−メトキシエトキシ)メチル基、アセトキシメチル基、2−カルボキシ−1−シクロヘキシル基、2−オキソプロピル基、4−オキソ−1−アダマンチル基、3−オキソシクロヘキシル基等が挙げられる。また、これらの基の水素原子の一部が、酸素原子、硫黄原子、窒素原子、ハロゲン原子等のヘテロ原子含有基で置換されていてもよく、あるいはこれらの基の一部の炭素原子間に酸素原子、硫黄原子、窒素原子等のヘテロ原子含有基が介在していてもよく、その結果、ヒドロキシ基、シアノ基、カルボニル基、エーテル基、エステル基、スルホン酸エステル基、カーボネート基、ラクトン環、スルトン環、カルボン酸無水物、ハロアルキル基等を含んでいてもよい。 In formula (1A ′), R 104 represents a hydrogen atom or a trifluoromethyl group, preferably a trifluoromethyl group. R 105 represents a linear, branched or cyclic monovalent hydrocarbon group having 1 to 38 carbon atoms which may contain a hetero atom. As said hetero atom, an oxygen atom, a nitrogen atom, a sulfur atom, a halogen atom, etc. are preferable, and an oxygen atom is more preferable. As the monovalent hydrocarbon group, those having 6 to 30 carbon atoms are particularly preferable from the viewpoint of obtaining high resolution in forming a fine pattern. Examples of the monovalent hydrocarbon group include methyl, ethyl, propyl, isopropyl, butyl, isobutyl, s-butyl, t-butyl, pentyl, neopentyl, cyclopentyl, hexyl, and cyclohexyl. Group, 3-cyclohexenyl group, heptyl group, 2-ethylhexyl group, nonyl group, undecyl group, tridecyl group, pentadecyl group, heptadecyl group, 1-adamantyl group, 2-adamantyl group, 1-adamantylmethyl group, norbornyl group, Norbornylmethyl group, tricyclodecanyl group, tetracyclododecanyl group, tetracyclododecanylmethyl group, dicyclohexylmethyl group, icosanyl group, allyl group, benzyl group, diphenylmethyl group, tetrahydrofuryl group, methoxymethyl group, Ethoxymethyl group, methylthio Til group, acetamidomethyl group, trifluoroethyl group, (2-methoxyethoxy) methyl group, acetoxymethyl group, 2-carboxy-1-cyclohexyl group, 2-oxopropyl group, 4-oxo-1-adamantyl group, 3 -Oxocyclohexyl group etc. are mentioned. Further, some of the hydrogen atoms of these groups may be substituted with a heteroatom-containing group such as an oxygen atom, a sulfur atom, a nitrogen atom, or a halogen atom, or between some of the carbon atoms of these groups. Hetero atom containing groups such as oxygen atom, sulfur atom and nitrogen atom may be interposed, and as a result, hydroxy group, cyano group, carbonyl group, ether group, ester group, sulfonate group, carbonate group, lactone ring , Sultone ring, carboxylic acid anhydride, haloalkyl group and the like.

式(1A')で表されるアニオンを含むスルホニウム塩の合成に関しては、特開2007−145797号公報、特開2008−106045号公報、特開2009−7327号公報、特開2009−258695号公報等に詳しい。また、特開2010−215608号公報、特開2012−41320号公報、特開2012−106986号公報、特開2012−153644号公報等に記載のスルホニウム塩も好適に用いられる。   Regarding the synthesis of a sulfonium salt containing an anion represented by the formula (1A ′), JP2007-145797A, JP2008-106045A, JP2009-7327A, JP2009-258695A. It is detailed in etc. In addition, sulfonium salts described in JP2010-215608A, JP2012-41320A, JP2012-106986A, JP2012-153644A, and the like are also preferably used.

式(1A)で表されるアニオンを含むスルホニウム塩としては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、Acはアセチル基、Phはフェニル基を表す。

Figure 2017120369
Examples of the sulfonium salt containing an anion represented by the formula (1A) include, but are not limited to, those shown below. In the following formulae, Ac represents an acetyl group, and Ph represents a phenyl group.
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

式(1B)中、Rfb1及びRfb2は、それぞれ独立に、フッ素原子、又はヘテロ原子を含んでいてもよい炭素数1〜40の直鎖状、分岐状若しくは環状の1価炭化水素基を表す。前記1価炭化水素基としては、前記R105の説明において挙げたものと同様のものが挙げられる。Rfb1及びRfb2として好ましくは、フッ素原子、又は炭素数1〜4の直鎖状フッ素化アルキル基である。また、Rfb1とRfb2とは、互いに結合してこれらが結合する基(−CF2−SO2−N-−SO2−CF2−)と共に環を形成してもよく、特にフッ素化エチレン基又はフッ素化プロピレン基で環構造を形成するものが好ましい。 In formula (1B), R fb1 and R fb2 each independently represent a fluorine atom or a linear, branched or cyclic monovalent hydrocarbon group having 1 to 40 carbon atoms which may contain a hetero atom. Represent. Examples of the monovalent hydrocarbon group include the same ones as mentioned in the description of the R 105. R fb1 and R fb2 are preferably a fluorine atom or a linear fluorinated alkyl group having 1 to 4 carbon atoms. R fb1 and R fb2 may be bonded to each other to form a ring together with a group (—CF 2 —SO 2 —N —SO 2 —CF 2 —) to which they are bonded, and in particular, fluorinated ethylene Those forming a ring structure with a group or a fluorinated propylene group are preferred.

式(1C)中、Rfc1、Rfc2及びRfc3は、それぞれ独立に、フッ素原子、又はヘテロ原子を含んでいてもよい炭素数1〜40の直鎖状、分岐状若しくは環状の1価炭化水素基を表す。前記1価炭化水素基としては、前記R105の説明において挙げたものと同様のものが挙げられる。Rfc1、Rfc2及びRfc3として好ましくは、フッ素原子、又は炭素数1〜4の直鎖状フッ素化アルキル基である。また、Rfc1とRfc2とは、互いに結合してこれらが結合する基(−CF2−SO2−C-−SO2−CF2−)と共に環を形成してもよく、特にフッ素化エチレン基やフッ素化プロピレン基で環構造を形成するものが好ましい。 In formula (1C), R fc1 , R fc2 and R fc3 are each independently a linear, branched or cyclic monovalent carbon atom having 1 to 40 carbon atoms which may contain a fluorine atom or a hetero atom. Represents a hydrogen group. Examples of the monovalent hydrocarbon group include the same ones as mentioned in the description of the R 105. R fc1 , R fc2 and R fc3 are preferably a fluorine atom or a linear fluorinated alkyl group having 1 to 4 carbon atoms. R fc1 and R fc2 may be bonded to each other to form a ring together with a group (—CF 2 —SO 2 —C —SO 2 —CF 2 —) to which they are bonded, and in particular, fluorinated ethylene A group or a fluorinated propylene group that forms a ring structure is preferred.

式(1D)中、Rfdは、ヘテロ原子を含んでいてもよい炭素数1〜40の直鎖状、分岐状又は環状の1価炭化水素基を表す。前記1価炭化水素基としては、前記R105の説明において挙げたものと同様のものが挙げられる。 In formula (1D), R fd represents a linear, branched or cyclic monovalent hydrocarbon group having 1 to 40 carbon atoms which may contain a hetero atom. Examples of the monovalent hydrocarbon group include the same ones as mentioned in the description of the R 105.

式(1D)で表されるアニオンを含むスルホニウム塩の合成に関しては、特開2010−215608号公報及び特開2014−133723号公報に詳しい。   The synthesis of a sulfonium salt containing an anion represented by the formula (1D) is detailed in JP 2010-215608 A and JP 2014-133723 A.

式(1D)で表されるアニオンを含むスルホニウム塩としては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、Phはフェニル基を表す。

Figure 2017120369
Examples of the sulfonium salt containing an anion represented by the formula (1D) include, but are not limited to, those shown below. In the following formulae, Ph represents a phenyl group.
Figure 2017120369

Figure 2017120369
Figure 2017120369

なお、式(1D)で表されるアニオンを含む光酸発生剤は、スルホ基のα位にフッ素は有していないが、β位に2つのトリフルオロメチル基を有していることに起因して、レジストポリマー中の酸不安定基を切断するには十分な酸性度を有している。そのため、光酸発生剤として使用することができる。   Note that the photoacid generator containing an anion represented by the formula (1D) does not have fluorine at the α-position of the sulfo group, but has two trifluoromethyl groups at the β-position. Thus, it has sufficient acidity to cleave the acid labile group in the resist polymer. Therefore, it can be used as a photoacid generator.

式(2)中、R201及びR202は、それぞれ独立に、ヘテロ原子を含んでいてもよい炭素数1〜30の直鎖状、分岐状又は環状の1価炭化水素基を表す。R203は、ヘテロ原子を含んでいてもよい炭素数1〜30の直鎖状、分岐状又は環状の2価炭化水素基を表す。また、R201、R202及びR203のうちのいずれか2つが、互いに結合してこれらが結合する硫黄原子と共に環を形成してもよい。LAは、単結合、エーテル基、又はヘテロ原子を含んでいてもよい炭素数1〜20の直鎖状、分岐状若しくは環状の2価炭化水素基を表す。XA、XB、XC及びXDは、それぞれ独立に、水素原子、フッ素原子又はトリフルオロメチル基を表す。ただし、XA、XB、XC及びXDのうち少なくとも1つは、水素原子以外の置換基を表す。kは、0〜3の整数を表す。 In formula (2), R 201 and R 202 each independently represents a linear, branched or cyclic monovalent hydrocarbon group having 1 to 30 carbon atoms which may contain a hetero atom. R 203 represents a linear, branched or cyclic divalent hydrocarbon group having 1 to 30 carbon atoms which may contain a hetero atom. Further, any two of R 201 , R 202 and R 203 may be bonded to each other to form a ring together with the sulfur atom to which they are bonded. L A represents a single bond, an ether group, or a linear, branched or cyclic divalent hydrocarbon group having 1 to 20 carbon atoms which may contain a hetero atom. X A , X B , X C and X D each independently represent a hydrogen atom, a fluorine atom or a trifluoromethyl group. However, at least one of X A , X B , X C and X D represents a substituent other than a hydrogen atom. k represents an integer of 0 to 3.

前記1価炭化水素基としては、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、s−ブチル基、t−ブチル基、t−ペンチル基、n−ペンチル基、n−ヘキシル基、n−オクチル基、n−ノニル基、n−デシル基、シクロペンチル基、シクロヘキシル基、2−エチルヘキシル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基、ノルボルニル基、オキサノルボルニル基、トリシクロ[5.2.1.02,6]デカニル基、アダマンチル基、フェニル基、ナフチル基、アントラセニル基等が挙げられる。また、これらの基の水素原子の一部が、酸素原子、硫黄原子、窒素原子、ハロゲン原子等のヘテロ原子含有基で置換されていてもよく、あるいは炭素原子の一部が、酸素原子、硫黄原子、窒素原子等のヘテロ原子含有基で置換されていてもよく、その結果、ヒドロキシ基、シアノ基、カルボニル基、エーテル結合、エステル結合、スルホン酸エステル結合、カーボネート結合、ラクトン環、スルトン環、カルボン酸無水物、ハロアルキル基等を含んでいてもよい。 Examples of the monovalent hydrocarbon group include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, s-butyl group, t-butyl group, t-pentyl group, n-pentyl group, and n-hexyl group. N-octyl group, n-nonyl group, n-decyl group, cyclopentyl group, cyclohexyl group, 2-ethylhexyl group, cyclopentylmethyl group, cyclopentylethyl group, cyclopentylbutyl group, cyclohexylmethyl group, cyclohexylethyl group, cyclohexylbutyl group , Norbornyl group, oxanorbornyl group, tricyclo [5.2.1.0 2,6 ] decanyl group, adamantyl group, phenyl group, naphthyl group, anthracenyl group and the like. In addition, a part of hydrogen atoms of these groups may be substituted with a heteroatom-containing group such as an oxygen atom, a sulfur atom, a nitrogen atom, or a halogen atom, or a part of carbon atoms is an oxygen atom, sulfur May be substituted with a heteroatom-containing group such as an atom or a nitrogen atom, and as a result, a hydroxy group, a cyano group, a carbonyl group, an ether bond, an ester bond, a sulfonate bond, a carbonate bond, a lactone ring, a sultone ring, It may contain a carboxylic acid anhydride, a haloalkyl group, or the like.

前記2価炭化水素基としては、メチレン基、エチレン基、プロパン−1,3−ジイル基、ブタン−1,4−ジイル基、ペンタン−1,5−ジイル基、ヘキサン−1,6−ジイル基、ヘプタン−1,7−ジイル基、オクタン−1,8−ジイル基、ノナン−1,9−ジイル基、デカン−1,10−ジイル基、ウンデカン−1,11−ジイル基、ドデカン−1,12−ジイル基、トリデカン−1,13−ジイル基、テトラデカン−1,14−ジイル基、ペンタデカン−1,15−ジイル基、ヘキサデカン−1,16−ジイル基、ヘプタデカン−1,17−ジイル基等の直鎖状アルカンジイル基;シクロペンタンジイル基、シクロヘキサンジイル基、ノルボルナンジイル基、アダマンタンジイル基等の飽和環状2価炭化水素基;フェニレン基、ナフチレン基等の不飽和環状2価炭化水素基等が挙げられる。また、これらの基の水素原子の一部が、メチル基、エチル基、プロピル基、n−ブチル基、t−ブチル基等のアルキル基で置換されていてもよい。また、これらの基の水素原子の一部が、酸素原子、硫黄原子、窒素原子、ハロゲン原子等のヘテロ原子含有基で置換されていてもよく、あるいはこれらの基の一部の炭素原子間に酸素原子、硫黄原子、窒素原子等のヘテロ原子含有基が介在していてもよく、その結果、ヒドロキシ基、シアノ基、カルボニル基、エーテル基、エステル基、スルホン酸エステル基、カーボネート基、ラクトン環、スルトン環、カルボン酸無水物、ハロアルキル基等を含んでいてもよい。前記ヘテロ原子としては、酸素原子が好ましい   Examples of the divalent hydrocarbon group include a methylene group, an ethylene group, a propane-1,3-diyl group, a butane-1,4-diyl group, a pentane-1,5-diyl group, and a hexane-1,6-diyl group. , Heptane-1,7-diyl group, octane-1,8-diyl group, nonane-1,9-diyl group, decane-1,10-diyl group, undecane-1,11-diyl group, dodecane-1, 12-diyl group, tridecane-1,13-diyl group, tetradecane-1,14-diyl group, pentadecane-1,15-diyl group, hexadecane-1,16-diyl group, heptadecane-1,17-diyl group, etc. Linear alkanediyl group of the above; saturated cyclic divalent hydrocarbon group such as cyclopentanediyl group, cyclohexanediyl group, norbornanediyl group and adamantanediyl group; unsaturated cyclic group such as phenylene group and naphthylene group Valent hydrocarbon group, and the like. Moreover, some hydrogen atoms of these groups may be substituted with an alkyl group such as a methyl group, an ethyl group, a propyl group, an n-butyl group, or a t-butyl group. Further, some of the hydrogen atoms of these groups may be substituted with a heteroatom-containing group such as an oxygen atom, a sulfur atom, a nitrogen atom, or a halogen atom, or between some of the carbon atoms of these groups. Hetero atom containing groups such as oxygen atom, sulfur atom and nitrogen atom may be interposed, and as a result, hydroxy group, cyano group, carbonyl group, ether group, ester group, sulfonate group, carbonate group, lactone ring , Sultone ring, carboxylic acid anhydride, haloalkyl group and the like. As the heteroatom, an oxygen atom is preferable.

式(2)で表される光酸発生剤としては、下記式(2')で表されるものが好ましい。

Figure 2017120369
As a photo-acid generator represented by Formula (2), what is represented by following formula (2 ') is preferable.
Figure 2017120369

式(2')中、LAは、前記と同じ。Rは、水素原子又はトリフルオロメチル基を表し、好ましくはトリフルオロメチル基である。R301、R302及びR303は、それぞれ独立に、水素原子、又はヘテロ原子を含んでいてもよい炭素数1〜20の直鎖状、分岐状若しくは環状の1価炭化水素基を表す。前記1価炭化水素基としては、前記R105の説明において挙げたものと同様のものが挙げられる。x及びyは、それぞれ独立に、0〜5の整数を表し、zは、0〜4の整数を表す。 In the formula (2 ′), L A is the same as described above. R represents a hydrogen atom or a trifluoromethyl group, preferably a trifluoromethyl group. R 301 , R 302 and R 303 each independently represent a hydrogen atom or a linear, branched or cyclic monovalent hydrocarbon group having 1 to 20 carbon atoms which may contain a hetero atom. Examples of the monovalent hydrocarbon group include the same ones as mentioned in the description of the R 105. x and y each independently represents an integer of 0 to 5, and z represents an integer of 0 to 4.

式(2)で表される光酸発生剤としては、以下に示すものが挙げられるが、これらに限定されない。なお、下記式中、Rは前記と同じであり、Meはメチル基を表す。

Figure 2017120369
Examples of the photoacid generator represented by formula (2) include, but are not limited to, those shown below. In the following formulae, R is the same as described above, and Me represents a methyl group.
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

前記光酸発生剤のうち、式(1A')又は(1D)で表されるアニオンを含むものは、酸拡散が小さく、かつレジスト溶剤への溶解性にも優れており、特に好ましい。また、式(2')で表されるアニオンを含むものは、酸拡散が極めて小さく、特に好ましい。   Among the photoacid generators, those containing an anion represented by the formula (1A ′) or (1D) are particularly preferable because of low acid diffusion and excellent solubility in a resist solvent. Moreover, the thing containing the anion represented by Formula (2 ') has a very small acid diffusion, and is especially preferable.

酸発生剤の配合量は、ベースポリマー100質量部に対し、0.1〜50質量部が好ましく、1〜40質量部がより好ましい。   0.1-50 mass parts is preferable with respect to 100 mass parts of base polymers, and, as for the compounding quantity of an acid generator, 1-40 mass parts is more preferable.

[その他の成分]
式(A)で表されるビグアニド塩化合物、ベースポリマー及び酸発生剤を含む化学増幅ポジ型レジスト材料あるいは化学増幅ネガ型レジスト材料に、有機溶剤、界面活性剤、溶解阻止剤、架橋剤等を目的に応じて適宜組み合わせて配合してポジ型レジスト材料及びネガ型レジスト材料を構成することによって、露光部では前記ベースポリマーが触媒反応により現像液に対する溶解速度が加速されるので、極めて高感度のポジ型レジスト材料及びネガ型レジスト材料とすることができる。この場合、レジスト膜の溶解コントラスト及び解像性が高く、露光余裕度があり、プロセス適応性に優れ、露光後のパターン形状が良好でありながら、特に酸拡散を抑制できることから粗密寸法差が小さく、これらのことから実用性が高く、超LSI用レジスト材料として非常に有効なものとすることができる。特に、酸発生剤を含有させ、酸触媒反応を利用した化学増幅ポジ型レジスト材料とすると、より高感度のものとすることができると共に、諸特性が一層優れたものとなり極めて有用なものとなる。
[Other ingredients]
An organic solvent, a surfactant, a dissolution inhibitor, a crosslinking agent, etc. are added to a chemically amplified positive resist material or a chemically amplified negative resist material containing a biguanide salt compound represented by formula (A), a base polymer and an acid generator. The composition of the positive resist material and the negative resist material is appropriately combined according to the purpose to constitute a positive resist material and a negative resist material, so that the dissolution rate of the base polymer in the developing solution is accelerated by a catalytic reaction in the exposed portion. A positive resist material and a negative resist material can be used. In this case, the resist film has a high dissolution contrast and resolution, an exposure margin, excellent process adaptability, and good pattern shape after exposure. From these facts, it is highly practical and can be very effective as a resist material for VLSI. In particular, when a chemically amplified positive resist material containing an acid generator and utilizing an acid catalyzed reaction is used, the sensitivity can be increased, and various characteristics are further improved and extremely useful. .

ポジ型レジスト材料の場合は、溶解阻止剤を配合することによって、露光部と未露光部との溶解速度の差を一層大きくすることができ、解像度を一層向上させることができる。ネガ型レジスト材料の場合は、架橋剤を添加することによって、露光部の溶解速度を低下させることによりネガティブパターンを得ることができる。   In the case of a positive resist material, by adding a dissolution inhibitor, the difference in dissolution rate between the exposed area and the unexposed area can be further increased, and the resolution can be further improved. In the case of a negative resist material, a negative pattern can be obtained by adding a crosslinking agent to lower the dissolution rate of the exposed portion.

前記有機溶剤としては、特開2008−111103号公報の段落[0144]〜[0145]に記載の、シクロヘキサノン、シクロペンタノン、メチル−2−n−ペンチルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸t−ブチル、プロピオン酸t−ブチル、プロピレングリコールモノt−ブチルエーテルアセテート等のエステル類、γ−ブチロラクトン等のラクトン類、及びこれらの混合溶剤が挙げられる。   Examples of the organic solvent include ketones such as cyclohexanone, cyclopentanone, and methyl-2-n-pentyl ketone described in paragraphs [0144] to [0145] of JP-A-2008-111103, 3-methoxybutanol, Alcohols such as 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether , Ethers such as propylene glycol dimethyl ether and diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, lactic acid Esters such as chill, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, t-butyl acetate, t-butyl propionate, propylene glycol mono t-butyl ether acetate, γ-butyrolactone, etc. Lactones, and mixed solvents thereof.

前記有機溶剤の配合量は、ベースポリマー100質量部に対し、100〜10,000質量部が好ましく、200〜8,000質量部がより好ましい。   The blending amount of the organic solvent is preferably 100 to 10,000 parts by mass and more preferably 200 to 8,000 parts by mass with respect to 100 parts by mass of the base polymer.

前記界面活性剤としては、特開2008−111103号公報の段落[0165]〜[0166]に記載されたものが挙げられる。界面活性剤を添加することによって、レジスト材料の塗布性を一層向上あるいは制御することができる。界面活性剤の配合量は、ベースポリマー100質量部に対し、0.0001〜10質量部が好ましい。   Examples of the surfactant include those described in paragraphs [0165] to [0166] of JP-A-2008-111103. By adding a surfactant, the coating property of the resist material can be further improved or controlled. The blending amount of the surfactant is preferably 0.0001 to 10 parts by mass with respect to 100 parts by mass of the base polymer.

前記溶解阻止剤としては、分子量が好ましくは100〜1,000、より好ましくは150〜800で、かつ分子内にフェノール性ヒドロキシ基を2つ以上含む化合物の該フェノール性ヒドロキシ基の水素原子を酸不安定基によって全体として0〜100モル%の割合で置換した化合物、又は分子内にカルボキシル基を含む化合物の該カルボキシル基の水素原子を酸不安定基によって全体として平均50〜100モル%の割合で置換した化合物が挙げられる。具体的には、ビスフェノールA、トリスフェノール、フェノールフタレイン、クレゾールノボラック、ナフタレンカルボン酸、アダマンタンカルボン酸、コール酸のヒドロキシ基、カルボキシル基の水素原子を酸不安定基で置換した化合物等が挙げられ、例えば、特開2008−122932号公報の段落[0155]〜[0178]に記載されている。   The dissolution inhibitor is preferably a compound having a molecular weight of 100 to 1,000, more preferably 150 to 800, and a hydrogen atom of the phenolic hydroxy group of a compound having two or more phenolic hydroxy groups in the molecule. A compound substituted with a labile group as a whole in a proportion of 0 to 100 mol%, or a proportion of an average of 50 to 100 mol% of hydrogen atoms of the carboxyl group of a compound containing a carboxyl group in the molecule as a whole with an acid labile group And a compound substituted with. Specific examples include bisphenol A, trisphenol, phenolphthalein, cresol novolac, naphthalene carboxylic acid, adamantane carboxylic acid, hydroxy group of cholic acid, and a compound in which the hydrogen atom of carboxyl group is substituted with an acid labile group. For example, it describes in paragraph [0155]-[0178] of Unexamined-Japanese-Patent No. 2008-122932.

溶解阻止剤の配合量は、ポジ型レジスト材料の場合、ベースポリマー100質量部に対し、0〜50質量部が好ましく、5〜40質量部がより好ましい。   In the case of a positive resist material, the blending amount of the dissolution inhibitor is preferably 0 to 50 parts by mass and more preferably 5 to 40 parts by mass with respect to 100 parts by mass of the base polymer.

架橋剤としては、メチロール基、アルコキシメチル基及びアシロキシメチル基から選ばれる少なくとも1つの基で置換された、エポキシ化合物、メラミン化合物、グアナミン化合物、グリコールウリル化合物又はウレア化合物、イソシアネート化合物、アジド化合物、アルケニルエーテル基等の二重結合を含む化合物等が挙げられる。これらは、添加剤として用いてもよいが、ポリマー側鎖にペンダント基として導入してもよい。また、ヒドロキシ基を含む化合物も架橋剤として用いることができる。   As a crosslinking agent, an epoxy compound, a melamine compound, a guanamine compound, a glycoluril compound or a urea compound, an isocyanate compound, an azide compound substituted with at least one group selected from a methylol group, an alkoxymethyl group, and an acyloxymethyl group, Examples thereof include compounds containing a double bond such as an alkenyl ether group. These may be used as additives, but may be introduced as pendant groups in the polymer side chain. A compound containing a hydroxy group can also be used as a crosslinking agent.

前記エポキシ化合物としては、トリス(2,3−エポキシプロピル)イソシアヌレート、トリメチロールメタントリグリシジルエーテル、トリメチロールプロパントリグリシジルエーテル、トリエチロールエタントリグリシジルエーテル等が挙げられる。   Examples of the epoxy compound include tris (2,3-epoxypropyl) isocyanurate, trimethylolmethane triglycidyl ether, trimethylolpropane triglycidyl ether, triethylolethane triglycidyl ether, and the like.

前記メラミン化合物としては、ヘキサメチロールメラミン、ヘキサメトキシメチルメラミン、ヘキサメチロールメラミンの1〜6個のメチロール基がメトキシメチル化した化合物又はその混合物、ヘキサメトキシエチルメラミン、ヘキサアシロキシメチルメラミン、ヘキサメチロールメラミンのメチロール基の1〜6個がアシロキシメチル化した化合物又はその混合物等が挙げられる。   Examples of the melamine compound include hexamethylol melamine, hexamethoxymethyl melamine, a compound in which 1 to 6 methylol groups of hexamethylol melamine are methoxymethylated or a mixture thereof, hexamethoxyethyl melamine, hexaacyloxymethyl melamine, hexamethylol melamine And a compound in which 1 to 6 methylol groups are acyloxymethylated or a mixture thereof.

グアナミン化合物としては、テトラメチロールグアナミン、テトラメトキシメチルグアナミン、テトラメチロールグアナミンの1〜4個のメチロール基がメトキシメチル化した化合物又はその混合物、テトラメトキシエチルグアナミン、テトラアシロキシグアナミン、テトラメチロールグアナミンの1〜4個のメチロール基がアシロキシメチル化した化合物又はその混合物等が挙げられる。   Examples of the guanamine compound include tetramethylolguanamine, tetramethoxymethylguanamine, a compound in which 1 to 4 methylol groups of tetramethylolguanamine are methoxymethylated, or a mixture thereof, tetramethoxyethylguanamine, tetraacyloxyguanamine, and tetramethylolguanamine. A compound in which ˜4 methylol groups are acyloxymethylated or a mixture thereof is exemplified.

グリコールウリル化合物としては、テトラメチロールグリコールウリル、テトラメトキシグリコールウリル、テトラメトキシメチルグリコールウリル、テトラメチロールグリコールウリルのメチロール基の1〜4個がメトキシメチル化した化合物又はその混合物、テトラメチロールグリコールウリルのメチロール基の1〜4個がアシロキシメチル化した化合物又はその混合物等が挙げられる。ウレア化合物としてはテトラメチロールウレア、テトラメトキシメチルウレア、テトラメチロールウレアの1〜4個のメチロール基がメトキシメチル化した化合物又はその混合物、テトラメトキシエチルウレア等が挙げられる。   Examples of the glycoluril compound include tetramethylol glycoluril, tetramethoxyglycoluril, tetramethoxymethylglycoluril, a compound in which 1 to 4 methylol groups of tetramethylolglycoluril are methoxymethylated, or a mixture thereof, and tetramethylolglycoluril methylol. Examples thereof include compounds in which 1 to 4 of the groups are acyloxymethylated or mixtures thereof. Examples of the urea compound include tetramethylol urea, tetramethoxymethyl urea, a compound in which 1 to 4 methylol groups of tetramethylol urea are methoxymethylated, a mixture thereof, tetramethoxyethyl urea, and the like.

イソシアネート化合物としては、トリレンジイソシアネート、ジフェニルメタンジイソシアネート、ヘキサメチレンジイソシアネート、シクロヘキサンジイソシアネート等が挙げられる。   Examples of the isocyanate compound include tolylene diisocyanate, diphenylmethane diisocyanate, hexamethylene diisocyanate, and cyclohexane diisocyanate.

アジド化合物としては、1,1'−ビフェニル−4,4'−ビスアジド、4,4'−メチリデンビスアジド、4,4'−オキシビスアジドが挙げられる。   Examples of the azide compound include 1,1′-biphenyl-4,4′-bisazide, 4,4′-methylidenebisazide, and 4,4′-oxybisazide.

アルケニルエーテル基を含む化合物としては、エチレングリコールジビニルエーテル、トリエチレングリコールジビニルエーテル、1,2−プロパンジオールジビニルエーテル、1,4−ブタンジオールジビニルエーテル、テトラメチレングリコールジビニルエーテル、ネオペンチルグリコールジビニルエーテル、トリメチロールプロパントリビニルエーテル、ヘキサンジオールジビニルエーテル、1,4−シクロヘキサンジオールジビニルエーテル、ペンタエリスリトールトリビニルエーテル、ペンタエリスリトールテトラビニルエーテル、ソルビトールテトラビニルエーテル、ソルビトールペンタビニルエーテル、トリメチロールプロパントリビニルエーテル等が挙げられる。   Examples of the compound containing an alkenyl ether group include ethylene glycol divinyl ether, triethylene glycol divinyl ether, 1,2-propanediol divinyl ether, 1,4-butanediol divinyl ether, tetramethylene glycol divinyl ether, neopentyl glycol divinyl ether, Examples include trimethylolpropane trivinyl ether, hexanediol divinyl ether, 1,4-cyclohexanediol divinyl ether, pentaerythritol trivinyl ether, pentaerythritol tetravinyl ether, sorbitol tetravinyl ether, sorbitol pentavinyl ether, trimethylolpropane trivinyl ether, and the like.

架橋剤の配合量は、ネガ型レジスト材料の場合、ベースポリマー100質量部に対し、0.1〜50質量部が好ましく、1〜40質量部がより好ましい。   In the case of a negative resist material, the blending amount of the crosslinking agent is preferably 0.1 to 50 parts by mass, and more preferably 1 to 40 parts by mass with respect to 100 parts by mass of the base polymer.

本発明のレジスト材料には、式(A)で表されるビグアニド塩化合物以外のクエンチャー(以下、その他のクエンチャーという。)を配合してもよい。その他のクエンチャーとしては、従来型の塩基性化合物が挙げられる。従来型の塩基性化合物としては、第1級、第2級、第3級の脂肪族アミン類、混成アミン類、芳香族アミン類、複素環アミン類、カルボキシル基を有する含窒素化合物、スルホニル基を有する含窒素化合物、ヒドロキシ基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物、アミド類、イミド類、カーバメート類等が挙げられる。特に、特開2008−111103号公報の段落[0146]〜[0164]に記載の第1級、第2級、第3級のアミン化合物、特にはヒドロキシ基、エーテル基、エステル基、ラクトン環、シアノ基、スルホン酸エステル基を有するアミン化合物あるいは特許第3790649号公報に記載のカーバメート基を有する化合物等が好ましい。このような塩基性化合物を添加することによって、例えば、レジスト膜中での酸の拡散速度を更に抑制したり、形状を補正したりすることができる。   The resist material of the present invention may contain a quencher other than the biguanide salt compound represented by the formula (A) (hereinafter referred to as other quencher). Other quenchers include conventional basic compounds. Conventional basic compounds include primary, secondary, and tertiary aliphatic amines, hybrid amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxyl group, and sulfonyl groups. Nitrogen-containing compounds having a hydroxy group, nitrogen-containing compounds having a hydroxy group, nitrogen-containing compounds having a hydroxyphenyl group, alcoholic nitrogen-containing compounds, amides, imides, carbamates and the like. In particular, primary, secondary, and tertiary amine compounds described in paragraphs [0146] to [0164] of JP-A-2008-111103, particularly hydroxy groups, ether groups, ester groups, lactone rings, An amine compound having a cyano group or a sulfonate group or a compound having a carbamate group described in Japanese Patent No. 3790649 is preferred. By adding such a basic compound, for example, the acid diffusion rate in the resist film can be further suppressed, or the shape can be corrected.

また、その他のクエンチャーとして、特開2008−158339号公報に記載されているα位がフッ素化されていないスルホン酸及びカルボン酸の、スルホニウム塩、ヨードニウム塩、アンモニウム塩等のオニウム塩が挙げられる。α位がフッ素化されたスルホン酸、スルホンイミド又はスルホンメチドは、カルボン酸エステルの酸不安定基を脱保護させるために必要であるが、α位がフッ素化されていないオニウム塩との塩交換によってα位がフッ素化されていないスルホン酸又はカルボン酸が放出される。α位がフッ素化されていないスルホン酸及びカルボン酸は脱保護反応を起こさないために、クエンチャーとして機能する。   Other quenchers include onium salts such as sulfonium salts, iodonium salts and ammonium salts of sulfonic acids and carboxylic acids which are not fluorinated at the α-position described in JP-A-2008-158339. . A sulfonic acid, sulfonimide or sulfonemethide fluorinated at the α-position is necessary to deprotect the acid labile group of the carboxylic acid ester, but by salt exchange with an onium salt which is not fluorinated at the α-position. A sulfonic acid or carboxylic acid which is not fluorinated at the α-position is released. The sulfonic acid and carboxylic acid in which the α-position is not fluorinated does not cause a deprotection reaction, and thus functions as a quencher.

その他のクエンチャーとしては、更に、特開2008−239918号公報に記載のポリマー型のクエンチャーが挙げられる。これは、コート後のレジスト表面に配向することによってパターン後のレジストの矩形性を高める。ポリマー型クエンチャーは、液浸露光用の保護膜を適用したときのパターンの膜減りやパターントップのラウンディングを防止する効果もある。   Examples of other quenchers include polymer quenchers described in JP-A-2008-239918. This enhances the rectangularity of the patterned resist by being oriented on the coated resist surface. The polymer quencher also has an effect of preventing pattern film loss and pattern top rounding when a protective film for immersion exposure is applied.

その他のクエンチャーの配合量は、ベースポリマー100質量部に対し、0〜5質量部が好ましく、0〜4質量部がより好ましい。   The amount of other quenchers to be blended is preferably 0 to 5 parts by mass, more preferably 0 to 4 parts by mass with respect to 100 parts by mass of the base polymer.

本発明のレジスト材料には、スピンコート後のレジスト表面の撥水性を向上させるための高分子化合物(撥水性向上剤)を配合してもよい。撥水性向上剤は、トップコートを用いない液浸リソグラフィーに用いることができる。撥水性向上剤としては、フッ化アルキル基を含む高分子化合物、特定構造の1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を含む高分子化合物等が好ましく、特開2007−297590号公報、特開2008−111103号公報等に例示されている。前記撥水性向上剤は、有機溶剤現像液に溶解する必要がある。前述の特定の1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する撥水性向上剤は、現像液への溶解性が良好である。撥水性向上剤として、アミノ基やアミン塩を含む繰り返し単位を含む高分子化合物は、PEB中の酸の蒸発を防いで現像後のホールパターンの開口不良を防止する効果が高い。撥水性向上剤の配合量は、ベースポリマー100質量部に対し、0〜20質量部が好ましく、0.5〜10質量部がより好ましい。   The resist material of the present invention may contain a polymer compound (water repellency improver) for improving the water repellency of the resist surface after spin coating. The water repellency improver can be used in immersion lithography that does not use a top coat. The water repellency improver is preferably a polymer compound containing a fluorinated alkyl group or a polymer compound containing a 1,1,1,3,3,3-hexafluoro-2-propanol residue having a specific structure. Examples are disclosed in Japanese Unexamined Patent Application Publication Nos. 2007-297590 and 2008-111103. The water repellency improver needs to be dissolved in an organic solvent developer. The above-mentioned water repellency improver having a specific 1,1,1,3,3,3-hexafluoro-2-propanol residue has good solubility in a developer. As a water repellency improver, a polymer compound containing a repeating unit containing an amino group or an amine salt has a high effect of preventing evaporation of an acid in PEB and preventing poor opening of a hole pattern after development. The blending amount of the water repellency improver is preferably 0 to 20 parts by mass, more preferably 0.5 to 10 parts by mass with respect to 100 parts by mass of the base polymer.

本発明のレジスト材料には、アセチレンアルコール類を配合することもできる。前記アセチレンアルコール類としては、特開2008−122932号公報の段落[0179]〜[0182]に記載されたものが挙げられる。アセチレンアルコール類の配合量は、ベースポリマー100質量部に対し、0〜5質量部が好ましい。   Acetylene alcohols can also be blended in the resist material of the present invention. Examples of the acetylene alcohols include those described in paragraphs [0179] to [0182] of JP-A-2008-122932. As for the compounding quantity of acetylene alcohol, 0-5 mass parts is preferable with respect to 100 mass parts of base polymers.

[パターン形成方法]
本発明のレジスト材料を種々の集積回路製造に用いる場合は、公知のリソグラフィー技術を適用することができる。
[Pattern formation method]
When the resist material of the present invention is used for manufacturing various integrated circuits, a known lithography technique can be applied.

例えば、本発明のポジ型レジスト材料を、集積回路製造用の基板(Si、SiO2、SiN、SiON、TiN、WSi、BPSG、SOG、有機反射防止膜等)あるいはマスク回路製造用の基板(Cr、CrO、CrON、MoSi2等)上にスピンコート、ロールコート、フローコート、ディップコート、スプレーコート、ドクターコート等の適当な塗布方法により塗布膜厚が0.1〜2.0μmとなるように塗布する。これをホットプレート上で、好ましくは60〜150℃、10秒〜30分間、より好ましくは80〜120℃、30秒〜20分間プリベークする。次いで、紫外線、遠紫外線、EB、EUV、X線、軟X線、エキシマレーザー、γ線、シンクロトロン放射線等の高エネルギー線で、目的とするパターンを所定のマスクを通じて又は直接露光を行う。露光量は、1〜200mJ/cm2程度、特に10〜100mJ/cm2、又は0.1〜100μC/cm2程度、特に0.5〜50μC/cm2となるように露光することが好ましい。次に、ホットプレート上で、好ましくは60〜150℃、10秒〜30分間、より好ましくは80〜120℃、30秒〜20分間PEBする。 For example, the positive resist material of the present invention is applied to a substrate for manufacturing an integrated circuit (Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, organic antireflection film, etc.) or a substrate for manufacturing a mask circuit (Cr , CrO, CrON, MoSi 2 etc.) so that the coating film thickness becomes 0.1 to 2.0 μm by an appropriate coating method such as spin coating, roll coating, flow coating, dip coating, spray coating, doctor coating, etc. Apply. This is preferably pre-baked on a hot plate at 60 to 150 ° C. for 10 seconds to 30 minutes, more preferably at 80 to 120 ° C. for 30 seconds to 20 minutes. Next, the target pattern is exposed through a predetermined mask or directly with high energy rays such as ultraviolet rays, far ultraviolet rays, EB, EUV, X-rays, soft X-rays, excimer laser, γ rays, synchrotron radiation and the like. The exposure dose, 1 to 200 mJ / cm 2 or so, in particular 10 to 100 mJ / cm 2, or 0.1~100μC / cm 2 or so, it is preferable that exposure to particular a 0.5~50μC / cm 2. Next, PEB is preferably performed on a hot plate at 60 to 150 ° C. for 10 seconds to 30 minutes, more preferably at 80 to 120 ° C. for 30 seconds to 20 minutes.

更に、0.1〜10質量%、好ましくは2〜5質量%のテトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド(TEAH)、テトラプロピルアンモニウムヒドロキシド(TPAH)、テトラブチルアンモニウムヒドロキシド(TBAH)等のアルカリ水溶液の現像液を用い、3秒〜3分間、好ましくは5秒〜2分間、浸漬(dip)法、パドル(puddle)法、スプレー(spray)法等の常法により現像することにより、光を照射した部分は現像液に溶解し、露光されなかった部分は溶解せず、基板上に目的のポジ型のパターンが形成される。ネガレジストの場合はポジレジストの場合とは逆であり、即ち光を照射した部分は現像液に不溶化し、露光されなかった部分は溶解する。なお、本発明のレジスト材料は、特に高エネルギー線の中でもKrFエキシマレーザー、ArFエキシマレーザー、EB、EUV、X線、軟X線、γ線、シンクロトロン放射線による微細パターニングに最適である。   Further, 0.1 to 10% by mass, preferably 2 to 5% by mass of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide ( Development is carried out using a developing solution of an alkaline aqueous solution such as TBAH) for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes, by a conventional method such as a dip method, a paddle method, or a spray method. As a result, the irradiated portion is dissolved in the developer, and the unexposed portion is not dissolved, and a desired positive pattern is formed on the substrate. In the case of a negative resist, it is the opposite of that in the case of a positive resist, that is, the portion irradiated with light is insoluble in the developer, and the portion not exposed is dissolved. The resist material of the present invention is particularly suitable for fine patterning by KrF excimer laser, ArF excimer laser, EB, EUV, X-ray, soft X-ray, γ-ray, and synchrotron radiation among high energy rays.

酸不安定基を含むベースポリマーを含むポジ型レジスト材料を用いて、有機溶剤現像によってネガティブパターンを得るネガティブ現像を行うこともできる。このときに用いる現像液としては、2−オクタノン、2−ノナノン、2−ヘプタノン、3−ヘプタノン、4−ヘプタノン、2−ヘキサノン、3−ヘキサノン、ジイソブチルケトン、メチルシクロヘキサノン、アセトフェノン、メチルアセトフェノン、酢酸プロピル、酢酸ブチル、酢酸イソブチル、酢酸ペンチル、酢酸ブテニル、酢酸イソペンチル、ギ酸プロピル、ギ酸ブチル、ギ酸イソブチル、ギ酸ペンチル、ギ酸イソペンチル、吉草酸メチル、ペンテン酸メチル、クロトン酸メチル、クロトン酸エチル、プロピオン酸メチル、プロピオン酸エチル、3−エトキシプロピオン酸エチル、乳酸メチル、乳酸エチル、乳酸プロピル、乳酸ブチル、乳酸イソブチル、乳酸ペンチル、乳酸イソペンチル、2−ヒドロキシイソ酪酸メチル、2−ヒドロキシイソ酪酸エチル、安息香酸メチル、安息香酸エチル、酢酸フェニル、酢酸ベンジル、フェニル酢酸メチル、ギ酸ベンジル、ギ酸フェニルエチル、3−フェニルプロピオン酸メチル、プロピオン酸ベンジル、フェニル酢酸エチル、酢酸2−フェニルエチル等が挙げられる。これらの有機溶剤は、1種単独でも、2種以上を混合して使用してもよい。   Using a positive resist material containing a base polymer containing an acid labile group, negative development can be performed to obtain a negative pattern by organic solvent development. The developer used at this time is 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate. , Butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isopentyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate , Ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isopentyl lactate, methyl 2-hydroxyisobutyrate, 2-hydroxy Ethyl isobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, 2-phenylethyl acetate, etc. Is mentioned. These organic solvents may be used alone or in combination of two or more.

現像の終了時には、リンスを行う。リンス液としては、現像液と混溶し、レジスト膜を溶解させない溶剤が好ましい。このような溶剤としては、炭素数3〜10のアルコール、炭素数8〜12のエーテル化合物、炭素数6〜12のアルカン、アルケン、アルキン、芳香族系の溶剤が好ましく用いられる。   At the end of development, rinse is performed. As the rinsing liquid, a solvent which is mixed with the developer and does not dissolve the resist film is preferable. As such a solvent, alcohols having 3 to 10 carbon atoms, ether compounds having 8 to 12 carbon atoms, alkanes having 6 to 12 carbon atoms, alkenes, alkynes, and aromatic solvents are preferably used.

具体的に、炭素数3〜10のアルコールとしては、n−プロピルアルコール、イソプロピルアルコール、1−ブチルアルコール、2−ブチルアルコール、イソブチルアルコール、t−ブチルアルコール、1−ペンタノール、2−ペンタノール、3−ペンタノール、t−ペンチルアルコール、ネオペンチルアルコール、2−メチル−1−ブタノール、3−メチル−1−ブタノール、3−メチル−3−ペンタノール、シクロペンタノール、1−ヘキサノール、2−ヘキサノール、3−ヘキサノール、2,3−ジメチル−2−ブタノール、3,3−ジメチル−1−ブタノール、3,3−ジメチル−2−ブタノール、2−エチル−1−ブタノール、2−メチル−1−ペンタノール、2−メチル−2−ペンタノール、2−メチル−3−ペンタノール、3−メチル−1−ペンタノール、3−メチル−2−ペンタノール、3−メチル−3−ペンタノール、4−メチル−1−ペンタノール、4−メチル−2−ペンタノール、4−メチル−3−ペンタノール、シクロヘキサノール、1−オクタノール等が挙げられる。   Specifically, as alcohol having 3 to 10 carbon atoms, n-propyl alcohol, isopropyl alcohol, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, t-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, t-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-ethyl-1-butanol, 2-methyl-1-pen Tanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl 1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pen Examples include butanol, cyclohexanol, 1-octanol and the like.

炭素数8〜12のエーテル化合物としては、ジ−n−ブチルエーテル、ジイソブチルエーテル、ジ−s−ブチルエーテル、ジ−n−ペンチルエーテル、ジイソペンチルエーテル、ジ−s−ペンチルエーテル、ジ−t−ペンチルエーテル、ジ−n−ヘキシルエーテルから選ばれる1種以上の溶剤が挙げられる。   Examples of the ether compound having 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-s-butyl ether, di-n-pentyl ether, diisopentyl ether, di-s-pentyl ether, and di-t-pentyl. One or more kinds of solvents selected from ether and di-n-hexyl ether are exemplified.

炭素数6〜12のアルカンとしては、ヘキサン、ヘプタン、オクタン、ノナン、デカン、ウンデカン、ドデカン、メチルシクロペンタン、ジメチルシクロペンタン、シクロヘキサン、メチルシクロヘキサン、ジメチルシクロヘキサン、シクロヘプタン、シクロオクタン、シクロノナン等が挙げられる。炭素数6〜12のアルケンとしては、ヘキセン、ヘプテン、オクテン、シクロヘキセン、メチルシクロヘキセン、ジメチルシクロヘキセン、シクロヘプテン、シクロオクテン等が挙げられる。炭素数6〜12のアルキンとしては、ヘキシン、ヘプチン、オクチン等が挙げられる。   Examples of the alkane having 6 to 12 carbon atoms include hexane, heptane, octane, nonane, decane, undecane, dodecane, methylcyclopentane, dimethylcyclopentane, cyclohexane, methylcyclohexane, dimethylcyclohexane, cycloheptane, cyclooctane, and cyclononane. It is done. Examples of the alkene having 6 to 12 carbon atoms include hexene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexene, cycloheptene, and cyclooctene. Examples of the alkyne having 6 to 12 carbon atoms include hexyne, heptin, octyne and the like.

芳香族系の溶剤としては、トルエン、キシレン、エチルベンゼン、イソプロピルベンゼン、t−ブチルベンゼン、メシチレン等が挙げられる。   Examples of the aromatic solvent include toluene, xylene, ethylbenzene, isopropylbenzene, t-butylbenzene, mesitylene and the like.

リンスを行うことによってレジストパターンの倒れや欠陥の発生を低減させることができる。また、リンスは必ずしも必須ではなく、リンスを行わないことによって溶剤の使用量を削減することができる。   By performing the rinsing, it is possible to reduce the collapse of the resist pattern and the occurrence of defects. Moreover, rinsing is not always essential, and the amount of solvent used can be reduced by not rinsing.

現像後のホールパターンやトレンチパターンをサーマルフロー、RELACS技術あるいはDSA技術でシュリンクすることもできる。ホールパターン上にシュリンク剤を塗布し、ベーク中のレジスト層からの酸触媒の拡散によってレジストの表面でシュリンク剤の架橋が起こり、シュリンク剤がホールパターンの側壁に付着する。ベーク温度は、好ましくは70〜180℃、より好ましくは80〜170℃であり、時間は、好ましくは10〜300秒であり、余分なシュリンク剤を除去しホールパターンを縮小させる。   The hole pattern or trench pattern after development can be shrunk by thermal flow, RELACS technology or DSA technology. A shrink agent is applied onto the hole pattern, and the crosslinking of the shrink agent occurs on the surface of the resist due to the diffusion of the acid catalyst from the resist layer during baking, and the shrink agent adheres to the sidewall of the hole pattern. The baking temperature is preferably 70 to 180 ° C., more preferably 80 to 170 ° C., and the time is preferably 10 to 300 seconds, so that the excess shrink agent is removed and the hole pattern is reduced.

以下、合成例、実施例及び比較例を示して本発明を具体的に説明するが、本発明は下記の実施例に限定されない。   EXAMPLES Hereinafter, although a synthesis example, an Example, and a comparative example are shown and this invention is demonstrated concretely, this invention is not limited to the following Example.

本発明のレジスト材料に用いた、ビグアニド塩化合物からなるクエンチャー1〜13の構造を以下に示す。クエンチャー1〜13は、国際公開第2015/111640号に記載の方法に従って下記カチオンを与えるビグアニド化合物を合成した後、前記ビグアニド化合物と、下記アニオンを与えるカルボン酸、スルホンイミド、硝酸、塩酸又は臭化水素酸とを混合することによって合成した。   The structures of quenchers 1 to 13 made of a biguanide salt compound used in the resist material of the present invention are shown below. The quenchers 1 to 13 are prepared by synthesizing a biguanide compound that gives the following cation according to the method described in International Publication No. 2015/111640, and then the biguanide compound and a carboxylic acid, sulfonimide, nitric acid, hydrochloric acid, or odor that gives the following anion. Synthesized by mixing with hydrofluoric acid.

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

[合成例]高分子化合物(ポリマー1〜6)の合成
各々のモノマーを組み合わせてテトラヒドロフラン溶剤下で共重合反応を行い、メタノールに晶出し、更にヘキサンで洗浄を繰り返した後に単離、乾燥して、以下に示す組成の高分子化合物(ポリマー1〜6)を得た。得られた高分子化合物の組成は1H−NMRにより、Mw及び分散度(Mw/Mn)はゲルパーミエーションクロマトグラフ(溶剤:テトラヒドロフラン(THF)、標準:ポリスチレン)により確認した。
[Synthesis Example] Synthesis of polymer compound (Polymers 1 to 6) Each monomer is combined and subjected to a copolymerization reaction in a tetrahydrofuran solvent, crystallized in methanol, further washed with hexane, isolated and dried. The polymer compounds (Polymers 1 to 6) having the following composition were obtained. The composition of the obtained polymer compound was confirmed by 1 H-NMR, and Mw and dispersity (Mw / Mn) were confirmed by gel permeation chromatography (solvent: tetrahydrofuran (THF), standard: polystyrene).

Figure 2017120369
Figure 2017120369

Figure 2017120369
Figure 2017120369

[実施例、比較例]
界面活性剤として住友スリーエム(株)製FC−4430を100ppm溶解させた溶剤に、表1及び2に示される組成で各成分を溶解させた溶液を、0.2μmサイズのフィルターで濾過してポジ型レジスト材料及びネガ型レジスト材料を調製した。
表1及び2中、各組成は、以下のとおりである。
ポリマー1〜6(前記構造式参照)
有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)
GBL(γ−ブチロラクトン)
CyH(シクロヘキサノン)
CyP(シクロペンタノン)
PGME(プロピレングリコールモノメチルエーテル)
[Examples and Comparative Examples]
A solution in which each component is dissolved in the composition shown in Tables 1 and 2 in a solvent in which 100 ppm of FC-4430 manufactured by Sumitomo 3M Co., Ltd. as a surfactant is dissolved, is filtered through a 0.2 μm size filter and positive. Type resist material and negative type resist material were prepared.
In Tables 1 and 2, each composition is as follows.
Polymers 1 to 6 (see the above structural formula)
Organic solvent: PGMEA (propylene glycol monomethyl ether acetate)
GBL (γ-butyrolactone)
CyH (cyclohexanone)
CyP (cyclopentanone)
PGME (propylene glycol monomethyl ether)

酸発生剤:PAG1〜3(下記構造式参照)

Figure 2017120369
Acid generator: PAG1-3 (see the following structural formula)
Figure 2017120369

比較クエンチャー1〜5(下記構造式参照)

Figure 2017120369
Comparative quenchers 1 to 5 (see structural formula below)
Figure 2017120369

撥水剤ポリマー1(下記構造式参照)

Figure 2017120369
Water repellent polymer 1 (see structural formula below)
Figure 2017120369

[ArF液浸露光評価]
[実施例1−1〜1−14、比較例1−1〜1−5]
表1に示すレジスト材料を、シリコンウエハーに信越化学工業(株)製スピンオンカーボン膜ODL-102(カーボンの含有量が80質量%)を200nm、その上にケイ素含有スピンオンハードマスクSHB-A940(ケイ素の含有量が43質量%)を35nmの膜厚で成膜したトライレイヤープロセス用の基板上にスピンコーティングし、ホットプレートを用いて100℃で60秒間ベークし、レジスト膜の厚みを80nmにした。これをArFエキシマレーザースキャナー((株)ニコン製NSR-S610C、NA1.30、σ0.98/0.78、35度クロスポール照明、Azimuthally偏光照明、6%ハーフトーン位相シフトマスク)を用いて、ウエハー上寸法が60nmライン、200nmピッチのマスクを用いて露光し、表1に記載の温度で60秒間PEBを行い、連続して酢酸n−ブチルで30秒間現像を行って、寸法が60nmスペース、200nmピッチのトレンチのネガパターンを形成した。次に、前記露光とPEBまでは同様に行い、24時間ウエハーをFOUP内に23℃で保管した後に酢酸n−ブチルで30秒間現像を行って、200nmピッチのトレンチのネガパターンを形成した。(株)日立ハイテクノロジーズ製測長SEM(CG-4000)でトレンチパターンの寸法を測長し、現像まで連続して形成したトレンチパターンの寸法から、PEB後に24時間放置して形成したトレンチパターンの寸法を引いた値をPPD寸法とした。結果を表1に示す。
[ArF immersion exposure evaluation]
[Examples 1-1 to 1-14, Comparative Examples 1-1 to 1-5]
The resist material shown in Table 1 is a silicon wafer with a spin-on carbon film ODL-102 (carbon content of 80% by mass) manufactured by Shin-Etsu Chemical Co., Ltd. 200 nm, and a silicon-containing spin-on hard mask SHB-A940 (silicon The film was spin-coated on a substrate for a trilayer process having a film thickness of 35 nm, and baked at 100 ° C. for 60 seconds using a hot plate, so that the resist film had a thickness of 80 nm. . Using ArF excimer laser scanner (Nikon Corporation NSR-S610C, NA1.30, σ0.98 / 0.78, 35 degree cross pole illumination, Azimuthally polarized illumination, 6% halftone phase shift mask) on the wafer Exposure is performed using a mask having dimensions of 60 nm line and 200 nm pitch, PEB is performed for 60 seconds at the temperature shown in Table 1, and development is continuously performed for 30 seconds with n-butyl acetate. Dimensions are 60 nm space, 200 nm pitch. A negative pattern of the trench was formed. Next, the exposure and PEB were performed in the same manner, and the wafer was stored in FOUP at 23 ° C. for 24 hours and then developed with n-butyl acetate for 30 seconds to form a negative pattern of trenches with a pitch of 200 nm. The length of the trench pattern was measured with a length measuring SEM (CG-4000) manufactured by Hitachi High-Technologies Corporation. From the dimensions of the trench pattern continuously formed until development, the trench pattern formed by standing for 24 hours after PEB was measured. The value obtained by subtracting the dimension was defined as the PPD dimension. The results are shown in Table 1.

Figure 2017120369
Figure 2017120369

[EB描画評価]
[実施例2−1〜2−5、比較例2−1〜2−5]
表2中に示されるレジスト材料を、ヘキサメチルジシラザンベーパープライム処理したSi基板上にスピンコートし、ホットプレートを用いて110℃で60秒間プリベークして80nmのレジスト膜を作製した。これに、(株)日立製作所製HL-800Dを用いて加速電圧50kVで真空チャンバー内描画を行った。描画後、直ちにホットプレート上90℃で60秒間PEBを行い、2.38質量%のテトラメチルアンモニウムヒドロキシドの水溶液で30秒間現像を行ってパターンを得た。
得られたレジストパターンについて次の評価を行った。
ポジ型レジスト膜の場合、120nmのトレンチを寸法通りで解像する露光量における最小のトレンチの寸法を解像力とした。ネガ型レジスト膜の場合、120nmの孤立ラインを寸法通りで解像する露光量における最小の孤立ラインの寸法を解像力とした。なお、実施例2−1〜2−4、比較例2−1〜2−3、2−5はポジ型レジスト材料、実施例2−5、比較例2−4はネガ型レジスト材料である。
結果を表2に示す。
[EB drawing evaluation]
[Examples 2-1 to 2-5, Comparative examples 2-1 to 2-5]
The resist materials shown in Table 2 were spin coated on a hexamethyldisilazane vapor primed Si substrate and prebaked at 110 ° C. for 60 seconds using a hot plate to prepare an 80 nm resist film. To this, drawing was performed in a vacuum chamber at an acceleration voltage of 50 kV using HL-800D manufactured by Hitachi, Ltd. Immediately after drawing, PEB was performed on a hot plate at 90 ° C. for 60 seconds, and development was performed with an aqueous solution of 2.38% by mass of tetramethylammonium hydroxide for 30 seconds to obtain a pattern.
The following evaluation was performed about the obtained resist pattern.
In the case of a positive resist film, the minimum trench size at an exposure amount that resolves a 120 nm trench according to the size was defined as the resolving power. In the case of a negative resist film, the resolution was defined as the minimum isolated line size at the exposure amount for resolving the 120 nm isolated line according to the size. In addition, Examples 2-1 to 2-4 and Comparative Examples 2-1 to 2-3 and 2-5 are positive resist materials, and Examples 2-5 and Comparative Examples 2-4 are negative resist materials.
The results are shown in Table 2.

Figure 2017120369
Figure 2017120369

表1及び2に示した結果より、本発明のビグアニド塩化合物を含むレジスト材料は、PPDにおける寸法の安定性に優れ、十分な解像力とLWRであることがわかった。   From the results shown in Tables 1 and 2, it was found that the resist material containing the biguanide salt compound of the present invention was excellent in dimensional stability in PPD, and had sufficient resolution and LWR.

Claims (14)

ベースポリマー、及び下記式(A)で表されるビグアニド塩化合物を含むレジスト材料。
Figure 2017120369
[式中、R1〜R8は、それぞれ独立に、水素原子、炭素数1〜24の直鎖状、分岐状若しくは環状のアルキル基、炭素数2〜24の直鎖状、分岐状若しくは環状のアルケニル基、炭素数2〜24の直鎖状、分岐状若しくは環状のアルキニル基、又は炭素数6〜20のアリール基であり、これらの中にエステル基、エーテル基、スルフィド基、スルホキシド基、カーボネート基、カーバメート基、スルホン基、ハロゲン原子、アミノ基、アミド基、ヒドロキシ基、チオール基、ニトロ基又はハロゲン原子を含んでいてもよく、R1とR2と、R2とR3と、R3とR4と、R5とR6と、R6とR7と、又はR7とR8とが結合して環を形成してもよく、該環の中にエーテル結合を含んでいてもよい。A-は、水酸化物イオン、塩素イオン、臭素イオン、ヨウ素イオン、硝酸イオン、亜硝酸イオン、塩素酸イオン、亜塩素酸イオン、過塩素酸イオン、炭酸水素イオン、リン酸二水素イオン、硫酸水素イオン、チオシアン酸イオン、シュウ酸水素イオン、シアン化物イオン、ヨウ素酸イオン、又は下記式(M−1)若しくは(M−2)で表される陰イオンである。
Figure 2017120369
(式中、R9は、水素原子、炭素数1〜30の直鎖状、分岐状若しくは環状のアルキル基、炭素数2〜30の直鎖状、分岐状若しくは環状のアルケニル基、炭素数2〜30の直鎖状、分岐状若しくは環状のアルキニル基、炭素数6〜20のアリール基、炭素数7〜20のアラルキル基、又は炭素数3〜20の芳香族若しくは脂肪族複素環含有基であり、これらの基の中にエステル基、エーテル基、スルフィド基、スルホキシド基、カーボネート基、カーバメート基、スルホン基、ハロゲン原子、アミノ基、アミド基、ヒドロキシ基、チオール基、ニトロ基、ハロゲン原子を含んでいてもよいが、R9は、下記式(A)−1
Figure 2017120369
(式中、Arは、炭素数6〜16の芳香族基であり、R12及びR13は、それぞれ独立に、水素原子、ヒドロキシ基、アルコキシ基、炭素数1〜6の直鎖状、分岐状若しくは環状のアルキル基、又は炭素数6〜10のアリール基である。)
で表される基は含まない。R10は、フッ素原子、炭素数1〜10の直鎖状、分岐状若しくは環状のフッ素化アルキル基、又はフッ素化フェニル基であり、ヒドロキシ基、エーテル基、エステル基、アルコキシ基を含んでいてもよい。R11は、水素原子、炭素数1〜10の直鎖状、分岐状若しくは環状のアルキル基、炭素数2〜10の直鎖状、分岐状若しくは環状のアルケニル基、炭素数2〜10の直鎖状若しくは分岐状のアルキニル基、又は炭素数6〜10のアリール基であり、ヒドロキシ基、エーテル基、エステル基、アルコキシ基を含んでいてもよい。)]
A resist material comprising a base polymer and a biguanide salt compound represented by the following formula (A).
Figure 2017120369
[Wherein, R 1 to R 8 are each independently a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 24 carbon atoms, a linear, branched or cyclic group having 2 to 24 carbon atoms. An alkenyl group, a linear, branched or cyclic alkynyl group having 2 to 24 carbon atoms, or an aryl group having 6 to 20 carbon atoms, among which an ester group, an ether group, a sulfide group, a sulfoxide group, It may contain a carbonate group, a carbamate group, a sulfone group, a halogen atom, an amino group, an amide group, a hydroxy group, a thiol group, a nitro group or a halogen atom, and R 1 and R 2 , R 2 and R 3 , R 3 and R 4 , R 5 and R 6 , R 6 and R 7 , or R 7 and R 8 may be combined to form a ring, and the ring contains an ether bond. May be. A - is hydroxide ion, chlorine ion, bromine ion, iodine ion, nitrate ion, nitrite ion, chlorate ion, chlorite ion, perchlorate ion, hydrogen carbonate ion, dihydrogen phosphate ion, sulfuric acid It is a hydrogen ion, a thiocyanate ion, a hydrogen oxalate ion, a cyanide ion, an iodate ion, or an anion represented by the following formula (M-1) or (M-2).
Figure 2017120369
(In the formula, R 9 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 30 carbon atoms, or a carbon number of 2; A linear, branched or cyclic alkynyl group of ˜30, an aryl group of 6 to 20 carbon atoms, an aralkyl group of 7 to 20 carbon atoms, or an aromatic or aliphatic heterocyclic group containing 3 to 20 carbon atoms. There are ester group, ether group, sulfide group, sulfoxide group, carbonate group, carbamate group, sulfone group, halogen atom, amino group, amide group, hydroxy group, thiol group, nitro group, halogen atom in these groups. Although it may contain, R < 9 > is following formula (A) -1
Figure 2017120369
(In the formula, Ar is an aromatic group having 6 to 16 carbon atoms, and R 12 and R 13 are each independently a hydrogen atom, a hydroxy group, an alkoxy group, a straight chain having 1 to 6 carbon atoms, branched. Or a cyclic alkyl group or an aryl group having 6 to 10 carbon atoms.)
The group represented by is not included. R 10 is a fluorine atom, a linear, branched or cyclic fluorinated alkyl group having 1 to 10 carbon atoms, or a fluorinated phenyl group, and includes a hydroxy group, an ether group, an ester group, and an alkoxy group. Also good. R 11 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 10 carbon atoms, a straight chain having 2 to 10 carbon atoms. It is a chain or branched alkynyl group or an aryl group having 6 to 10 carbon atoms, and may contain a hydroxy group, an ether group, an ester group, or an alkoxy group. ]]
更に、スルホン酸、スルホンイミド又はスルホンメチドを発生する酸発生剤を含む請求項1記載のレジスト材料。   The resist material according to claim 1, further comprising an acid generator that generates sulfonic acid, sulfonimide, or sulfonemethide. 更に、有機溶剤を含む請求項1又は2記載のレジスト材料。   The resist material according to claim 1 or 2, further comprising an organic solvent. 前記ベースポリマーが、下記式(a1)で表される繰り返し単位又は下記式(a2)で表される繰り返し単位を含むものである請求項1〜3のいずれか1項記載のレジスト材料。
Figure 2017120369
(式中、R31及びR33は、それぞれ独立に、水素原子又はメチル基である。R32及びR34は、それぞれ独立に、酸不安定基である。Xは、単結合、エステル基、フェニレン基、ナフチレン基、又はラクトン環を含む炭素数1〜12の連結基である。Yは、単結合又はエステル基である。)
The resist material according to claim 1, wherein the base polymer includes a repeating unit represented by the following formula (a1) or a repeating unit represented by the following formula (a2).
Figure 2017120369
Wherein R 31 and R 33 are each independently a hydrogen atom or a methyl group. R 32 and R 34 are each independently an acid labile group. X is a single bond, an ester group, (A phenylene group, a naphthylene group, or a linking group having 1 to 12 carbon atoms including a lactone ring. Y is a single bond or an ester group.)
更に、溶解阻止剤を含む請求項4記載のレジスト材料。   The resist material according to claim 4, further comprising a dissolution inhibitor. 化学増幅ポジ型レジスト材料である請求項4又は5記載のレジスト材料。   6. The resist material according to claim 4, which is a chemically amplified positive resist material. 前記ベースポリマーが、酸不安定基を含まないものである請求項1〜3のいずれか1項記載のレジスト材料。   The resist material according to claim 1, wherein the base polymer does not contain an acid labile group. 更に、架橋剤を含む請求項7記載のレジスト材料。   Furthermore, the resist material of Claim 7 containing a crosslinking agent. 化学増幅ネガ型レジスト材料である請求項7又は8記載のレジスト材料。   The resist material according to claim 7 or 8, which is a chemically amplified negative resist material. 前記ベースポリマーが、更に下記式(f1)〜(f3)で表される繰り返し単位から選ばれる少なくとも1つの繰り返し単位を含む請求項1〜9のいずれか1項記載のレジスト材料。
Figure 2017120369
(式中、R51、R55及びR59は、それぞれ独立に、水素原子又はメチル基である。R52は、単結合、フェニレン基、−O−R63−又は−C(=O)−Y1−R63−であり、Y1は、−O−又は−NH−であり、R63は、カルボニル基、エステル基、エーテル基若しくはヒドロキシ基を含んでいてもよい炭素数1〜6の直鎖状、分岐状若しくは環状の、アルキレン基若しくはアルケニレン基、又はフェニレン基である。R53、R54、R56、R57、R58、R60、R61及びR62は、それぞれ独立に、カルボニル基、エステル基若しくはエーテル基を含んでいてもよい炭素数1〜12の直鎖状、分岐状若しくは環状のアルキル基、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基、若しくはメルカプトフェニル基である。A1は、単結合、−A0−C(=O)−O−、−A0−O−又は−A0−O−C(=O)−であり、A0は、カルボニル基、エステル基又はエーテル基を含んでいてもよい炭素数1〜12の直鎖状、分岐状又は環状のアルキレン基である。A2は、水素原子又はトリフルオロメチル基である。Z1は、単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R64−又は−C(=O)−Z2−R64−であり、Z2は、−O−又は−NH−であり、R64は、カルボニル基、エステル基、エーテル基若しくはヒドロキシ基を含んでいてもよい炭素数1〜6の直鎖状、分岐状若しくは環状の、アルキレン基若しくはアルケニレン基、又はフェニレン基、フッ素化されたフェニレン基、若しくはトリフルオロメチル基で置換されたフェニレン基である。M-は、非求核性対向イオンを表す。f1、f2及びf3は、0≦f1≦0.5、0≦f2≦0.5、0≦f3≦0.5、及び0<f1+f2+f3≦0.5を満たす正数である。)
The resist material according to claim 1, wherein the base polymer further contains at least one repeating unit selected from repeating units represented by the following formulas (f1) to (f3).
Figure 2017120369
(In the formula, R 51 , R 55 and R 59 each independently represents a hydrogen atom or a methyl group. R 52 represents a single bond, a phenylene group, —O—R 63 — or —C (═O) —. Y 1 —R 63 —, Y 1 is —O— or —NH—, and R 63 has 1 to 6 carbon atoms which may contain a carbonyl group, an ester group, an ether group or a hydroxy group. A linear, branched or cyclic alkylene group, alkenylene group, or phenylene group, R 53 , R 54 , R 56 , R 57 , R 58 , R 60 , R 61, and R 62 are each independently A linear, branched or cyclic alkyl group having 1 to 12 carbon atoms which may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms. group, or .A 1 is mercapto phenyl group, Bond, -A 0 -C (= O) -O -, - A 0 -O- or -A 0 -O-C (= O ) - and is, A 0 is a carbonyl group, an ester group or an ether group A C 1-12 linear, branched or cyclic alkylene group which may contain A 2 is a hydrogen atom or a trifluoromethyl group, Z 1 is a single bond, a methylene group, ethylene; Group, phenylene group, fluorinated phenylene group, —O—R 64 — or —C (═O) —Z 2 —R 64 —, wherein Z 2 is —O— or —NH—, R 64 is a linear, branched or cyclic alkylene group or alkenylene group having 1 to 6 carbon atoms which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, or a phenylene group, fluorinated Phenyl substituted with a phenylene group or trifluoromethyl group Is a group .M - is, .f1 represents a non-nucleophilic counter ion, f2 and f3 is, 0 ≦ f1 ≦ 0.5,0 ≦ f2 ≦ 0.5,0 ≦ f3 ≦ 0.5, and 0 <It is a positive number satisfying f1 + f2 + f3 ≦ 0.5.)
更に、界面活性剤を含む請求項1〜10のいずれか1項記載のレジスト材料。   Furthermore, the resist material of any one of Claims 1-10 containing surfactant. 請求項1〜11のいずれか1項記載のレジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むパターン形成方法。   A pattern forming method comprising: a step of applying the resist material according to any one of claims 1 to 11 on a substrate; a step of exposing to high energy rays after heat treatment; and a step of developing using a developer. . 前記高エネルギー線が、波長193nmのArFエキシマレーザー又は波長248nmのKrFエキシマレーザーである請求項12記載のパターン形成方法。   The pattern formation method according to claim 12, wherein the high energy beam is an ArF excimer laser having a wavelength of 193 nm or a KrF excimer laser having a wavelength of 248 nm. 前記高エネルギー線が、電子線又は波長3〜15nmの極端紫外線である請求項12記載のパターン形成方法。   The pattern forming method according to claim 12, wherein the high energy beam is an electron beam or extreme ultraviolet rays having a wavelength of 3 to 15 nm.
JP2016135001A 2015-12-28 2016-07-07 Resist material and pattern forming method Active JP6583167B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US15/375,353 US10222696B2 (en) 2015-12-28 2016-12-12 Resist composition and patterning process
KR1020160177929A KR102189209B1 (en) 2015-12-28 2016-12-23 Resist composition and patterning process
TW105143258A TWI611259B (en) 2015-12-28 2016-12-27 Resist composition and patterning process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015256315 2015-12-28
JP2015256315 2015-12-28

Publications (2)

Publication Number Publication Date
JP2017120369A true JP2017120369A (en) 2017-07-06
JP6583167B2 JP6583167B2 (en) 2019-10-02

Family

ID=59271933

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016135001A Active JP6583167B2 (en) 2015-12-28 2016-07-07 Resist material and pattern forming method

Country Status (3)

Country Link
JP (1) JP6583167B2 (en)
KR (1) KR102189209B1 (en)
TW (1) TWI611259B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020038358A (en) * 2018-08-29 2020-03-12 信越化学工業株式会社 Resist composition and patterning process
JP2020144230A (en) * 2019-03-06 2020-09-10 信越化学工業株式会社 Resist material and patterning method
JP2021043440A (en) * 2019-09-04 2021-03-18 信越化学工業株式会社 Resist material and patterning process
JP7400658B2 (en) 2019-09-13 2023-12-19 信越化学工業株式会社 Resist material and pattern forming method

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6904320B2 (en) * 2017-10-18 2021-07-14 信越化学工業株式会社 Resist material and pattern formation method, and barium salt
JP7334683B2 (en) 2019-08-02 2023-08-29 信越化学工業株式会社 Positive resist material and pattern forming method
JP7334684B2 (en) 2019-08-02 2023-08-29 信越化学工業株式会社 Resist material and pattern forming method
JP7351257B2 (en) 2019-08-14 2023-09-27 信越化学工業株式会社 Resist material and pattern forming method
JP2021182133A (en) 2020-05-18 2021-11-25 信越化学工業株式会社 Positive type resist material, and pattern formation method
US11940728B2 (en) 2020-09-28 2024-03-26 Shin-Etsu Chemical Co., Ltd. Molecular resist composition and patterning process

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3751518B2 (en) 1999-10-29 2006-03-01 信越化学工業株式会社 Chemically amplified resist composition
US6673511B1 (en) * 1999-10-29 2004-01-06 Shin-Etsu Chemical Co., Ltd. Resist composition
JP4320520B2 (en) 2000-11-29 2009-08-26 信越化学工業株式会社 Resist material and pattern forming method
JP4044741B2 (en) 2001-05-31 2008-02-06 信越化学工業株式会社 Resist material and pattern forming method
JP2010084144A (en) 2008-09-08 2010-04-15 Tokyo Univ Of Science Base generator and photosensitive resin composition comprising base generator
JP5601286B2 (en) * 2011-07-25 2014-10-08 信越化学工業株式会社 Resist material and pattern forming method using the same
JP5655756B2 (en) * 2011-10-03 2015-01-21 信越化学工業株式会社 Positive resist material and pattern forming method using the same
JP6105858B2 (en) * 2012-05-17 2017-03-29 太陽インキ製造株式会社 Pattern forming method, alkali-developable thermosetting resin composition, and printed wiring board
CN105339340B (en) * 2013-06-28 2018-10-12 富士胶片和光纯药株式会社 Generated base alkaline agent, the alkali reactive composition containing the generated base alkaline agent and production alkali method
JP6028716B2 (en) 2013-11-05 2016-11-16 信越化学工業株式会社 Resist material and pattern forming method
EP3098226B1 (en) 2014-01-24 2018-12-12 FUJIFILM Wako Pure Chemical Corporation Borate-based base generator, and base-reactive composition comprising such base generator

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020038358A (en) * 2018-08-29 2020-03-12 信越化学工業株式会社 Resist composition and patterning process
JP7156205B2 (en) 2018-08-29 2022-10-19 信越化学工業株式会社 Resist material and pattern forming method
JP2020144230A (en) * 2019-03-06 2020-09-10 信越化学工業株式会社 Resist material and patterning method
JP7077997B2 (en) 2019-03-06 2022-05-31 信越化学工業株式会社 Resist material and pattern forming method
JP2021043440A (en) * 2019-09-04 2021-03-18 信越化学工業株式会社 Resist material and patterning process
JP7354954B2 (en) 2019-09-04 2023-10-03 信越化学工業株式会社 Resist material and pattern forming method
JP7400658B2 (en) 2019-09-13 2023-12-19 信越化学工業株式会社 Resist material and pattern forming method

Also Published As

Publication number Publication date
KR20170077815A (en) 2017-07-06
KR102189209B1 (en) 2020-12-09
TW201730674A (en) 2017-09-01
TWI611259B (en) 2018-01-11
JP6583167B2 (en) 2019-10-02

Similar Documents

Publication Publication Date Title
JP6702264B2 (en) Resist material and pattern forming method
JP6645464B2 (en) Resist material and pattern forming method
JP6769414B2 (en) Resist material and pattern formation method
JP6904302B2 (en) Resist material and pattern formation method
JP6459989B2 (en) Resist material and pattern forming method
JP6583167B2 (en) Resist material and pattern forming method
JP7283374B2 (en) Chemically amplified resist material and pattern forming method
JP6512049B2 (en) Resist material and pattern formation method
JP2018060069A (en) Resist material and pattern forming method
JP6645463B2 (en) Resist material and pattern forming method
JP2018055089A (en) Resist material and pattern forming method
JP6575474B2 (en) Resist material and pattern forming method
JP2018087971A (en) Chemically amplified resist material and patterning method
JP2020098330A (en) Resist composition and patterning process
JP2019074731A (en) Resist material and patterning method
JP6772992B2 (en) Resist material and pattern forming method
JP6531725B2 (en) Resist material and pattern formation method
JP6372460B2 (en) Resist material and pattern forming method
JP2020027298A (en) Chemically amplified resist material and patterning method
JP6477409B2 (en) Resist material and pattern forming method
JP6583168B2 (en) Resist material and pattern forming method
JP2018136527A (en) Resist material and patterning method
JP6477407B2 (en) Resist material and pattern forming method
JP2019008280A (en) Resist material and patterning method
JP2019074588A (en) Resist material and patterning method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180628

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190409

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190410

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190516

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190806

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190819

R150 Certificate of patent or registration of utility model

Ref document number: 6583167

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150