KR20170077815A - Resist composition and patterning process - Google Patents

Resist composition and patterning process Download PDF

Info

Publication number
KR20170077815A
KR20170077815A KR1020160177929A KR20160177929A KR20170077815A KR 20170077815 A KR20170077815 A KR 20170077815A KR 1020160177929 A KR1020160177929 A KR 1020160177929A KR 20160177929 A KR20160177929 A KR 20160177929A KR 20170077815 A KR20170077815 A KR 20170077815A
Authority
KR
South Korea
Prior art keywords
group
carbon atoms
ion
branched
resist material
Prior art date
Application number
KR1020160177929A
Other languages
Korean (ko)
Other versions
KR102189209B1 (en
Inventor
준 하타케야마
마사키 오하시
Original Assignee
신에쓰 가가꾸 고교 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 신에쓰 가가꾸 고교 가부시끼가이샤 filed Critical 신에쓰 가가꾸 고교 가부시끼가이샤
Publication of KR20170077815A publication Critical patent/KR20170077815A/en
Application granted granted Critical
Publication of KR102189209B1 publication Critical patent/KR102189209B1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70025Production of exposure light, i.e. light sources by lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making

Abstract

[과제] 포지티브형 레지스트 재료에 있어서도 네거티브형 레지스트 재료에 있어서도 용해 콘트라스트가 크고, 또한 LWR을 작게 할 수 있으며, PPD에 있어서도 치수 변화가 일어나는 일이 없는 레지스트 재료 및 이것을 이용하는 패턴 형성 방법을 제공한다.
[해결수단] 베이스 폴리머 및 하기 식 (A)로 표시되는 비구아니드염 화합물을 포함하는 레지스트 재료.

Figure pat00079

(식 중, R1∼R8은 각각 독립적으로 수소 원자 또는 소정의 치환기이다. A-는 수산화물 이온, 염소 이온, 브롬 이온, 요오드 이온, 질산 이온, 아질산 이온, 염소산 이온, 아염소산 이온, 과염소산 이온, 탄산수소 이온, 인산이수소 이온, 황산수소 이온, 티오시안산 이온, 옥살산수소 이온, 시안화물 이온, 또는 하기 식 (M-1) 혹은 (M-2)로 표시되는 음이온이다.
Figure pat00080
)[PROBLEMS] Provided are a resist material which has a high dissolution contrast and a small LWR even in a positive resist material, and which does not cause dimensional change in PPD, and a pattern forming method using the resist material.
[MEANS FOR SOLVING PROBLEMS] A resist material comprising a base polymer and an asymmetric salt compound represented by the following formula (A).
Figure pat00079

Wherein R 1 to R 8 are each independently a hydrogen atom or a predetermined substituent group, and A - represents a hydrogen atom, a chloride ion, a bromide ion, an iodide ion, a nitrate ion, a nitrite ion, a chlorate ion, (M-1) or (M-2), wherein the anion is at least one selected from the group consisting of hydrogen ions, hydrogen carbonate ions, hydrogen phosphate ions, hydrogen sulfate ions, thiocyanate ions, hydrogen oxalate ions and cyanide ions.
Figure pat00080
)

Description

레지스트 재료 및 패턴 형성 방법{RESIST COMPOSITION AND PATTERNING PROCESS}RESIST MATERIAL AND METHOD FOR FORMING RESIST COMPOSITION AND PATTERNING PROCESS

본 발명은 비구아니드염 화합물을 포함하는 레지스트 재료 및 이것을 이용하는 패턴 형성 방법에 관한 것이다.The present invention relates to a resist material containing an asymmetric salt compound and a pattern forming method using the same.

LSI의 고집적화와 고속도화에 따라, 패턴 룰의 미세화가 급속히 진행되고 있다. 특히 플래시 메모리 시장의 확대와 기억 용량의 증대화가 미세화를 견인하고 있다. 최첨단 미세화 기술로서는, ArF 리소그래피에 의한 65 nm 노드의 디바이스가 양산되고 있고, 차세대 ArF 액침 리소그래피에 의한 45 nm 노드의 양산 준비가 진행중이다. 차세대 32 nm 노드로서는, 물보다도 고굴절률의 액체와 고굴절률 렌즈, 고굴절률 레지스트 재료를 조합한 초고NA 렌즈에 의한 액침 리소그래피, 파장 13.5 nm의 극단자외선(EUV) 리소그래피, ArF 리소그래피의 이중 노광(더블 패터닝 리소그래피) 등이 후보로서, 검토가 진행되고 있다.With the increasingly high integration and high speed of LSI, the miniaturization of pattern rules is progressing rapidly. In particular, the flash memory market is expanding and memory capacity is increasing. As a state-of-the-art micromachining technology, devices with 65 nm nodes by ArF lithography are mass-producing, and preparations for mass production of 45 nm nodes by next-generation ArF immersion lithography are underway. As the next-generation 32 nm node, liquid immersion lithography using ultra-high NA lens combined with liquid having a refractive index higher than that of water and high refractive index lens and high refractive index resist material, extreme ultraviolet (EUV) lithography with a wavelength of 13.5 nm, double exposure Patterning lithography) and the like are under review as candidates.

산발생제를 첨가하고, 광 혹은 전자선(EB)의 조사에 의해 산을 발생시켜 탈보호 반응을 일으키는 화학 증폭 포지티브형 레지스트 재료 및 산에 의한 가교 반응을 일으키는 화학 증폭 네거티브형 레지스트 재료에 있어서, 산의 미노광 부분에의 확산을 제어하여 콘트라스트를 향상시킬 목적에서의 켄처(quencher)의 첨가 효과는 매우 효과적이었다. 그 때문에, 많은 아민 켄처가 제안되었다(특허문헌 1∼3).A chemically amplified positive resist material which generates an acid by irradiation of light or electron beam (EB) to cause a deprotection reaction and a chemically amplified negative resist material which causes a crosslinking reaction with an acid, The addition effect of the quencher for the purpose of controlling the diffusion to the unexposed portion of the light to improve the contrast was very effective. For this reason, many amine cements have been proposed (Patent Documents 1 to 3).

미세화가 진행되어 광의 회절 한계에 근접함에 따라 광의 콘트라스트가 저하되어 간다. 광의 콘트라스트 저하에 의해, 포지티브형 레지스트막에 있어서는 홀 패턴이나 트렌치 패턴의 해상성이나 포커스 마진의 저하가 일어난다.And the contrast of the light decreases as the micronization proceeds and approaches the diffraction limit of the light. Due to the lowering of the contrast of light, in the positive type resist film, resolution of the hole pattern or trench pattern and lowering of the focus margin occur.

광의 콘트라스트 저하에 따른 레지스트 패턴의 해상성 저하의 영향을 막기 위해서, 레지스트막의 용해 콘트라스트를 향상시키는 시도가 행해지고 있다. 또한, 이것과 함께, 레지스트 패턴 내의 상이 흐릿해지는 원인이 되는 산 확산을 억제하는 시도도 행해지고 있다.Attempts have been made to improve the dissolution contrast of the resist film in order to prevent the degradation of the resolution of the resist pattern due to the lowering of the contrast of light. Along with this, attempts have been made to suppress acid diffusion which causes the image in the resist pattern to be blurred.

산에 의해 산이 발생하는 산 증식 기구를 이용한 화학 증폭 레지스트 재료가 제안되어 있다. 통상 노광량의 증대에 따라 산의 농도가 선형적으로 점증하지만, 산 증식의 경우는 산의 농도가 노광량의 증대에 대하여 비선형적으로 급격히 증대한다. 산 증식 시스템은, 화학 증폭 레지스트막의 고콘트라스트, 고감도와 같은 장점을 더욱 늘리는 메리트가 있지만, 아민의 오염에 의한 환경 내성이 열화되고, 산 확산 거리 증대에 따른 한계 해상성의 저하와 같은 화학 증폭 레지스트막의 결점을 더욱 열화시키기 때문에, 이것을 실용에 사용하고자 하는 경우, 매우 컨트롤하기 어려운 기구이다.A chemically amplified resist material using an acid propagation mechanism in which an acid is generated by an acid has been proposed. The concentration of acid increases linearly with an increase in the normal exposure dose, but in the case of acid growth, the concentration of the acid rapidly increases non-linearly with the increase in the exposure dose. Although the acid proliferation system has the merit of further enhancing the advantages such as high contrast and high sensitivity of the chemically amplified resist film, the environmental resistance due to amine contamination is deteriorated and the chemical amplification resist film such as the degradation of the marginal resolution due to the increase of the acid diffusion distance It is a mechanism that is very difficult to control when it is desired to use it for practical use because it further deteriorates the defect.

콘트라스트를 높이기 위한 또 하나의 방법은, 노광량의 증대에 따라 아민의 농도를 저하시키는 방법이다. 이것에는, 광에 의해 켄처로서의 기능을 잃는 화합물의 적용을 생각할 수 있다.Another method for increasing the contrast is to lower the concentration of the amine with an increase in the exposure amount. To this, the application of a compound which loses its function as a quencher by light can be considered.

ArF용의 (메트)아크릴레이트 폴리머에 이용되고 있는 산불안정기는, α 위치가 불소로 치환된 술폰산이 발생하는 광산발생제를 사용함으로써 탈보호 반응이 진행되지만, α 위치가 불소로 치환되어 있지 않은 술폰산이나 카르복실산이 발생하는 산발생제에서는 탈보호 반응이 진행되지 않는다. α 위치가 불소로 치환된 술폰산이 발생하는 술포늄염이나 요오도늄염에, α 위치가 불소로 치환되어 있지 않은 술폰산이 발생하는 술포늄염이나 요오도늄염을 혼합하면, α 위치가 불소로 치환되어 있지 않은 술폰산이 발생하는 술포늄염이나 요오도늄염은, α 위치가 불소로 치환된 술폰산과 이온 교환을 일으킨다. 광에 의해 발생한 α 위치가 불소로 치환된 술폰산은, 이온 교환에 의해 술포늄염이나 요오도늄염으로 되돌아가기 때문에, α 위치가 불소로 치환되어 있지 않은 술폰산이나 카르복실산의 술포늄염이나 요오도늄염은 켄처로서 기능한다.The acid labile groups used in the (meth) acrylate polymers for ArF use a photoacid generator in which a sulfonic acid in which the? -Position is substituted with fluorine is used, whereby the deprotection reaction proceeds, but the? The acid generator generating sulfonic acid or carboxylic acid does not undergo a deprotection reaction. when a sulfonium salt or iodonium salt generating a sulfonic acid in which the? -position is not substituted with fluorine is mixed with a sulfonium salt or iodonium salt in which the? -position in which the? -position is substituted with fluorine is substituted with fluorine A sulfonium salt or an iodonium salt which generates a non-sulfonic acid causes ion exchange with a sulfonic acid substituted at the? -Position with fluorine. The sulfonic acid in which the alpha -position generated by the light is substituted with fluorine is returned to the sulfonium salt or the iodonium salt by ion exchange. Therefore, the sulfonium salt or iodonium salt of the carboxylic acid, Acts as a keeper.

또한, α 위치가 불소로 치환되어 있지 않은 술폰산이 발생하는 술포늄염이나 요오도늄염은, 광분해에 의해 켄처능으로서의 능력을 잃기 때문에, 광분해성 켄처로서도 기능한다. 구조식은 밝혀지지 않았지만, 광분해성 켄처의 첨가에 의해 트렌치 패턴의 마진이 확대되는 것이 개시되어 있다(비특허문헌 3). 그러나, 성능 향상에 미치는 영향은 근소하여, 보다 콘트라스트를 향상시키는 켄처의 개발이 요구되고 있다.The sulfonium salt or iodonium salt generating a sulfonic acid in which the? -Position is not substituted with fluorine also functions as a photo-decomposable quencher since it loses its ability as a kenapatite due to photodegradation. Although the structural formula is not disclosed, it has been disclosed that the margin of the trench pattern is enlarged by the addition of the photolytic cracker (Non-Patent Document 3). However, the influence on the performance improvement is small, and development of a retainer for improving the contrast is demanded.

특허문헌 4에는, 광에 의해 아미노기를 갖는 카르복실산이 발생하고, 이것이 산에 의해 락탐이 생성됨으로써 염기성이 저하되는 오늄염형의 켄처가 제안되어 있다. 산에 의해 염기성이 저하되는 기구에 의해, 산의 발생량이 적은 미노광 부분은 높은 염기성에 의해 산의 확산이 제어되고 있어, 산의 발생량이 많은 과노광 부분은 켄처의 염기성이 저하됨으로써 산의 확산이 커지고 있다. 이에 의해 노광부와 미노광부의 산량(酸量)의 차이를 벌릴 수 있어, 콘트라스트가 향상된다. 단, 이 방법도 산 확산이 증대된다고 하는 결점을 갖고 있다.Patent Document 4 proposes an onium salt-type kencer in which a carboxylic acid having an amino group is generated by light, and a lactam is generated by an acid to lower the basicity. The diffusion of acid is controlled by the basicity of the unexposed portion where the amount of acid generated is lowered by the mechanism in which the basicity is lowered by the acid, and when the amount of acid generated is large, the basicity of the quencher is lowered, . As a result, the difference in the amount of acid (acid amount) between the exposed portion and the unexposed portion can be increased, and the contrast is improved. However, this method also has a drawback that acid diffusion is increased.

비구아니드나 포스파젠은, 초강염기 화합물로서 알려져 있다. 이들은, 디아자비시클로운데센(DBU)보다도 높은 염기성을 가지며, 이것을 에폭시의 경화 반응 촉매로서 이용하는 것이 검토되고 있다. 예컨대, 특허문헌 5 및 6에는, 광에 의해 구아니딘, 비구아니드, 포스파젠, 2,5,8,9-테트라아자-1-포스파비시클로[3.3.3]운데칸류가 발생하는 염기발생제가 제안되어 있다. 통상은, 노광량의 증대에 따라 광산발생제로부터 발생하는 산의 양은 증대되지만, 광산발생제와 이들의 광염기발생제를 병용한 경우, 광산발생제의 양과 염기발생제의 양이 동일하고 발생 효율도 동일한 경우, 노광량이 증대되어도 산의 양이 증대되지 않는다. 광산발생제의 양이 많아 발생 효율이 높은 경우는 노광량의 증대에 따라 산의 양이 증대되지만, 증대량이 적어 레지스트의 콘트라스트도 낮다.Bicine and phosphazenes are known as super-strong base compounds. They have a higher basicity than diazabicyclo-undecene (DBU), and use thereof as a curing reaction catalyst for epoxy has been studied. For example, Patent Documents 5 and 6 disclose that a base generator that generates guanidine, bigninoide, phosphazene, 2,5,8,9-tetraaza-1-phosphabicyclo [3.3.3] undecane by light, Has been proposed. Generally, the amount of acid generated from the photoacid generator increases with an increase in the exposure dose. However, when the photoacid generator and the photoacid generator are used in combination, the amount of the photoacid generator and the amount of the base generator are the same, , The amount of acid is not increased even if the exposure amount is increased. When the generation efficiency is high due to a large amount of the photoacid generator, the amount of acid increases with an increase in exposure dose, but the amount of increase is small and the contrast of the resist is also low.

유기 용제 현상에 의한 네거티브 톤의 형성 방법이 주목받고 있다. 광노광으로 홀 패턴을 형성하고자 하는 경우, 브라이트 패턴의 마스크와 네거티브형 레지스트의 조합으로 형성하는 경우가 가장 피치가 작은 홀 패턴을 형성할 수 있기 때문이다. 여기서, 노광 후의 포스트 익스포저 베이크(PEB)와 현상 사이의 방치 시간(PPD: Post PEB Delay)에 의해 현상 후의 패턴의 치수가 변화하는 것이 문제가 되고 있다. PEB 후의 실온 방치 중에 산이 서서히 미노광 부분으로 확산되어, 탈보호 반응이 진행되는 것이 원인이라고 생각된다. PPD 문제를 해결하기 위해서는 활성화 에너지가 높은 보호기를 이용하여 고온의 PEB를 행하는 것이 하나의 방법이다. PPD는 실온 반응이기 때문에, PEB와의 온도 갭이 클수록 PPD의 영향은 경감된다. 벌키한 음이온을 갖는 산을 발생시키는 산발생제를 이용하는 것도 PPD의 영향 저감에 효과적이다. 산인 프로톤은 음이온과 쌍으로 되어 있지만, 음이온의 사이즈가 커질수록 프로톤의 호핑이 저감된다.A method of forming a negative tone by the development of an organic solvent has attracted attention. When a hole pattern is to be formed by photoexposure, a hole pattern having the smallest pitch can be formed when a combination of a mask of bright pattern and a negative resist is formed. Here, it is a problem that the dimension of the pattern after development changes due to post-exposure bake (PEB) after exposure and post-PEB delay (PPD) between development. It is considered that the acid is gradually diffused to the unexposed portion during the room temperature after PEB, and the deprotection reaction proceeds. In order to solve the PPD problem, one method is to carry out high-temperature PEB using a protecting agent having a high activation energy. Since the PPD is a reaction at room temperature, the larger the temperature gap with the PEB, the less the influence of the PPD is. Use of an acid generator that generates an acid having a bulky anion is also effective in reducing the influence of PPD. Acid proton is paired with anions, but proton hopping is reduced as the size of the anions increases.

PPD의 영향 저감에 효과적이라고 기대되는 또 하나의 성분이 켄처이다. 종래의 켄처의 개발은, 고온의 PEB 중의 산 확산을 저감시켜 탈보호 반응의 콘트라스트를 향상시키는 것이 목적으로 되어 있었지만, PPD의 영향을 저감시키기 위해서는 시점을 바꿔 실온에서의 산 확산을 효과적으로 억제해 주는 켄처의 개발이 요망되고 있다.Another component that is expected to be effective in reducing the effects of PPDs is the retainer. The development of the conventional quencher has been aimed at improving the contrast of the deprotection reaction by reducing the acid diffusion in the high-temperature PEB. However, in order to reduce the influence of the PPD, it is necessary to change the time point to effectively suppress the acid diffusion at room temperature The development of Keener is demanded.

[특허문헌 1] 일본 특허 공개 제2001-194776호 공보[Patent Document 1] Japanese Unexamined Patent Application Publication No. 2001-194776 [특허문헌 2] 일본 특허 공개 제2002-226470호 공보[Patent Document 2] Japanese Unexamined Patent Publication No. 2002-226470 [특허문헌 3] 일본 특허 공개 제2002-363148호 공보[Patent Document 3] Japanese Unexamined Patent Publication No. 2002-363148 [특허문헌 4] 일본 특허 공개 제2015-90382호 공보[Patent Document 4] JP-A-2015-90382 [특허문헌 5] 일본 특허 공개 제2010-84144호 공보[Patent Document 5] JP-A-2010-84144 [특허문헌 6] 국제 공개 제2015/111640호[Patent Document 6] International Publication No. 2015/111640

SPIE Vol. 5039 p1(2003)SPIE Vol. 5039 p1 (2003) SPIE Vol. 6520 p65203L-1(2007)SPIE Vol. 6520 p65203L-1 (2007) SPIE Vol. 7639 p76390W(2010)SPIE Vol. 7639 p76390W (2010)

PPD의 영향을 억제하기 위해서는 켄처의 첨가가 유효하지만, 특허문헌 5 및 6에 기재된 초강염기가 발생하는 염기발생제의 경우, 염기가 발생하는 장소는 노광 영역이다. PEB 후의 실온 방치 중에 있어서의 레지스트막 내의 산 확산은 노광 부분으로부터 미노광 부분으로의 산의 확산이기 때문에, 노광 부분만에 염기성 물질이 발생하는 기구의 켄처에서는, 노광부로부터 미노광부로의 산 확산을 막을 수는 없다.In order to suppress the influence of PPD, the addition of a quencher is effective, but in the case of the base generator in which the super strong base is generated as described in Patent Documents 5 and 6, the base is the exposure region. Since the diffusion of acid in the resist film during the room temperature after PEB is diffusion of the acid from the exposed portion to the unexposed portion, in the case of a mechanism where a basic substance is generated only in the exposed portion, acid diffusion from the exposed portion to the unexposed portion Can not be prevented.

아민 켄처, 술폰산이나 카르복실산의 술포늄염이나 요오도늄염 등의 켄처는 염기성이 높아, 미노광 부분에 있어서의 산 확산의 억제 효과가 높지만, 아직 성능이 불충분하다. 아민 켄처, 술폰산이나 카르복실산의 술포늄염이나 요오도늄염 등의 켄처보다도 실온에서의 산 확산을 억제할 수 있고, 나아가서는, 용해 콘트라스트가 높고, 또한 에지 러프니스(LWR)를 저감시키는 켄처의 개발이 요구되고 있다.A quencher such as an amine quencher, a sulfonic acid or a carboxylic acid sulfonium salt or an iodonium salt has a high basicity and has an effect of suppressing acid diffusion in the unexposed portion, but the performance is still insufficient. It is possible to suppress the acid diffusion at room temperature rather than the quench of a sulfonium salt or an iodonium salt of a sulfonic acid or a carboxylic acid, and further to provide a quencher having a high dissolution contrast and a reduced edge roughness (LWR) Development is required.

본 발명은 상기 사정을 감안하여 이루어진 것으로, 포지티브형 레지스트 재료에 있어서도 네거티브형 레지스트 재료에 있어서도 용해 콘트라스트가 크고, 또한 LWR을 작게 할 수 있으며, PPD에 있어서도 치수 변화가 일어나는 일이 없는 레지스트 재료 및 이것을 이용하는 패턴 형성 방법을 제공하는 것을 목적으로 한다.DISCLOSURE OF THE INVENTION The present invention has been made in view of the above circumstances, and it is an object of the present invention to provide a resist composition which has high dissolution contrast and low LWR even in a positive resist material and negative resist material, And a method of forming a pattern to be used.

본 발명자들은 상기 목적을 달성하기 위해 예의 검토를 거듭한 결과, 소정의 비구아니드염 화합물을 켄처로서 이용함으로써, LWR이 작고, 용해 콘트라스트가 높으며, PPD에 있어서도 치수 변화가 일어나지 않는 레지스트막을 얻을 수 있는 것을 발견하여 본 발명을 완성시켰다.DISCLOSURE OF THE INVENTION The inventors of the present invention have made intensive investigations in order to achieve the above object and as a result have found that a resist film having a small LWR and a high dissolution contrast and having no dimensional change in PPD can be obtained by using a predetermined oligomer salt compound as a quencher And finally completed the present invention.

따라서, 본 발명은 하기 레지스트 재료 및 이것을 이용한 패턴 형성 방법을 제공한다.Accordingly, the present invention provides the following resist material and a pattern forming method using the same.

1. 베이스 폴리머 및 하기 식 (A)로 표시되는 비구아니드염 화합물을 포함하는 레지스트 재료.1. A resist material comprising a base polymer and an asymmetric salt compound represented by the following formula (A).

Figure pat00001
Figure pat00001

[식 중, R1∼R8은 각각 독립적으로 수소 원자, 탄소수 1∼24의 직쇄상, 분기상 혹은 환상의 알킬기, 탄소수 2∼24의 직쇄상, 분기상 혹은 환상의 알케닐기, 탄소수 2∼24의 직쇄상, 분기상 혹은 환상의 알키닐기, 또는 탄소수 6∼20의 아릴기이며, 이들 중에 에스테르기, 에테르기, 술피드기, 술폭시드기, 카보네이트기, 카바메이트기, 술폰기, 아미노기, 아미드기, 히드록시기, 티올기, 니트로기 또는 할로겐 원자를 포함하고 있어도 좋고, R1과 R2, R2와 R3, R3과 R4, R5와 R6, R6과 R7, 또는 R7과 R8이 결합하여 고리를 형성하여도 좋으며, 이 고리 중에 에테르 결합을 포함하고 있어도 좋다. A-는 수산화물 이온, 염소 이온, 브롬 이온, 요오드 이온, 질산 이온, 아질산 이온, 염소산 이온, 아염소산 이온, 과염소산 이온, 탄산수소 이온, 인산이수소 이온, 황산수소 이온, 티오시안산 이온, 옥살산수소 이온, 시안화물 이온, 요오드산 이온, 또는 하기 식 (M-1) 혹은 (M-2)로 표시되는 음이온이다.Wherein R 1 to R 8 each independently represent a hydrogen atom, a straight-chain, branched or cyclic alkyl group having 1 to 24 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 24 carbon atoms, An aryl group, an ether group, a sulfide group, a sulfoxide group, a carbonate group, a carbamate group, a sulfone group, an amino group R 1 and R 2 , R 2 and R 3 , R 3 and R 4 , R 5 and R 6 , R 6 and R 7 , R 6 , R 7 and R 8 are the same as or different from each other , Or R 7 and R 8 may combine to form a ring, and the ring may contain an ether bond. A - is a cation selected from the group consisting of hydroxide ion, chloride ion, bromide ion, iodide ion, nitrate ion, nitrite ion, chlorate ion, chlorite ion, perchlorate ion, hydrogen carbonate ion, hydrogenphosphate ion, A hydrogen ion, a cyanide ion, an iodic acid ion, or an anion represented by the following formula (M-1) or (M-2).

Figure pat00002
Figure pat00002

(식 중, R9는 수소 원자, 탄소수 1∼30의 직쇄상, 분기상 혹은 환상의 알킬기, 탄소수 2∼30의 직쇄상, 분기상 혹은 환상의 알케닐기, 탄소수 2∼30의 직쇄상, 분기상 혹은 환상의 알키닐기, 탄소수 6∼20의 아릴기, 탄소수 7∼20의 아랄킬기, 또는 탄소수 3∼20의 방향족 혹은 지방족 복소환 함유기이며, 이들 기 중에 에스테르기, 에테르기, 술피드기, 술폭시드기, 카보네이트기, 카바메이트기, 술폰기, 아미노기, 아미드기, 히드록시기, 티올기, 니트로기, 할로겐 원자를 포함하고 있어도 좋지만, R9는 하기 식 (A)-1(Wherein R 9 represents a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 30 carbon atoms, a linear, An aralkyl group having 6 to 20 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or an aromatic or aliphatic heterocyclic containing group having 3 to 20 carbon atoms. Among these groups, an ester group, an ether group, a sulfide group R 9 is a group represented by the following formula (A) -1 (wherein R 1 is a hydrogen atom, a halogen atom,

Figure pat00003
Figure pat00003

(식 중, Ar은 탄소수 6∼16의 방향족기이며, R12 및 R13은 각각 독립적으로 수소 원자, 히드록시기, 알콕시기, 탄소수 1∼6의 직쇄상, 분기상 혹은 환상의 알킬기, 또는 탄소수 6∼10의 아릴기이다.)(Wherein Ar is an aromatic group having 6 to 16 carbon atoms; R 12 and R 13 are each independently a hydrogen atom, a hydroxyl group, an alkoxy group, a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms, Lt; / RTI >

로 표시되는 기는 포함하지 않는다. R10은 불소 원자, 탄소수 1∼10의 직쇄상, 분기상 혹은 환상의 불소화 알킬기, 또는 불소화 페닐기이며, 히드록시기, 에테르기, 에스테르기, 알콕시기를 포함하고 있어도 좋다. R11은 수소 원자, 탄소수 1∼10의 직쇄상, 분기상 혹은 환상의 알킬기, 탄소수 2∼10의 직쇄상, 분기상 혹은 환상의 알케닐기, 탄소수 2∼10의 직쇄상 혹은 분기상의 알키닐기, 또는 탄소수 6∼10의 아릴기이며, 히드록시기, 에테르기, 에스테르기, 알콕시기를 포함하고 있어도 좋다.)]Quot; is not included. R 10 is a fluorine atom, a linear, branched or cyclic fluorinated alkyl group having 1 to 10 carbon atoms, or a fluorinated phenyl group, and may contain a hydroxyl group, an ether group, an ester group or an alkoxy group. R 11 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 10 carbon atoms, a straight or branched alkynyl group having 2 to 10 carbon atoms, Or an aryl group having 6 to 10 carbon atoms, which may contain a hydroxyl group, an ether group, an ester group or an alkoxy group)

2. 술폰산, 술폰이미드 또는 술폰메티드를 발생시키는 산발생제를 더 포함하는 1에 기재된 레지스트 재료.2. The resist material according to 1, further comprising an acid generator which generates a sulfonic acid, a sulfonimide or a sulfonimide.

3. 유기 용제를 더 포함하는 1 또는 2에 기재된 레지스트 재료.3. A resist material according to 1 or 2, further comprising an organic solvent.

4. 상기 베이스 폴리머가, 하기 식 (a1)로 표시되는 반복 단위 또는 하기 식 (a2)로 표시되는 반복 단위를 포함하는 것인 1∼3 중 어느 하나에 기재된 레지스트 재료.4. The resist material according to any one of 1 to 3, wherein the base polymer comprises a repeating unit represented by the following formula (a1) or a repeating unit represented by the following formula (a2).

Figure pat00004
Figure pat00004

(식 중, R31 및 R33은 각각 독립적으로 수소 원자 또는 메틸기이다. R32 및 R34는 각각 독립적으로 산불안정기이다. X는 단결합, 에스테르기, 페닐렌기, 나프틸렌기, 또는 락톤환을 포함하는 탄소수 1∼12의 연결기이다. Y는 단결합 또는 에스테르기이다.)Wherein R 31 and R 33 are each independently a hydrogen atom or a methyl group, R 32 and R 34 are each independently an acid labile group, X is a single bond, an ester group, a phenylene group, a naphthylene group, And Y is a single bond or an ester group.)

5. 용해 저지제를 더 포함하는 4에 기재된 레지스트 재료.5. A resist material according to 4, further comprising a dissolution inhibitor.

6. 화학 증폭 포지티브형 레지스트 재료인 4 또는 5에 기재된 레지스트 재료.6. A resist material as described in 4 or 5, which is a chemically amplified positive resist material.

7. 상기 베이스 폴리머가 산불안정기를 포함하지 않는 것인 1∼3 중 어느 하나에 기재된 레지스트 재료.7. The resist material according to any one of 1 to 3, wherein the base polymer does not contain an acid labile group.

8. 가교제를 더 포함하는 7에 기재된 레지스트 재료.8. The resist material according to 7, further comprising a crosslinking agent.

9. 화학 증폭 네거티브형 레지스트 재료인 7 또는 8에 기재된 레지스트 재료.9. A resist material as described in 7 or 8 which is a chemically amplified negative resist material.

10. 상기 베이스 폴리머가, 하기 식 (f1)∼(f3)으로 표시되는 반복 단위에서 선택되는 적어도 하나의 반복 단위를 더 포함하는 1∼9 중 어느 하나에 기재된 레지스트 재료.10. The resist material according to any one of 1 to 9, wherein the base polymer further comprises at least one repeating unit selected from the repeating units represented by the following formulas (f1) to (f3).

Figure pat00005
Figure pat00005

(식 중, R51, R55 및 R59는 각각 독립적으로 수소 원자 또는 메틸기이다. R52는 단결합, 페닐렌기, -O-R63- 또는 -C(=O)-Y1-R63-이고, Y1은 -O- 또는 -NH-이며, R63은 카르보닐기, 에스테르기, 에테르기 혹은 히드록시기를 포함하고 있어도 좋은 탄소수 1∼6의 직쇄상, 분기상 혹은 환상의, 알킬렌기 혹은 알케닐렌기, 또는 페닐렌기이다. R53, R54, R56, R57, R58, R60, R61 및 R62는 각각 독립적으로 카르보닐기, 에스테르기 혹은 에테르기를 포함하고 있어도 좋은 탄소수 1∼12의 직쇄상, 분기상 혹은 환상의 알킬기, 또는 탄소수 6∼12의 아릴기, 탄소수 7∼20의 아랄킬기, 혹은 머캅토페닐기이다. A1은 단결합, -A0-C(=O)-O-, -A0-O- 또는 -A0-O-C(=O)-이고, A0은 카르보닐기, 에스테르기 또는 에테르기를 포함하고 있어도 좋은 탄소수 1∼12의 직쇄상, 분기상 또는 환상의 알킬렌기이다. A2는 수소 원자 또는 트리플루오로메틸기이다. Z1은 단결합, 메틸렌기, 에틸렌기, 페닐렌기, 불소화된 페닐렌기, -O-R64- 또는 -C(=O)-Z2-R64-이고, Z2는 -O- 또는 -NH-이며, R64는 카르보닐기, 에스테르기, 에테르기 혹은 히드록시기를 포함하고 있어도 좋은 탄소수 1∼6의 직쇄상, 분기상 혹은 환상의, 알킬렌기 혹은 알케닐렌기, 또는 페닐렌기, 불소화된 페닐렌기, 혹은 트리플루오로메틸기로 치환된 페닐렌기이다. M-는 비구핵성 대향 이온을 나타낸다. f1, f2 및 f3은 0≤f1≤0.5, 0≤f2≤0.5, 0≤f3≤0.5 및 0<f1+f2+f3≤0.5를 만족하는 양수이다.)(Wherein R 51 , R 55 and R 59 are each independently a hydrogen atom or a methyl group, R 52 is a single bond, a phenylene group, -OR 63 - or -C (= O) -Y 1 -R 63 - , Y 1 is -O- or -NH-, and R 63 is a linear, branched or cyclic, alkylene or alkenylene group having 1 to 6 carbon atoms which may contain a carbonyl group, an ester group, an ether group or a hydroxy group a, or a phenylene group. R 53, R 54, R 56, R 57, R 58, R 60, R 61 and R 62 are each independently a carbonyl group, a straight good having 1 to 12 carbon atoms optionally containing an ester group or ether chain, branched or cyclic alkyl group, or aryl group having a carbon number of 6-12, an aralkyl group, or mercapto group of a carbon number of 7~20. a 1 represents a single bond, -A 0 -C (= O) -O- , -A 0 -O- or -A 0 -OC (= O) -, and A 0 represents a straight, branched or cyclic alkyl group having 1 to 12 carbon atoms which may contain a carbonyl group, an ester group or an ether group .. It is the alkylene group A 2 is a hydrogen atom or a trifluoromethyl group Z 1 is a single bond, a methylene group, an ethylene group, a phenylene group, a fluorinated phenyl group, -OR 64 -, or -C (= O) -Z 2 -R 64 -, Z 2 is -O- or -NH-, and R 64 is a straight, branched or cyclic, straight, branched or cyclic C 1-6 carbon atom which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, an alkyl group or an alkenylene group, or a phenylene group, a fluorinated phenyl substituted with a methyl group as a group, or a trifluorophenyl group M -.. represents a non-nucleophilic counter ion is f1, f2 and f3 are 0≤f1≤0.5, 0? F2? 0.5, 0? F3? 0.5 and 0 <f1 + f2 + f3? 0.5.

11. 계면활성제를 더 포함하는 1∼10 중 어느 하나에 기재된 레지스트 재료.11. A resist composition according to any one of 1 to 10, which further comprises a surfactant.

12. 1∼11 중 어느 하나에 기재된 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후, 고에너지선으로 노광하는 공정과, 현상액을 이용하여 현상하는 공정을 포함하는 패턴 형성 방법.12. A pattern forming method comprising a step of applying a resist material described in any one of 1 to 11 on a substrate, a step of exposing the substrate to a high energy beam after the heat treatment, and a step of developing the resist material by using a developer.

13. 상기 고에너지선이 파장 193 nm의 ArF 엑시머 레이저 또는 파장 248 nm의 KrF 엑시머 레이저인 12에 기재된 패턴 형성 방법.13. The pattern forming method according to 12, wherein the high energy ray is an ArF excimer laser having a wavelength of 193 nm or a KrF excimer laser having a wavelength of 248 nm.

14. 상기 고에너지선이 EB 또는 파장 3∼15 nm의 EUV인 12에 기재된 패턴 형성 방법.14. The pattern forming method according to 12, wherein the high energy beam is EB or EUV having a wavelength of 3 to 15 nm.

상기 비구아니드염 화합물을 포함하는 레지스트막은, 산 확산을 억제하는 효과가 높고, 용해 콘트라스트가 높기 때문에, 알칼리 현상에 있어서의 포지티브형 레지스트막, 네거티브형 레지스트막 및 유기 용제 현상에 있어서의 네거티브 레지스트막으로서 우수한 해상성과 넓은 포커스 마진을 가지며, LWR이 작고, PPD에 있어서도 치수 변화가 일어나지 않는다.Since the resist film containing the biguanide salt compound has a high effect of suppressing acid diffusion and has a high dissolution contrast, the positive resist film in the alkali development, the negative resist film, and the negative resist film And has a good resolution and a wide focus margin. The LWR is small, and the dimensional change does not occur in the PPD.

[레지스트 재료][Resist material]

본 발명의 레지스트 재료는, 베이스 폴리머 및 소정의 비구아니드염 화합물을 포함한다. 상기 비구아니드염 화합물은, 산발생제로부터 발생한 술폰산, 술폰이미드 또는 술폰메티드, 특히는 불소화된 알킬기를 포함하는 술폰산, 비스술폰이미드 또는 트리스술폰메티드와 이온 교환을 일으켜 염을 형성하고, 카르복실산 또는 술폰아미드를 방출한다. 비구아니드의 염기성은 매우 높기 때문에, 산의 포집 능력과 산 확산을 억제하는 효과가 높다. 상기 비구아니드염 화합물은 감광성이 없어, 광에 의해 비구아니드가 생성되는 일이 없고, 미노광 부분이라도 충분한 산을 보충할 능력이 있다. 따라서 노광부로부터 미노광부로의 산의 확산을 억제할 수 있다.The resist material of the present invention includes a base polymer and a predetermined beginate salt compound. The above-mentioned biguanide salt compounds undergo ion exchange with a sulfonic acid, a sulfonimide or a sulfonimide generated from an acid generator, particularly a sulfonic acid containing a fluorinated alkyl group, a bissulfoneimide or a trisulfonimide to form a salt , Carboxylic acid or sulfonamide. Since the basicity of BIGUANIDE is very high, the effect of suppressing acid trapping ability and acid diffusion is high. The above-mentioned biguanide salt compound has no photosensitivity and does not generate an anhydride by light, and even an unexposed portion can sufficiently replenish the acid. Therefore, the diffusion of the acid from the exposed portion to the unexposed portion can be suppressed.

본 발명의 레지스트 재료에는, 상기 비구아니드염 화합물 이외에, 다른 아민 화합물, 암모늄염, 술포늄염 또는 요오도늄염을 켄처로서 별도 첨가하여도 좋다. 이 때, 켄처로서 첨가하는 암모늄염, 술포늄염 또는 요오도늄염으로서는, 카르복실산, 술폰산, 술폰아미드 및 사카린의 술포늄염 또는 요오도늄염이 적당하다. 이 때의 카르복실산은, α 위치가 불소화되어 있어도 좋고 불소화되어 있지 않아도 좋다.In the resist material of the present invention, other amine compound, ammonium salt, sulfonium salt or iodonium salt may be added as a quencher in addition to the above-mentioned biguanide salt compound. As the ammonium salt, sulfonium salt or iodonium salt to be added as a quencher at this time, sulfonium salts or iodonium salts of carboxylic acid, sulfonic acid, sulfonamide and saccharin are suitable. At this time, the carboxylic acid may be fluorinated or not fluorinated at the? -Position.

상기 비구아니드염 화합물에 의한 산 확산 억제 효과 및 콘트라스트 향상 효과는, 알칼리 현상에 의한 포지티브 패턴 형성이나 네거티브 패턴 형성에 있어서도, 유기 용제 현상에 있어서의 네거티브 패턴 형성의 어느 쪽에 있어서도 유효하다.The acid diffusion inhibiting effect and contrast enhancement effect by the above-described beguinide salt compound are effective both in the positive pattern formation by the alkali development, in the negative pattern formation, and in the negative pattern formation in the organic solvent development.

[비구아니드염 화합물][Biguanide salt compound]

본 발명의 레지스트 재료에 포함되는 비구아니드염 화합물은, 하기 식 (A)로 표시되는 것이다.The asymmetric salt compound contained in the resist material of the present invention is represented by the following formula (A).

Figure pat00006
Figure pat00006

식 (A) 중, R1∼R8은 각각 독립적으로 수소 원자, 탄소수 1∼24의 직쇄상, 분기상 혹은 환상의 알킬기, 탄소수 2∼24의 직쇄상, 분기상 혹은 환상의 알케닐기, 탄소수 2∼24의 직쇄상, 분기상 혹은 환상의 알키닐기, 또는 탄소수 6∼20의 아릴기이며, 이들 중에 에스테르기, 에테르기, 술피드기, 술폭시드기, 카보네이트기, 카바메이트기, 술폰기, 아미노기, 아미드기, 히드록시기, 티올기, 니트로기 또는 할로겐 원자를 포함하고 있어도 좋고, R1과 R2, R2와 R3, R3과 R4, R5와 R6, R6과 R7, 또는 R7과 R8이 결합하여 고리를 형성하여도 좋으며, 이 고리 중에 에테르 결합을 포함하고 있어도 좋다.In the formula (A), R 1 to R 8 each independently represent a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 24 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 24 carbon atoms, Branched or cyclic alkynyl group having from 2 to 24 carbon atoms or an aryl group having from 6 to 20 carbon atoms, and an ester group, an ether group, a sulfide group, a sulfoxide group, a carbonate group, a carbamate group, R 1 and R 2 , R 2 and R 3 , R 3 and R 4 , R 5 and R 6 , R 6 and R 7 may be the same or different and each independently represent a hydrogen atom, 7 or R &lt; 7 &gt; and R &lt; 8 &gt; may be combined to form a ring, and the ring may contain an ether bond.

식 (A) 중, A-는 수산화물 이온, 염소 이온, 브롬 이온, 요오드 이온, 질산 이온, 아질산 이온, 염소산 이온, 아염소산 이온, 과염소산 이온, 탄산수소 이온, 인산이수소 이온, 황산수소 이온, 티오시안산 이온, 옥살산수소 이온, 시안화물 이온, 요오드산 이온, 또는 하기 식 (M-1) 혹은 (M-2)로 표시되는 음이온이다.In the formula (A), A - represents a cation selected from the group consisting of hydroxide ion, chloride ion, bromide ion, iodide ion, nitrate ion, nitrite ion, chlorate ion, chlorite ion, perchlorate ion, (M-1) or (M-2) shown below. The anion represented by the following formula (M-1) or (M-2)

Figure pat00007
Figure pat00007

[식 (M-1) 중, R9는 수소 원자, 탄소수 1∼30의 직쇄상, 분기상 혹은 환상의 알킬기, 탄소수 2∼30의 직쇄상, 분기상 혹은 환상의 알케닐기, 탄소수 2∼30의 직쇄상, 분기상 혹은 환상의 알키닐기, 탄소수 6∼20의 아릴기, 탄소수 7∼20의 아랄킬기, 또는 탄소수 3∼20의 방향족 혹은 지방족 복소환 함유기이고, 이들 기 중에 에스테르기, 에테르기, 술피드기, 술폭시드기, 카보네이트기, 카바메이트기, 술폰기, 아미노기, 아미드기, 히드록시기, 티올기, 니트로기, 할로겐 원자를 포함하고 있어도 좋지만, R9는 하기 식 (A)-1Wherein R 9 represents a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 30 carbon atoms, a linear or branched alkyl group having 2 to 30 carbon atoms, Branched or cyclic alkynyl group, an aryl group having 6 to 20 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or an aromatic or aliphatic heterocyclic containing group having 3 to 20 carbon atoms. Among these groups, an ester group, an ether R 9 is a group represented by the following formulas (A) - (B), a sulfide group, a sulfoxide group, a carbonate group, a carbamate group, a sulfone group, an amino group, an amide group, a hydroxyl group, a thiol group, a nitro group and a halogen atom. One

Figure pat00008
Figure pat00008

(식 중, Ar은 탄소수 6∼16의 방향족기이고, R12 및 R13은 각각 독립적으로 수소 원자, 히드록시기, 알콕시기, 탄소수 1∼6의 직쇄상, 분기상 혹은 환상의 알킬기, 또는 탄소수 6∼10의 아릴기이다.)(Wherein Ar is an aromatic group having 6 to 16 carbon atoms; R 12 and R 13 are each independently a hydrogen atom, a hydroxyl group, an alkoxy group, a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms, Lt; / RTI &gt;

로 표시되는 기는 포함하지 않는다. 식 (M-2) 중, R10은 불소 원자, 탄소수 1∼10의 직쇄상, 분기상 혹은 환상의 불소화 알킬기, 또는 불소화 페닐기이고, 히드록시기, 에테르기, 에스테르기, 알콕시기를 포함하고 있어도 좋다. R11은 수소 원자, 탄소수 1∼10의 직쇄상, 분기상 혹은 환상의 알킬기, 탄소수 2∼10의 직쇄상, 분기상 혹은 환상의 알케닐기, 탄소수 2∼10의 직쇄상 혹은 분기상의 알키닐기, 또는 탄소수 6∼10의 아릴기이고, 히드록시기, 에테르기, 에스테르기, 알콕시기를 포함하고 있어도 좋다.]Quot; is not included. In the formula (M-2), R 10 may be a fluorine atom, a linear, branched or cyclic fluorinated alkyl group having 1 to 10 carbon atoms, or a fluorinated phenyl group, and may contain a hydroxyl group, an ether group, an ester group or an alkoxy group. R 11 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 10 carbon atoms, a straight or branched alkynyl group having 2 to 10 carbon atoms, Or an aryl group having 6 to 10 carbon atoms, which may contain a hydroxyl group, an ether group, an ester group or an alkoxy group.

식 (M-1)로 표시되는 카르복실산염의 음이온으로서는, 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. Examples of the anion of the carboxylate represented by the formula (M-1) include, but are not limited to, the following.

Figure pat00009
Figure pat00009

Figure pat00010
Figure pat00010

Figure pat00011
Figure pat00011

Figure pat00012
Figure pat00012

Figure pat00013
Figure pat00013

Figure pat00014
Figure pat00014

Figure pat00015
Figure pat00015

Figure pat00016
Figure pat00016

식 (M-2)로 표시되는 술폰아미드염의 음이온으로서는, 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. Examples of the anion of the sulfonamide salt represented by the formula (M-2) include, but are not limited to, the following.

Figure pat00017
Figure pat00017

Figure pat00018
Figure pat00018

Figure pat00019
Figure pat00019

Figure pat00020
Figure pat00020

Figure pat00021
Figure pat00021

Figure pat00022
Figure pat00022

Figure pat00023
Figure pat00023

Figure pat00024
Figure pat00024

Figure pat00025
Figure pat00025

식 (A)로 표시되는 비구아니드염 화합물의 양이온으로서는, 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. The cation of the asymmetric salt salt compound represented by the formula (A) includes, but is not limited to, the following.

Figure pat00026
Figure pat00026

Figure pat00027
Figure pat00027

Figure pat00028
Figure pat00028

상기 양이온화한 비구아니드의 플러스 전하는, 5개의 질소 원자에 비국재화하고 있다. 이 때문에, 술폰산, 술폰이미드, 술폰메티드의 음이온을 트랩하여 중화하는 포인트가 도처에 존재하고, 이것에 의해 신속하게 음이온을 트랩할 수 있다. 비구아니드는, 높은 염기성도에 맞춰 높은 트랩능을 갖고 있는 우수한 켄처이다.The positive charge of the cationized acetonide is localized to five nitrogen atoms. For this reason, there are points for trapping and neutralizing anions of sulfonic acid, sulfonimide, and sulfonimide everywhere, so that anions can be quickly trapped. BGG is an excellent retainer with high trapping ability for high basicity.

식 (A)로 표시되는 비구아니드염 화합물의 합성 방법으로서는, 예컨대, 구아니딘류 및 카르보디이미드류를 반응시킴으로써 얻어진 비구아니드 화합물과, 카르복실산 또는 술폰아미드를 혼합하는 방법을 들 수 있다. 이러한 방법은, 예컨대, 국제 공개 제2015/111640호에 상세히 게재되어 있다.Examples of the method for synthesizing an acetonide salt compound represented by the formula (A) include a method of mixing a carboxylic acid or a sulfonamide with an acetonide compound obtained by reacting guanidines and carbodiimides. Such a method is described in detail, for example, in International Publication No. 2015/111640.

본 발명의 레지스트 재료에 있어서의, 식 (A)로 표시되는 비구아니드염 화합물의 함유량은, 감도와 산 확산 억제 효과의 면에서, 베이스 폴리머 100 질량부에 대하여, 0.001∼50 질량부가 바람직하고, 0.01∼20 질량부가 보다 바람직하다.The content of the asymmetric salt compound represented by the formula (A) in the resist composition of the present invention is preferably 0.001 to 50 parts by mass relative to 100 parts by mass of the base polymer in terms of sensitivity and acid diffusion suppressing effect, More preferably 0.01 to 20 parts by mass.

[베이스 폴리머][Base polymer]

본 발명의 레지스트 재료에 포함되는 베이스 폴리머는, 포지티브형 레지스트 재료의 경우, 산불안정기를 포함하는 반복 단위를 포함한다. 산불안정기를 포함하는 반복 단위로서는, 하기 식 (a1)로 표시되는 반복 단위(이하, 반복 단위 a1이라고 함), 또는 식 (a2)로 표시되는 반복 단위(이하, 반복 단위 a2라고 함)가 바람직하다.The base polymer included in the resist material of the present invention includes a repeating unit containing an acid labile group in the case of a positive resist composition. The repeating unit containing an acid labile group is preferably a repeating unit represented by the following formula (a1) (hereinafter referred to as a repeating unit a1) or a repeating unit represented by the formula (a2) (hereinafter referred to as a repeating unit a2) Do.

Figure pat00029
Figure pat00029

식 중, R31 및 R33은 각각 독립적으로 수소 원자 또는 메틸기이다. R32 및 R34는 각각 독립적으로 산불안정기이다. X는 단결합, 에스테르기, 페닐렌기, 나프틸렌기, 또는 락톤환을 포함하는 탄소수 1∼12의 연결기이지만, 단결합, 페닐렌기 또는 나프틸렌기가 바람직하다. Y는 단결합 또는 에스테르기이지만, 단결합이 바람직하다.Wherein R 31 and R 33 are each independently a hydrogen atom or a methyl group. R 32 and R 34 are each independently an acid labile group. X is a linking group having 1 to 12 carbon atoms including a single bond, an ester group, a phenylene group, a naphthylene group or a lactone ring, but a single bond, a phenylene group or a naphthylene group is preferable. Y is a single bond or an ester group, but a single bond is preferable.

반복 단위 a1로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. 또한, 하기 식 중, R31 및 R32는 상기와 동일하다.The repeating unit a1 includes, but is not limited to, those shown below. In the following formulas, R 31 and R 32 are as defined above.

Figure pat00030
Figure pat00030

반복 단위 a1 및 a2 중의 R32 및 R34로 표시되는 산불안정기로서는 여러 가지가 선정되지만, 예컨대, 일본 특허 공개 제2013-80033호 공보나 일본 특허 공개 제2013-83821호 공보에 기재된 산불안정기를 이용할 수 있다.As the acid labile groups represented by R 32 and R 34 in the repeating units a 1 and a 2, various acid labile groups are selected. For example, the acid labile groups described in JP-A-2013-80033 and JP-A-2013-83821 can be used .

전형적으로는, 상기 산불안정기로서는 하기 식 (AL-1)∼식 (AL-3)으로 표시되는 것을 들 수 있다.Typically, the acid labile groups represented by the following formulas (AL-1) to (AL-3) may be mentioned.

Figure pat00031
Figure pat00031

식 (AL-1) 및 식 (AL-2) 중, R35 및 R38은 탄소수 1∼40, 특히 1∼20의 직쇄상, 분기상 또는 환상의 알킬기 등의 1가 탄화수소기이고, 산소 원자, 황 원자, 질소 원자, 불소 원자 등의 헤테로 원자를 포함하고 있어도 좋다. R36 및 R37은 각각 독립적으로 수소 원자, 또는 탄소수 1∼20의 직쇄상, 분기상 혹은 환상의 알킬기 등의 1가 탄화수소기이고, 산소 원자, 황 원자, 질소 원자, 불소 원자 등의 헤테로 원자를 포함하고 있어도 좋다. A1은 0∼10, 특히 1∼5의 정수이다. R36과 R37, R36과 R38, 또는 R37과 R38은 서로 결합하여 이들이 결합하는 탄소 원자 또는 탄소 원자와 산소 원자와 함께 탄소수 3∼20, 바람직하게는 4∼16의 고리, 특히 지환을 형성하여도 좋다.In the formulas (AL-1) and (AL-2), R 35 and R 38 are monovalent hydrocarbon groups of 1 to 40 carbon atoms, particularly 1 to 20 carbon atoms, such as straight, branched, or cyclic alkyl groups, , A sulfur atom, a nitrogen atom, and a fluorine atom. R 36 and R 37 are each independently a hydrogen atom or a monovalent hydrocarbon group such as a straight, branched or cyclic alkyl group of 1 to 20 carbon atoms, and is a hetero atom such as an oxygen atom, a sulfur atom, a nitrogen atom or a fluorine atom . A1 is an integer of 0 to 10, particularly 1 to 5; R 36 and R 37 , R 36 and R 38 , or R 37 and R 38 are bonded to each other to form a carbon atom or a ring having 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms, Or an alicyclic ring may be formed.

식 (AL-3) 중, R39, R40 및 R41은 각각 독립적으로 탄소수 1∼20의 직쇄상, 분기상 또는 환상의 알킬기 등의 1가 탄화수소기이고, 산소 원자, 황 원자, 질소 원자, 불소 원자 등의 헤테로 원자를 포함하고 있어도 좋다. R39와 R40, R39와 R41, 또는 R40과 R41은 서로 결합하여 이들이 결합하는 탄소 원자와 함께 탄소수 3∼20, 바람직하게는 4∼16의 고리, 특히 지환을 형성하여도 좋다.In the formula (AL-3), R 39 , R 40 and R 41 are each independently a monovalent hydrocarbon group of 1 to 20 carbon atoms such as a linear, branched or cyclic alkyl group and is an oxygen atom, a sulfur atom, , And a hetero atom such as a fluorine atom. R 39 and R 40 , R 39 and R 41 , or R 40 and R 41 may combine with each other to form a ring having 3 to 20 carbon atoms, preferably 4 to 16 carbon atoms, in particular an alicyclic ring, together with the carbon atoms to which they are bonded .

상기 베이스 폴리머는, 밀착성기로서 페놀성 히드록시기를 포함하는 반복 단위 b를 더 포함하여도 좋다. 반복 단위 b를 부여하는 모노머로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다.The base polymer may further contain a repeating unit b containing a phenolic hydroxyl group as a bonding agent. Examples of the monomer giving the repeating unit b include, but are not limited to, the following.

Figure pat00032
Figure pat00032

상기 베이스 폴리머는, 다른 밀착성기로서 페놀성 히드록시기 이외의 히드록시기, 락톤환, 에테르기, 에스테르기, 카르보닐기 또는 시아노기를 포함하는 반복 단위 c를 더 포함하여도 좋다. 반복 단위 c를 부여하는 모노머로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. The base polymer may further contain, as another adhesive group, a repeating unit c containing a hydroxy group other than the phenolic hydroxy group, a lactone ring, an ether group, an ester group, a carbonyl group or a cyano group. Examples of the monomer giving the repeating unit c include, but are not limited to, the following.

Figure pat00033
Figure pat00033

Figure pat00034
Figure pat00034

Figure pat00035
Figure pat00035

Figure pat00036
Figure pat00036

Figure pat00037
Figure pat00037

Figure pat00038
Figure pat00038

Figure pat00039
Figure pat00039

Figure pat00040
Figure pat00040

히드록시기를 포함하는 모노머의 경우, 중합시에 히드록시기를 에톡시에톡시기 등의 산에 의해 탈보호하기 쉬운 아세탈기로 치환해 두고서 중합 후에 약산과 물에 의해 탈보호를 행하여도 좋고, 아세틸기, 포르밀기, 피발로일기 등으로 치환해 두고서 중합 후에 알칼리 가수분해를 행하여도 좋다.In the case of a monomer containing a hydroxyl group, the hydroxy group may be replaced with an acetal group which is easily deprotected by an acid such as an ethoxyethoxy group during polymerization and then deprotected by weak acid and water after polymerization. And the alkali hydrolysis may be carried out after the polymerization by substituting pivaloyl groups with pivaloyl groups.

상기 베이스 폴리머는, 인덴, 벤조푸란, 벤조티오펜, 아세나프틸렌, 크로몬, 쿠마린, 노르보르나디엔 또는 이들의 유도체에서 유래되는 반복 단위 d를 더 포함하여도 좋다. 반복 단위 d를 부여하는 모노머로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다.The base polymer may further include a repeating unit d derived from indene, benzofuran, benzothiophene, acenaphthylene, chromone, coumarin, norbornadiene or a derivative thereof. Examples of the monomer giving the repeating unit d include, but are not limited to, the following.

Figure pat00041
Figure pat00041

상기 베이스 폴리머는, 스티렌, 비닐나프탈렌, 비닐안트라센, 비닐피렌, 메틸렌인단, 비닐피리딘 또는 비닐카르바졸에서 유래되는 반복 단위 e를 더 포함하여도 좋다.The base polymer may further include a repeating unit e derived from styrene, vinyl naphthalene, vinyl anthracene, vinyl pyrene, methylene indane, vinyl pyridine or vinyl carbazole.

상기 베이스 폴리머는, 중합성 탄소-탄소 이중 결합을 포함하는 오늄염에서 유래되는 반복 단위 f를 더 포함하여도 좋다. 일본 특허 공개 제2005-84365호 공보에는, 특정 술폰산이 발생하는 중합성 탄소-탄소 이중 결합을 포함하는 술포늄염이나 요오도늄염이 제안되어 있다. 일본 특허 공개 제2006-178317호 공보에는, 술폰산이 주쇄에 직결된 술포늄염이 제안되어 있다.The base polymer may further contain a repeating unit f derived from an onium salt containing a polymerizable carbon-carbon double bond. Japanese Patent Application Laid-Open No. 2005-84365 proposes a sulfonium salt or an iodonium salt containing a polymerizable carbon-carbon double bond which generates a specific sulfonic acid. Japanese Patent Application Laid-Open No. 2006-178317 proposes a sulfonium salt in which a sulfonic acid is directly bonded to a main chain.

바람직한 반복 단위 f로서는, 하기 식 (f1), (f2) 또는 (f3)으로 표시되는 반복 단위(이하, 각각 반복 단위 f1, 반복 단위 f2, 반복 단위 f3이라고 함)를 들 수 있다. 또한, 반복 단위 f1∼f3은 1종 단독으로 사용하여도 좋고, 2종 이상을 조합하여 사용하여도 좋다.Preferred examples of the repeating unit f include repeating units represented by the following formulas (f1), (f2) and (f3) (hereinafter referred to as repeating units f1, repeating units f2 and repeating units f3). The repeating units f1 to f3 may be used singly or in combination of two or more.

Figure pat00042
Figure pat00042

식 중, R51, R55 및 R59는 각각 독립적으로 수소 원자 또는 메틸기이다. R52는 단결합, 페닐렌기, -O-R63- 또는 -C(=O)-Y1-R63-이고, Y1은 -O- 또는 -NH-이며, R63은 카르보닐기, 에스테르기, 에테르기 혹은 히드록시기를 포함하고 있어도 좋은 탄소수 1∼6의 직쇄상, 분기상 혹은 환상의, 알킬렌기 혹은 알케닐렌기, 또는 페닐렌기이다. R53, R54, R56, R57, R58, R60, R61 및 R62는 각각 독립적으로 카르보닐기, 에스테르기 혹은 에테르기를 포함하고 있어도 좋은 탄소수 1∼12의 직쇄상, 분기상 혹은 환상의 알킬기, 또는 탄소수 6∼12의 아릴기, 탄소수 7∼20의 아랄킬기, 혹은 머캅토페닐기이다. A1은 단결합, -A0-C(=O)-O-, -A0-O- 또는 -A0-O-C(=O)-이고, A0은 카르보닐기, 에스테르기 또는 에테르기를 포함하고 있어도 좋은 탄소수 1∼12의 직쇄상, 분기상 또는 환상의 알킬렌기이다. A2는 수소 원자 또는 트리플루오로메틸기이다. Z1은 단결합, 메틸렌기, 에틸렌기, 페닐렌기, 불소화된 페닐렌기, -O-R64- 또는 -C(=O)-Z2-R64-이고, Z2는 -O- 또는 -NH-이며, R64는 카르보닐기, 에스테르기, 에테르기 혹은 히드록시기를 포함하고 있어도 좋은 탄소수 1∼6의 직쇄상, 분기상 혹은 환상의, 알킬렌기 혹은 알케닐렌기, 또는 페닐렌기, 불소화된 페닐렌기, 혹은 트리플루오로메틸기로 치환된 페닐렌기이다. M-는 비구핵성 대향 이온을 나타낸다. f1, f2 및 f3은 0≤f1≤0.5, 0≤f2≤0.5, 0≤f3≤0.5 및 0<f1+f2+f3≤0.5를 만족하는 양수이다.Wherein R 51 , R 55 and R 59 are each independently a hydrogen atom or a methyl group. R 52 is a single bond, a phenylene group, -OR 63 - or -C (= O) -Y 1 -R 63 -, Y 1 is -O- or -NH- and R 63 is a carbonyl group, Branched or cyclic, alkylene or alkenylene group having 1 to 6 carbon atoms which may contain a hydroxyl group or a hydroxy group, or a phenylene group. R 53 , R 54 , R 56 , R 57 , R 58 , R 60 , R 61 and R 62 each independently represents a linear, branched or cyclic alkyl group having 1 to 12 carbon atoms which may contain a carbonyl group, An aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or a mercaptophenyl group. A 1 is a single bond, -A 0 -C (═O) -O-, -A 0 -O- or -A 0 -OC (═O) -, A 0 includes a carbonyl group, an ester group or an ether group Branched or cyclic alkylene group having 1 to 12 carbon atoms which may be substituted or unsubstituted. A 2 is a hydrogen atom or a trifluoromethyl group. Z 1 represents a single bond, a methylene group, an ethylene group, a phenylene group, a fluorinated phenylene group, -OR 64 - or -C (= O) -Z 2 -R 64 -, Z 2 represents -O- or -NH- , R 64 is a linear, branched or cyclic alkylene or alkenylene group having 1 to 6 carbon atoms which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, or a phenylene group, a fluorinated phenylene group, A phenylene group substituted with a trifluoromethyl group. M - represents an unconjugated counter ion. f1, f2 and f3 are positive numbers satisfying 0? f1? 0.5, 0? f2? 0.5, 0? f3? 0.5 and 0 <f1 + f2 + f3?

반복 단위 f1을 부여하는 모노머로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. 또한, 하기 식 중, M-은 비구핵성 대향 이온을 나타낸다.The monomer giving the repeating unit f1 includes, but is not limited to, the following. In the formula, M - represents an unconjugated counter ion.

Figure pat00043
Figure pat00043

M-로 표시되는 비구핵성 대향 이온으로서는, 염화물 이온, 브롬화물 이온 등의 할라이드 이온, 트리플레이트, 1,1,1-트리플루오로에탄술포네이트, 노나플루오로부탄술포네이트 등의 플루오로알킬술포네이트, 토실레이트, 벤젠술포네이트, 4-플루오로벤젠술포네이트, 1,2,3,4,5-펜타플루오로벤젠술포네이트 등의 아릴술포네이트, 메실레이트, 부탄술포네이트 등의 알킬술포네이트, 비스(트리플루오로메틸술포닐)이미드, 비스(퍼플루오로에틸술포닐)이미드, 비스(퍼플루오로부틸술포닐)이미드 등의 술폰이미드, 트리스(트리플루오로메틸술포닐)메티드, 트리스(퍼플루오로에틸술포닐)메티드 등의 술폰메티드를 들 수 있다.Examples of the non-nucleophilic counter ion represented by M - include halide ions such as chloride ion and bromide ion, fluoroalkylsulfone such as triflate, 1,1,1-trifluoroethanesulfonate and nonafluorobutanesulfonate Alkylsulfonates such as methanesulfonate, butanesulfonate, and the like; arylsulfonates such as benzylsulfonate, naphthylsulfonate, benzylsulfonate, 4-fluorobenzenesulfonate and 1,2,3,4,5-pentafluorobenzenesulfonate; , Bis (trifluoromethylsulfonyl) imide, bis (perfluoroethylsulfonyl) imide and bis (perfluorobutylsulfonyl) imide, and tris (trifluoromethylsulfonyl) ) Methide, and tris (perfluoroethylsulfonyl) methide.

상기 비구핵성 대향 이온으로서는, 또한, 하기 식 (K-1)로 표시되는 α 위치가 플루오로 치환된 술폰산 이온, 하기 식 (K-2)로 표시되는 α 및 β 위치가 플루오로 치환된 술폰산 이온 등을 들 수 있다.As the non-nucleophilic counter ion, a sulfonic acid ion represented by the following formula (K-1) wherein the? -Position is fluoro-substituted, a sulfonic acid ion represented by the following formula (K-2) And the like.

Figure pat00044
Figure pat00044

식 (K-1) 중, R65는 수소 원자, 탄소수 1∼20의 직쇄상, 분기상 혹은 환상의 알킬기, 탄소수 2∼20의 직쇄상, 분기상 혹은 환상의 알케닐기, 또는 탄소수 6∼20의 아릴기이고, 에테르기, 에스테르기, 카르보닐기, 락톤환 또는 불소 원자를 포함하고 있어도 좋다.In the formula (K-1), R 65 represents a hydrogen atom, a straight chain, branched or cyclic alkyl group having 1 to 20 carbon atoms, a straight chain, branched or cyclic alkenyl group having 2 to 20 carbon atoms, An aryl group, an ether group, an ester group, a carbonyl group, a lactone ring or a fluorine atom.

식 (K-2) 중, R66은 수소 원자, 탄소수 1∼30의 직쇄상, 분기상 혹은 환상의 알킬기, 탄소수 1∼30의 직쇄상, 분기상 혹은 환상의 아실기, 탄소수 2∼20의 직쇄상, 분기상 혹은 환상의 알케닐기, 탄소수 6∼20의 아릴기, 또는 탄소수 6∼20의 아릴옥시기이고, 에테르기, 에스테르기, 카르보닐기 또는 락톤환을 포함하고 있어도 좋다.In the formula (K-2), R 66 represents a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a linear, branched or cyclic acyl group having 1 to 30 carbon atoms, Branched or cyclic alkenyl group, an aryl group having 6 to 20 carbon atoms, or an aryloxy group having 6 to 20 carbon atoms, and may contain an ether group, an ester group, a carbonyl group or a lactone ring.

반복 단위 f2를 부여하는 모노머로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. Examples of the monomer giving the repeating unit f2 include, but are not limited to, the following.

Figure pat00045
Figure pat00045

Figure pat00046
Figure pat00046

Figure pat00047
Figure pat00047

Figure pat00048
Figure pat00048

Figure pat00049
Figure pat00049

반복 단위 f3을 부여하는 모노머로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. Examples of the monomer giving the repeating unit f3 include, but are not limited to, the following.

Figure pat00050
Figure pat00050

Figure pat00051
Figure pat00051

폴리머 주쇄에 산발생제를 결합시킴으로써 산 확산을 작게 하고, 산 확산에 의해 흐릿해짐으로 인한 해상성의 저하를 방지할 수 있다. 또한, 산발생제가 균일하게 분산됨으로써 에지 러프니스가 개선된다. 또한, 반복 단위 f1∼f3에서 선택되는 적어도 하나의 반복 단위를 포함하는 베이스 폴리머를 이용하는 경우, 후술하는 광산발생제의 배합을 생략할 수 있다.By bonding an acid generator to the polymer main chain, it is possible to reduce the acid diffusion and prevent degradation of the resolution due to fogging by acid diffusion. In addition, the edge roughness is improved by uniformly dispersing the acid generator. When a base polymer containing at least one repeating unit selected from the repeating units f1 to f3 is used, the mixing of the photoacid generator to be described later can be omitted.

포지티브형 레지스트 재료용의 베이스 폴리머로서는, 산불안정기를 포함하는 반복 단위 a1 또는 a2를 필수로 한다. 이 경우, 반복 단위 a1, a2, b, c, d, e, f1, f2 및 f3의 함유 비율은, 0≤a1<1.0, 0≤a2<1.0, 0<a1+a2<1.0, 0≤b≤0.9, 0≤c≤0.9, 0≤d≤0.8, 0≤e≤0.8, 0≤f1≤0.5, 0≤f2≤0.5 및 0≤f3≤0.5가 바람직하고, 0≤a1≤0.9, 0≤a2≤0.9, 0.1≤a1+a2≤0.9, 0≤b≤0.8, 0≤c≤0.8, 0≤d≤0.7, 0≤e≤0.7, 0≤f1≤0.4, 0≤f2≤0.4 및 0≤f3≤0.4가 보다 바람직하며, 0≤a1≤0.8, 0≤a2≤0.8, 0.1≤a1+a2≤0.8, 0≤b≤0.75, 0≤c≤0.75, 0≤d≤0.6, 0≤e≤0.6, 0≤f1≤0.3, 0≤f2≤0.3 및 0≤f3≤0.3이 더욱 바람직하다. 또한, a1+a2+b+c+d+e+f1+f2+f3=1.0이다.As the base polymer for a positive resist material, a repeating unit a1 or a2 including an acid labile group is essential. In this case, the content ratios of the repeating units a1, a2, b, c, d, e, f1, f2 and f3 satisfy 0? A1 <1.0, 0? A2 <1.0, 0 <a1 + a2 <1.0, ? 0.9, 0? C? 0.9, 0? D? 0.8, 0? E? 0.8, 0? F1? 0.5, 0? F2? 0.5 and 0? F3? 0.5, 0.8, 0? d? 0.7, 0? e? 0.7, 0? f1? 0.4, 0? f2? 0.4 and 0? f3? 0.4, more preferably 0? a1? 0.8, 0? a2? 0.8, 0.1? a1 + a2? 0.8, 0? b? 0.75, 0? c? 0.75, 0? d? 0.6, 0.6, 0? F1? 0.3, 0? F2? 0.3 and 0? F3? 0.3 are more preferable. Further, a1 + a2 + b + c + d + e + f1 + f2 + f3 = 1.0.

한편, 네거티브형 레지스트 재료용의 베이스 폴리머는, 산불안정기가 반드시 필요하지는 않다. 이러한 베이스 폴리머로서는, 반복 단위 b를 포함하고, 필요에 따라 반복 단위 c, d, e, f1, f2 및/또는 f3을 더 포함하는 것을 들 수 있다. 이들 반복 단위의 함유 비율은, 0<b≤1.0, 0≤c≤0.9, 0≤d≤0.8, 0≤e≤0.8, 0≤f1≤0.5, 0≤f2≤0.5 및 0≤f3≤0.5이고, 바람직하게는 0.2≤b≤1.0, 0≤c≤0.8, 0≤d≤0.7, 0≤e≤0.7, 0≤f1≤0.4, 0≤f2≤0.4 및 0≤f3≤0.4, 더욱 바람직하게는 0.3≤b≤1.0, 0≤c≤0.75, 0≤d≤0.6, 0≤e≤0.6, 0≤f1≤0.3, 0≤f2≤0.3 및 0≤f3≤0.3이다. 또한, b+c+d+e+f1+f2+f3=1.0이다.On the other hand, a base polymer for a negative resist material does not necessarily require a fire retardant. Examples of such a base polymer include repeating units b and, if necessary, further containing repeating units c, d, e, f1, f2 and / or f3. The content ratio of these repeating units is 0 <b? 1.0, 0? C? 0.9, 0? D? 0.8, 0? E? 0.8, 0? F1? 0.5, 0? F2? 0.5 and 0? F3? , Preferably 0.2? B? 1.0, 0? C? 0.8, 0? D? 0.7, 0? E? 0.7, 0? F1? 0.4, 0? F2? 0.4 and 0? F3? 0.4, 0.3? B? 1.0, 0? C? 0.75, 0? D? 0.6, 0? E? 0.6, 0? F1? 0.3, 0? F2? 0.3 and 0? F3? 0.3. Further, b + c + d + e + f1 + f2 + f3 = 1.0.

상기 베이스 폴리머를 합성하기 위해서는, 예컨대, 전술한 반복 단위를 부여하는 모노머를, 유기 용제 중, 라디칼 중합개시제를 첨가하여 가열 중합을 행하면 된다.In order to synthesize the base polymer, for example, a monomer for imparting the above-mentioned repeating unit may be subjected to heat polymerization by adding a radical polymerization initiator in an organic solvent.

중합시에 사용하는 유기 용제로서는, 톨루엔, 벤젠, 테트라히드로푸란, 디에틸에테르, 디옥산 등을 들 수 있다. 중합개시제로서는, 2,2'-아조비스이소부티로니트릴(AIBN), 2,2'-아조비스(2,4-디메틸발레로니트릴), 디메틸2,2-아조비스(2-메틸프로피오네이트), 벤조일퍼옥사이드, 라우로일퍼옥사이드 등을 들 수 있다. 중합시의 온도는, 바람직하게는 50∼80℃이다. 반응 시간은, 바람직하게는 2∼100시간, 보다 바람직하게는 5∼20시간이다.Examples of the organic solvent used in the polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, and dioxane. Examples of the polymerization initiator include 2,2'-azobisisobutyronitrile (AIBN), 2,2'-azobis (2,4-dimethylvaleronitrile), dimethyl 2,2-azobis Nate), benzoyl peroxide, and lauroyl peroxide. The temperature at the time of polymerization is preferably 50 to 80 占 폚. The reaction time is preferably 2 to 100 hours, more preferably 5 to 20 hours.

히드록시스티렌이나 히드록시비닐나프탈렌을 공중합하는 경우는, 히드록시스티렌이나 히드록시비닐나프탈렌 대신에 아세톡시스티렌이나 아세톡시비닐나프탈렌을 이용하고, 중합 후 상기 알칼리 가수분해에 의해 아세톡시기를 탈보호하여 히드록시스티렌이나 히드록시비닐나프탈렌으로 하여도 좋다.When hydroxystyrene or hydroxyvinylnaphthalene is copolymerized, acetoxystyrene or acetoxyvinylnaphthalene is used instead of hydroxystyrene or hydroxyvinylnaphthalene, and after the polymerization, the acetoxy group is deprotected by the above-mentioned alkali hydrolysis And hydroxystyrene or hydroxyvinylnaphthalene may be used.

알칼리 가수분해시의 염기로서는 암모니아수, 트리에틸아민 등을 사용할 수 있다. 또한, 반응 온도는, 바람직하게는 -20∼100℃, 보다 바람직하게는 0∼60℃이다. 반응 시간은, 바람직하게는 0.2∼100시간, 보다 바람직하게는 0.5∼20시간이다.As the base upon alkali hydrolysis, ammonia water, triethylamine and the like can be used. The reaction temperature is preferably -20 to 100 占 폚, more preferably 0 to 60 占 폚. The reaction time is preferably 0.2 to 100 hours, more preferably 0.5 to 20 hours.

상기 베이스 폴리머는, 용제로서 테트라히드로푸란(THF)을 이용한 겔 퍼미에이션 크로마토그래피(GPC)에 의한 폴리스티렌 환산 중량 평균 분자량(Mw)이, 바람직하게는 1,000∼500,000, 보다 바람직하게는 2,000∼30,000이다. Mw가 지나치게 작으면 레지스트 재료가 내열성이 뒤떨어지는 것으로 되고, 지나치게 크면 알칼리 용해성이 저하되어, 패턴 형성 후에 풋팅 현상이 발생하기 쉬워진다.The base polymer preferably has a weight average molecular weight (Mw) in terms of polystyrene by gel permeation chromatography (GPC) using tetrahydrofuran (THF) as a solvent, preferably 1,000 to 500,000, more preferably 2,000 to 30,000 . If the Mw is too small, the resist material tends to have poor heat resistance. If the Mw is excessively large, the alkali solubility tends to deteriorate and a footing phenomenon tends to occur after pattern formation.

또한, 상기 베이스 폴리머에 있어서 분자량 분포(Mw/Mn)가 넓은 경우는, 저분자량이나 고분자량의 폴리머가 존재하기 때문에, 노광 후, 패턴 상에 이물이 보이거나 패턴의 형상이 악화되거나 할 우려가 있다. 패턴 룰이 미세화함에 따라, Mw나 분자량 분포의 영향이 커지기 쉽기 때문에, 미세한 패턴 치수에 적합하게 이용되는 레지스트 재료를 얻기 위해서는 상기 베이스 폴리머의 분자량 분포는 1.0∼2.0, 특히 1.0∼1.5로 협분산인 것이 바람직하다.Further, when the molecular weight distribution (Mw / Mn) of the base polymer is wide, there is a fear that foreign matter may be seen on the pattern or the shape of the pattern may deteriorate after exposure, because a polymer having a low molecular weight or a high molecular weight exists have. In order to obtain a resist material suitably used for fine pattern dimensions, the molecular weight distribution of the base polymer is 1.0 to 2.0, particularly 1.0 to 1.5, and the narrow distribution .

상기 베이스 폴리머는, 조성 비율, Mw, 분자량 분포가 상이한 2개 이상의 폴리머를 포함하여도 좋다.The base polymer may include two or more polymers having different composition ratios, Mw, and molecular weight distribution.

[산발생제][Acid generator]

식 (A)로 표시되는 비구아니드염 화합물 및 상기 베이스 폴리머를 포함하는 레지스트 재료에 산발생제를 첨가함으로써, 화학 증폭 포지티브형 레지스트 재료 혹은 화학 증폭 네거티브형 레지스트 재료로서 기능하게 할 수 있다. 상기 산발생제로서는, 예컨대, 활성 광선 또는 방사선에 감응하여 산을 발생시키는 화합물(광산발생제)을 들 수 있다. 광산발생제로서는, 고에너지선 조사에 의해 산을 발생시키는 화합물이라면 어떠한 것이라도 상관없지만, 술폰산, 술폰이미드 또는 술폰메티드를 발생시키는 것이 바람직하다. 적합한 광산발생제로서는 술포늄염, 요오도늄염, 술포닐디아조메탄, N-술포닐옥시이미드, 옥심-O-술포네이트형 산발생제 등이 있다. 광산발생제의 구체예로서는, 일본 특허 공개 제2008-111103호 공보의 단락 [0122]∼[0142]에 기재되어 있는 것을 들 수 있다.By adding an acid generator to the resist composition containing the base polymer and an asymmetric salt compound represented by the formula (A), the resist composition can function as a chemically amplified positive resist or a chemically amplified negative resist. Examples of the acid generator include a compound (photo-acid generator) that generates an acid in response to an actinic ray or radiation. As the photoacid generator, any compound capable of generating an acid by irradiation with high energy radiation may be used, but it is preferable that a sulfonic acid, a sulfonimide or a sulfonimide is generated. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate acid generators, and the like. Specific examples of the photoacid generator include those described in paragraphs [0122] to [0142] of Japanese Patent Application Laid-Open No. 2008-111103.

또한, 광산발생제로서는 하기 식 (1) 또는 식 (2)로 표시되는 것도 적합하게 사용할 수 있다.As the photoacid generator, those represented by the following formula (1) or (2) can also be suitably used.

Figure pat00052
Figure pat00052

식 (1) 중, R101, R102 및 R103은 각각 독립적으로 헤테로 원자를 포함하고 있어도 좋은 탄소수 1∼20의 직쇄상, 분기상 또는 환상의 1가 탄화수소기를 나타낸다. 또한, R101, R102 및 R103 중 어느 2개가 서로 결합하여 이들이 결합하는 황 원자와 함께 고리를 형성하여도 좋다.In the formula (1), R 101 , R 102 and R 103 each independently represents a linear, branched or cyclic monovalent hydrocarbon group of 1 to 20 carbon atoms which may contain a hetero atom. Any two of R 101 , R 102 and R 103 may be bonded to each other to form a ring together with the sulfur atom to which they are bonded.

식 (1) 중, X-는 하기 식 (1A)∼식 (1D)에서 선택되는 음이온을 나타낸다.In the formula (1), X - represents an anion selected from the following formulas (1A) to (1D).

Figure pat00053
Figure pat00053

식 (1A) 중, Rfa는 불소 원자, 또는 헤테로 원자를 포함하고 있어도 좋은 탄소수 1∼40의 직쇄상, 분기상 혹은 환상의 1가 탄화수소기를 나타낸다.Formula (1A) of, R fa is may contain a fluorine atom, or a heteroatom of a great number of carbon atoms from 1 to 40 linear, branched or cyclic hydrocarbon group of 1.

식 (1A)로 표시되는 음이온으로서는 하기 식 (1A')로 표시되는 것이 바람직하다.The anion represented by the formula (1A) is preferably represented by the following formula (1A ').

Figure pat00054
Figure pat00054

식 (1A') 중, R104는 수소 원자 또는 트리플루오로메틸기를 나타내고, 바람직하게는 트리플루오로메틸기이다. R105는 헤테로 원자를 포함하고 있어도 좋은 탄소수 1∼38의 직쇄상, 분기상 또는 환상의 1가 탄화수소기를 나타낸다. 상기 헤테로 원자로서는, 산소 원자, 질소 원자, 황 원자, 할로겐 원자 등이 바람직하고, 산소 원자가 보다 바람직하다. 상기 1가 탄화수소기로서는, 미세 패턴 형성에 있어서 고해상성을 얻는다는 점에서, 특히 탄소수 6∼30인 것이 바람직하다. 상기 1가 탄화수소기로서는, 메틸기, 에틸기, 프로필기, 이소프로필기, 부틸기, 이소부틸기, s-부틸기, t-부틸기, 펜틸기, 네오펜틸기, 시클로펜틸기, 헥실기, 시클로헥실기, 3-시클로헥세닐기, 헵틸기, 2-에틸헥실기, 노닐기, 운데실기, 트리데실기, 펜타데실기, 헵타데실기, 1-아다만틸기, 2-아다만틸기, 1-아다만틸메틸기, 노르보르닐기, 노르보르닐메틸기, 트리시클로데카닐기, 테트라시클로도데카닐기, 테트라시클로도데카닐메틸기, 디시클로헥실메틸기, 이코사닐기, 알릴기, 벤질기, 디페닐메틸기, 테트라히드로푸릴기, 메톡시메틸기, 에톡시메틸기, 메틸티오메틸기, 아세트아미드메틸기, 트리플루오로에틸기, (2-메톡시에톡시)메틸기, 아세톡시메틸기, 2-카르복시-1-시클로헥실기, 2-옥소프로필기, 4-옥소-1-아다만틸기, 3-옥소시클로헥실기 등을 들 수 있다. 또한, 이들 기의 수소 원자의 일부가, 산소 원자, 황 원자, 질소 원자, 할로겐 원자 등의 헤테로 원자 함유기로 치환되어 있어도 좋고, 혹은 이들 기의 일부의 탄소 원자 사이에 산소 원자, 황 원자, 질소 원자 등의 헤테로 원자 함유기가 개재되어 있어도 좋으며, 그 결과, 히드록시기, 시아노기, 카르보닐기, 에테르기, 에스테르기, 술폰산에스테르기, 카보네이트기, 락톤환, 술톤환, 카르복실산무수물, 할로알킬기 등을 포함하고 있어도 좋다.In the formula (1A '), R 104 represents a hydrogen atom or a trifluoromethyl group, preferably a trifluoromethyl group. R 105 represents a linear, branched or cyclic monovalent hydrocarbon group of 1 to 38 carbon atoms which may contain a hetero atom. The hetero atom is preferably an oxygen atom, a nitrogen atom, a sulfur atom or a halogen atom, and more preferably an oxygen atom. The monovalent hydrocarbon group preferably has 6 to 30 carbon atoms, in particular, from the viewpoint of obtaining a high resolution in forming a fine pattern. Examples of the monovalent hydrocarbon group include monovalent hydrocarbon groups such as a methyl group, an ethyl group, a propyl group, an isopropyl group, a butyl group, an isobutyl group, an s-butyl group, a t-butyl group, a pentyl group, a neopentyl group, a cyclopentyl group, An isoamyl group, a 1-adamantyl group, a 1-adamantyl group, a 1-adamantyl group, a 2-ethylhexyl group, An allyl group, a benzyl group, a diphenylsulfonyl group, a benzyl group, a benzyl group, a benzyl group, a benzyl group, a benzyl group, a benzyl group, (2-methoxyethoxy) methyl group, an acetoxymethyl group, a 2-carboxy-1-cyclohexyl group, a 2-methoxyethoxy group, a 2- A 2-oxopropyl group, a 4-oxo-1-adamantyl group, and a 3-oxocyclohexyl group. . A part of the hydrogen atoms of these groups may be substituted with a heteroatom-containing group such as an oxygen atom, a sulfur atom, a nitrogen atom or a halogen atom, or an oxygen atom, a sulfur atom, a nitrogen atom A carbonyl group, an ether group, an ester group, a sulfonate group, a carbonate group, a lactone ring, a sultone ring, a carboxylic acid anhydride, a haloalkyl group and the like may be interposed between the May be included.

식 (1A')로 표시되는 음이온을 포함하는 술포늄염의 합성에 관해서는, 일본 특허 공개 제2007-145797호 공보, 일본 특허 공개 제2008-106045호 공보, 일본 특허 공개 제2009-7327호 공보, 일본 특허 공개 제2009-258695호 공보 등에 상세히 기재되어 있다. 또한, 일본 특허 공개 제2010-215608호 공보, 일본 특허 공개 제2012-41320호 공보, 일본 특허 공개 제2012-106986호 공보, 일본 특허 공개 제2012-153644호 공보 등에 기재된 술포늄염도 적합하게 이용된다.With respect to the synthesis of a sulfonium salt containing an anion represented by the formula (1A '), JP-A No. 2007-145797, JP-A No. 2008-106045, JP-A No. 2009-7327, Japanese Patent Application Laid-Open No. 2009-258695 and the like. Sulfonium salts described in JP-A-2010-215608, JP-A-2012-41320, JP-A-2012-106986, and JP-A-2012-153644 are suitably used .

식 (1A)로 표시되는 음이온을 포함하는 술포늄염으로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. 또한, 하기 식 중, Ac는 아세틸기, Ph는 페닐기를 나타낸다. Examples of the sulfonium salt containing an anion represented by the formula (1A) include, but are not limited to, the following sulfonium salts. In the following formulas, Ac represents an acetyl group and Ph represents a phenyl group.

Figure pat00055
Figure pat00055

Figure pat00056
Figure pat00056

Figure pat00057
Figure pat00057

식 (1B) 중, Rfb1 및 Rfb2는 각각 독립적으로 불소 원자, 또는 헤테로 원자를 포함하고 있어도 좋은 탄소수 1∼40의 직쇄상, 분기상 혹은 환상의 1가 탄화수소기를 나타낸다. 상기 1가 탄화수소기로서는, 상기 R105의 설명에서 예를 든 것과 동일한 것을 들 수 있다. Rfb1 및 Rfb2로서 바람직하게는 불소 원자 또는 탄소수 1∼4의 직쇄상 불소화 알킬기이다. 또한, Rfb1과 Rfb2는 서로 결합하여 이들이 결합하는 기(-CF2-SO2-N--SO2-CF2-)와 함께 고리를 형성하여도 좋고, 특히 불소화 에틸렌기 또는 불소화 프로필렌기로 고리 구조를 형성하는 것이 바람직하다.In formula (1B), R fb1 and R fb2 each independently represent a straight, branched or cyclic monovalent hydrocarbon group of 1 to 40 carbon atoms which may contain a fluorine atom or a hetero atom. Examples of the monovalent hydrocarbon group include the same monovalent hydrocarbon groups as those described in the description of R 105 . R fb1 and R fb2 are preferably a fluorine atom or a straight chain fluorinated alkyl group having 1 to 4 carbon atoms. In addition, R fb1 and fb2 R is a group to which they are bonded (-CF 2 -SO 2 -N - -SO 2 -CF 2 -) may be formed with a ring, in particular fluorinated or fluorinated ethylene propylene group It is preferable to form a ring structure.

식 (1C) 중, Rfc1, Rfc2 및 Rfc3은 각각 독립적으로 불소 원자, 또는 헤테로 원자를 포함하고 있어도 좋은 탄소수 1∼40의 직쇄상, 분기상 혹은 환상의 1가 탄화수소기를 나타낸다. 상기 1가 탄화수소기로서는, 상기 R105의 설명에서 예를 든 것과 동일한 것을 들 수 있다. Rfc1, Rfc2 및 Rfc3으로서 바람직하게는 불소 원자 또는 탄소수 1∼4의 직쇄상 불소화 알킬기이다. 또한, Rfc1과 Rfc2는 서로 결합하여 이들이 결합하는 기(-CF2-SO2-C--SO2-CF2-)와 함께 고리를 형성하여도 좋고, 특히 불소화 에틸렌기나 불소화 프로필렌기로 고리 구조를 형성하는 것이 바람직하다.In the formula (1C), R fc1 , R fc2 and R fc3 each independently represent a straight, branched or cyclic monovalent hydrocarbon group of 1 to 40 carbon atoms which may contain a fluorine atom or a hetero atom. Examples of the monovalent hydrocarbon group include the same monovalent hydrocarbon groups as those described in the description of R 105 . R fc1 , R fc2 and R fc3 are preferably a fluorine atom or a straight-chain fluorinated alkyl group having 1 to 4 carbon atoms. R fc1 and R fc2 may be bonded to each other to form a ring together with the group (-CF 2 -SO 2 -C - -SO 2 -CF 2 -) to which they are bonded, and in particular, a ring may be formed by a fluorinated ethylene group or a fluorinated propylene group Structure is preferably formed.

식 (1D) 중, Rfd는 헤테로 원자를 포함하고 있어도 좋은 탄소수 1∼40의 직쇄상, 분기상 또는 환상의 1가 탄화수소기를 나타낸다. 상기 1가 탄화수소기로서는, 상기 R105의 설명에서 예를 든 것과 동일한 것을 들 수 있다.In the formula (1D), R fd represents a linear, branched or cyclic monovalent hydrocarbon group of 1 to 40 carbon atoms which may contain a hetero atom. Examples of the monovalent hydrocarbon group include the same monovalent hydrocarbon groups as those described in the description of R 105 .

식 (1D)로 표시되는 음이온을 포함하는 술포늄염의 합성에 관해서는, 일본 특허 공개 제2010-215608호 공보 및 일본 특허 공개 제2014-133723호 공보에 상세히 기재되어 있다.The synthesis of a sulfonium salt containing an anion represented by the formula (1D) is described in detail in JP-A-2010-215608 and JP-A-2014-133723.

식 (1D)로 표시되는 음이온을 포함하는 술포늄염으로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. 또한, 하기 식 중, Ph는 페닐기를 나타낸다. Examples of the sulfonium salt containing an anion represented by the formula (1D) include, but are not limited to, the following sulfonium salts. In the formula, Ph represents a phenyl group.

Figure pat00058
Figure pat00058

Figure pat00059
Figure pat00059

또한, 식 (1D)로 표시되는 음이온을 포함하는 광산발생제는, 술포기의 α 위치에 불소는 갖고 있지 않지만, β 위치에 2개의 트리플루오로메틸기를 갖고 있는 것에 기인하여, 레지스트 폴리머 중의 산불안정기를 절단하기에는 충분한 산성도를 갖고 있다. 그 때문에, 광산발생제로서 사용할 수 있다.Further, the photoacid generator containing an anion represented by the formula (1D) does not have fluorine at the? -Position of the sulfo group but has two trifluoromethyl groups at the? -Position, It has sufficient acidity to cut the ballast. Therefore, it can be used as a photoacid generator.

식 (2) 중, R201 및 R202는 각각 독립적으로 헤테로 원자를 포함하고 있어도 좋은 탄소수 1∼30의 직쇄상, 분기상 또는 환상의 1가 탄화수소기를 나타낸다. R203은 헤테로 원자를 포함하고 있어도 좋은 탄소수 1∼30의 직쇄상, 분기상 또는 환상의 2가 탄화수소기를 나타낸다. 또한, R201, R202 및 R203 중 어느 2개가 서로 결합하여 이들이 결합하는 황 원자와 함께 고리를 형성하여도 좋다. LA는 단결합, 에테르기, 또는 헤테로 원자를 포함하고 있어도 좋은 탄소수 1∼20의 직쇄상, 분기상 혹은 환상의 2가 탄화수소기를 나타낸다. XA, XB, XC 및 XD는 각각 독립적으로 수소 원자, 불소 원자 또는 트리플루오로메틸기를 나타낸다. 단, XA, XB, XC 및 XD 중 적어도 하나는 수소 원자 이외의 치환기를 나타낸다. k는 0∼3의 정수를 나타낸다.In formula (2), R 201 and R 202 each independently represents a linear, branched or cyclic monovalent hydrocarbon group of 1 to 30 carbon atoms which may contain a hetero atom. R 203 represents a linear, branched or cyclic divalent hydrocarbon group of 1 to 30 carbon atoms which may contain a hetero atom. Any two of R 201 , R 202 and R 203 may be bonded to each other to form a ring together with the sulfur atom to which they are bonded. L A represents a straight-chain, branched or cyclic divalent hydrocarbon group of 1 to 20 carbon atoms which may contain a single bond, an ether group or a hetero atom. X A , X B , X C and X D each independently represent a hydrogen atom, a fluorine atom or a trifluoromethyl group. Provided that at least one of X A , X B , X C and X D represents a substituent other than a hydrogen atom. k represents an integer of 0 to 3;

상기 1가 탄화수소기로서는, 메틸기, 에틸기, 프로필기, 이소프로필기, n-부틸기, s-부틸기, t-부틸기, t-펜틸기, n-펜틸기, n-헥실기, n-옥틸기, n-노닐기, n-데실기, 시클로펜틸기, 시클로헥실기, 2-에틸헥실기, 시클로펜틸메틸기, 시클로펜틸에틸기, 시클로펜틸부틸기, 시클로헥실메틸기, 시클로헥실에틸기, 시클로헥실부틸기, 노르보르닐기, 옥사노르보르닐기, 트리시클로[5.2.1.02,6]데카닐기, 아다만틸기, 페닐기, 나프틸기, 안트라세닐기 등을 들 수 있다. 또한, 이들 기의 수소 원자의 일부가 산소 원자, 황 원자, 질소 원자, 할로겐 원자 등의 헤테로 원자 함유기로 치환되어 있어도 좋고, 혹은 탄소 원자의 일부가 산소 원자, 황 원자, 질소 원자 등의 헤테로 원자 함유기로 치환되어 있어도 좋으며, 그 결과 히드록시기, 시아노기, 카르보닐기, 에테르 결합, 에스테르 결합, 술폰산에스테르 결합, 카보네이트 결합, 락톤환, 술톤환, 카르복실산무수물, 할로알킬기 등을 포함하고 있어도 좋다.Examples of the monovalent hydrocarbon group include monovalent hydrocarbon groups such as methyl, ethyl, propyl, isopropyl, n-butyl, s-butyl, A cyclohexyl group, a cyclohexyl group, a cyclohexyl group, a cyclopentyl group, a cyclopentyl group, a cyclohexylmethyl group, a cyclohexylethyl group, a cyclohexyl group, a cyclohexyl group, a cyclohexyl group, Butyl group, norbornyl group, oxanorbornyl group, tricyclo [5.2.1.0 2,6 ] decanyl group, adamantyl group, phenyl group, naphthyl group and anthracenyl group. A part of the hydrogen atoms of these groups may be substituted with a heteroatom-containing group such as an oxygen atom, a sulfur atom, a nitrogen atom or a halogen atom, or a part of carbon atoms may be substituted with a hetero atom such as an oxygen atom, May contain a hydroxyl group, a cyano group, a carbonyl group, an ether bond, an ester bond, a sulfonic acid ester bond, a carbonate bond, a lactone ring, a lactone ring, a carboxylic acid anhydride, a haloalkyl group and the like.

상기 2가 탄화수소기로서는, 메틸렌기, 에틸렌기, 프로판-1,3-디일기, 부탄-1,4-디일기, 펜탄-1,5-디일기, 헥산-1,6-디일기, 헵탄-1,7-디일기, 옥탄-1,8-디일기, 노난-1,9-디일기, 데칸-1,10-디일기, 운데칸-1,11-디일기, 도데칸-1,12-디일기, 트리데칸-1,13-디일기, 테트라데칸-1,14-디일기, 펜타데칸-1,15-디일기, 헥사데칸-1,16-디일기, 헵타데칸-1,17-디일기 등의 직쇄상 알칸디일기; 시클로펜탄디일기, 시클로헥산디일기, 노르보르난디일기, 아다만탄디일기 등의 포화 환상 2가 탄화수소기; 페닐렌기, 나프틸렌기 등의 불포화 환상 2가 탄화수소기 등을 들 수 있다. 또한, 이들 기의 수소 원자의 일부가 메틸기, 에틸기, 프로필기, n-부틸기, t-부틸기 등의 알킬기로 치환되어 있어도 좋다. 또한, 이들 기의 수소 원자의 일부가 산소 원자, 황 원자, 질소 원자, 할로겐 원자 등의 헤테로 원자 함유기로 치환되어 있어도 좋고, 혹은 이들 기의 일부의 탄소 원자 사이에 산소 원자, 황 원자, 질소 원자 등의 헤테로 원자 함유기가 개재되어 있어도 좋으며, 그 결과, 히드록시기, 시아노기, 카르보닐기, 에테르기, 에스테르기, 술폰산에스테르기, 카보네이트기, 락톤환, 술톤환, 카르복실산무수물, 할로알킬기 등을 포함하고 있어도 좋다. 상기 헤테로 원자로서는 산소 원자가 바람직하다.Examples of the divalent hydrocarbon group include a methylene group, an ethylene group, a propane-1,3-diyl group, a butane-1,4-diyl group, a pentane-1,5-diyl group, -1,7-diyl group, octane-1,8-diyl group, nonane-1,9-diyl group, decane-1,10-diyl group, undecane- Diyl group, tridecane-1,13-diyl group, tetradecane-1,14-diyl group, pentadecane-1,15-diyl group, hexadecane-1,16-diyl group, heptadecane- A straight chain alkanediyl group such as a 17-diyl group; A saturated cyclic divalent hydrocarbon group such as a cyclopentanediyl group, a cyclopentanediyl group, a cyclohexanediyl group, a norbornanediyl group, and an adamantanediyl group; And unsaturated cyclic divalent hydrocarbon groups such as a phenylene group and a naphthylene group. A part of the hydrogen atoms of these groups may be substituted with an alkyl group such as a methyl group, an ethyl group, a propyl group, an n-butyl group or a t-butyl group. A part of the hydrogen atoms of these groups may be substituted with a heteroatom-containing group such as an oxygen atom, a sulfur atom, a nitrogen atom or a halogen atom, or an oxygen atom, a sulfur atom, a nitrogen atom Containing groups such as a hydroxyl group, a cyano group, a carbonyl group, an ether group, an ester group, a sulfonic acid ester group, a carbonate group, a lactone ring, a lactone ring, a carboxylic acid anhydride, a haloalkyl group and the like It may be. The hetero atom is preferably an oxygen atom.

식 (2)로 표시되는 광산발생제로서는 하기 식 (2')로 표시되는 것이 바람직하다.The photoacid generator represented by the formula (2) is preferably represented by the following formula (2 ').

Figure pat00060
Figure pat00060

식 (2') 중, LA는 상기와 동일하다. R은 수소 원자 또는 트리플루오로메틸기를 나타내고, 바람직하게는 트리플루오로메틸기이다. R301, R302 및 R303은 각각 독립적으로 수소 원자, 또는 헤테로 원자를 포함하고 있어도 좋은 탄소수 1∼20의 직쇄상, 분기상 혹은 환상의 1가 탄화수소기를 나타낸다. 상기 1가 탄화수소기로서는, 상기 R105의 설명에서 예를 든 것과 동일한 것을 들 수 있다. x 및 y는 각각 독립적으로 0∼5의 정수를 나타내고, z는 0∼4의 정수를 나타낸다.In formula (2 '), L A is the same as above. R represents a hydrogen atom or a trifluoromethyl group, preferably a trifluoromethyl group. R 301 , R 302 and R 303 each independently represents a hydrogen atom or a straight, branched or cyclic monovalent hydrocarbon group of 1 to 20 carbon atoms which may contain a hetero atom. Examples of the monovalent hydrocarbon group include the same monovalent hydrocarbon groups as those described in the description of R 105 . x and y each independently represent an integer of 0 to 5, and z represents an integer of 0 to 4.

식 (2)로 표시되는 광산발생제로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. 또한, 하기 식 중, R은 상기와 동일하고, Me는 메틸기를 나타낸다. Examples of the photoacid generator represented by the formula (2) include, but are not limited to, the following. In the following formulas, R is as defined above, and Me represents a methyl group.

Figure pat00061
Figure pat00061

Figure pat00062
Figure pat00062

Figure pat00063
Figure pat00063

상기 광산발생제 중, 식 (1A') 또는 식 (1D)로 표시되는 음이온을 포함하는 것은, 산 확산이 작고, 또한 레지스트 용제에의 용해성도 우수하여, 특히 바람직하다. 또한, 식 (2')로 표시되는 음이온을 포함하는 것은 산 확산이 매우 작아, 특히 바람직하다.Among the above-mentioned photoacid generators, those containing anions represented by the formula (1A ') or (1D) are particularly preferable because of their small acid diffusion and excellent solubility in a resist solvent. Including the anion represented by the formula (2 ') is particularly preferable because the acid diffusion is very small.

산발생제의 배합량은 베이스 폴리머 100 질량부에 대하여 0.1∼50 질량부가 바람직하고, 1∼40 질량부가 보다 바람직하다.The blending amount of the acid generator is preferably 0.1 to 50 parts by mass, more preferably 1 to 40 parts by mass, per 100 parts by mass of the base polymer.

[그 밖의 성분][Other components]

식 (A)로 표시되는 비구아니드염 화합물, 베이스 폴리머 및 산발생제를 포함하는 화학 증폭 포지티브형 레지스트 재료 혹은 화학 증폭 네거티브형 레지스트 재료에, 유기 용제, 계면활성제, 용해 저지제, 가교제 등을 목적에 따라 적절하게 조합하여 배합하여 포지티브형 레지스트 재료 및 네거티브형 레지스트 재료를 구성함으로써, 노광부에서는 상기 베이스 폴리머가 촉매 반응에 의해 현상액에 대한 용해 속도가 가속되기 때문에, 매우 고감도의 포지티브형 레지스트 재료 및 네거티브형 레지스트 재료로 할 수 있다. 이 경우, 레지스트막의 용해 콘트라스트 및 해상성이 높고, 노광 여유도가 있으며, 프로세스 적응성이 우수하고, 노광 후의 패턴 형상이 양호하면서, 특히 산 확산을 억제할 수 있으므로 조밀 치수차가 작으며, 이들 점 때문에 실용성이 높아, 초LSI용 레지스트 재료로서 매우 유효한 것으로 할 수 있다. 특히, 산발생제를 함유시켜, 산 촉매 반응을 이용한 화학 증폭 포지티브형 레지스트 재료로 하면, 보다 고감도의 것으로 할 수 있음과 더불어, 제반 특성이 한층 더 우수해져서 매우 유용한 것으로 된다.A solution of an organic solvent, a surfactant, a dissolution inhibitor, a crosslinking agent, and the like is added to a chemically amplified positive resist material or a chemically amplified negative resist material containing an asymmetric salt salt compound represented by the formula (A), a base polymer and an acid generator , A positive resist material and a negative resist material are constituted so that the dissolution rate of the base polymer in the developer is accelerated by the catalytic reaction in the exposure section, A negative type resist material can be used. In this case, the resist film has high dissolution contrast and resolution, has an exposure margin, is excellent in process adaptability, has a good pattern shape after exposure, can suppress acid diffusion in particular, and has a small dense dimension difference. It is highly practical and can be very effective as a resist material for a super LSI. In particular, when a chemically amplified positive resist material containing an acid generator and containing acid catalyzed reaction is used, the sensitivity can be made higher, and the characteristics are further improved, which is very useful.

포지티브형 레지스트 재료의 경우는, 용해 저지제를 배합함으로써, 노광부와 미노광부의 용해 속도의 차를 한층 더 크게 할 수 있어, 해상도를 한층 더 향상시킬 수 있다. 네거티브형 레지스트 재료의 경우는, 가교제를 첨가함으로써 노광부의 용해 속도를 저하시킴으로써 네거티브 패턴을 얻을 수 있다.In the case of a positive type resist material, by mixing a dissolution inhibitor, the difference in dissolution rate between the exposed portion and the unexposed portion can be further increased, and the resolution can be further improved. In the case of a negative resist material, a negative pattern can be obtained by reducing the dissolution rate of the exposed portion by adding a crosslinking agent.

상기 유기 용제로서는, 일본 특허 공개 제2008-111103호 공보의 단락 [0144]∼[0145]에 기재된, 시클로헥사논, 시클로펜타논, 메틸-2-n-펜틸케톤 등의 케톤류, 3-메톡시부탄올, 3-메틸-3-메톡시부탄올, 1-메톡시-2-프로판올, 1-에톡시-2-프로판올 등의 알코올류, 프로필렌글리콜모노메틸에테르, 에틸렌글리콜모노메틸에테르, 프로필렌글리콜모노에틸에테르, 에틸렌글리콜모노에틸에테르, 프로필렌글리콜디메틸에테르, 디에틸렌글리콜디메틸에테르 등의 에테르류, 프로필렌글리콜모노메틸에테르아세테이트, 프로필렌글리콜모노에틸에테르아세테이트, 젖산에틸, 피루브산에틸, 아세트산부틸, 3-메톡시프로피온산메틸, 3-에톡시프로피온산에틸, 아세트산t-부틸, 프로피온산t-부틸, 프로필렌글리콜모노t-부틸에테르아세테이트 등의 에스테르류, γ-부티로락톤 등의 락톤류 및 이들의 혼합 용제를 들 수 있다.Examples of the organic solvent include ketones such as cyclohexanone, cyclopentanone and methyl-2-n-pentyl ketone described in paragraphs [0144] to [0145] of JP-A No. 2008-111103, ketones such as 3-methoxy Butanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol and 1-ethoxy-2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl Propylene glycol monomethyl ether acetate, propyleneglycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, 3-methoxyphenol, and the like; an ether such as ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; Esters such as methyl propionate, ethyl 3-ethoxypropionate, t-butyl acetate, t-butyl propionate and propylene glycol mono-t-butyl ether acetate, And mixtures thereof.

상기 유기 용제의 배합량은 베이스 폴리머 100 질량부에 대하여 100∼10,000 질량부가 바람직하고, 200∼8,000 질량부가 보다 바람직하다.The blending amount of the organic solvent is preferably 100 to 10,000 parts by mass, more preferably 200 to 8,000 parts by mass with respect to 100 parts by mass of the base polymer.

상기 계면활성제로서는 일본 특허 공개 제2008-111103호 공보의 단락 [0165]∼[0166]에 기재된 것을 들 수 있다. 계면활성제를 첨가함으로써, 레지스트 재료의 도포성을 한층 더 향상 혹은 제어할 수 있다. 계면활성제의 배합량은 베이스 폴리머 100 질량부에 대하여 0.0001∼10 질량부가 바람직하다.Examples of the surfactant include those described in paragraphs [0165] to [0166] of Japanese Patent Application Laid-Open No. 2008-111103. By adding a surfactant, the applicability of the resist material can be further improved or controlled. The blending amount of the surfactant is preferably 0.0001 to 10 parts by mass based on 100 parts by mass of the base polymer.

상기 용해 저지제로서는, 분자량이 바람직하게는 100∼1,000, 보다 바람직하게는 150∼800이고, 또한 분자 내에 페놀성 히드록시기를 2개 이상 포함하는 화합물의 이 페놀성 히드록시기의 수소 원자를 산불안정기에 의해 전체적으로 0∼100 몰%의 비율로 치환한 화합물, 또는 분자 내에 카르복실기를 포함하는 화합물의 이 카르복실기의 수소 원자를 산불안정기에 의해 전체적으로 평균 50∼100 몰%의 비율로 치환한 화합물을 들 수 있다. 구체적으로는, 비스페놀 A, 트리스페놀, 페놀프탈레인, 크레졸노볼락, 나프탈렌카르복실산, 아다만탄카르복실산, 콜산의 히드록시기, 카르복실기의 수소 원자를 산불안정기로 치환한 화합물 등을 들 수 있으며, 예컨대, 일본 특허 공개 제2008-122932호 공보의 단락 [0155]∼[0178]에 기재되어 있다.The dissolution inhibitor preferably has a molecular weight of from 100 to 1,000, more preferably from 150 to 800, and the hydrogen atom of the phenolic hydroxyl group of the compound containing two or more phenolic hydroxyl groups in the molecule is protected by an acid- A compound obtained by substituting the hydrogen atoms of these carboxyl groups of the compound containing a carboxyl group in the molecule with an acid-labile group in an overall ratio of 50 to 100 mol% on the whole. Specific examples thereof include compounds obtained by replacing hydrogen atoms of hydroxyl groups and carboxyl groups of bisphenol A, trisphenol, phenolphthalein, cresol novolak, naphthalenecarboxylic acid, adamantanecarboxylic acid, and cholic acid with acid labile groups, , And Japanese Patent Application Laid-Open No. 2008-122932, paragraphs [0155] to [0178].

용해 저지제의 배합량은, 포지티브형 레지스트 재료의 경우, 베이스 폴리머 100 질량부에 대하여 0∼50 질량부가 바람직하고, 5∼40 질량부가 보다 바람직하다.The blending amount of the dissolution inhibitor is preferably from 0 to 50 parts by mass, more preferably from 5 to 40 parts by mass, per 100 parts by mass of the base polymer in the case of the positive resist composition.

가교제로서는, 메틸올기, 알콕시메틸기 및 아실옥시메틸기에서 선택되는 적어도 하나의 기로 치환된, 에폭시 화합물, 멜라민 화합물, 구아나민 화합물, 글리콜우릴 화합물 또는 우레아 화합물, 이소시아네이트 화합물, 아지드 화합물, 알케닐에테르기 등의 이중 결합을 포함하는 화합물 등을 들 수 있다. 이들은 첨가제로서 이용하여도 좋지만, 폴리머 측쇄에 펜던트기로서 도입하여도 좋다. 또한, 히드록시기를 포함하는 화합물도 가교제로서 이용할 수 있다.Examples of the crosslinking agent include an epoxy compound, a melamine compound, a guanamine compound, a glycoluril compound or a urea compound, an isocyanate compound, an azide compound and an alkenyl ether group substituted with at least one group selected from methylol group, alkoxymethyl group and acyloxymethyl group And the like, and the like. These may be used as an additive, but may be introduced as a pendant group in the polymer side chain. In addition, a compound containing a hydroxy group can also be used as a crosslinking agent.

상기 에폭시 화합물로서는, 트리스(2,3-에폭시프로필)이소시아누레이트, 트리메틸올메탄트리글리시딜에테르, 트리메틸올프로판트리글리시딜에테르, 트리에틸올에탄트리글리시딜에테르 등을 들 수 있다.Examples of the epoxy compound include tris (2,3-epoxypropyl) isocyanurate, trimethylolmethane triglycidyl ether, trimethylolpropane triglycidyl ether, and triethylolethane triglycidyl ether.

상기 멜라민 화합물로서는, 헥사메틸올멜라민, 헥사메톡시메틸멜라민, 헥사메틸올멜라민의 1∼6개의 메틸올기가 메톡시메틸화한 화합물 또는 그 혼합물, 헥사메톡시에틸멜라민, 헥사아실옥시메틸멜라민, 헥사메틸올멜라민의 메틸올기의 1∼6개가 아실옥시메틸화한 화합물 또는 그 혼합물 등을 들 수 있다.Examples of the melamine compound include compounds obtained by methoxymethylating one to six methylol groups of hexamethylol melamine, hexamethoxymethyl melamine, and hexamethylol melamine, or mixtures thereof, hexamethoxyethyl melamine, hexaacyloxymethyl melamine, hexa A compound obtained by acyloxymethylating 1 to 6 methylol groups of methylol melamine, and mixtures thereof.

구아나민 화합물로서는, 테트라메틸올구아나민, 테트라메톡시메틸구아나민, 테트라메틸올구아나민의 1∼4개의 메틸올기가 메톡시메틸화한 화합물 또는 그 혼합물, 테트라메톡시에틸구아나민, 테트라아실옥시구아나민, 테트라메틸올구아나민의 1∼4개의 메틸올기가 아실옥시메틸화한 화합물 또는 그 혼합물 등을 들 수 있다.Examples of the guanamine compound include compounds obtained by methoxymethylating 1 to 4 methylol groups of tetramethylolguanamine, tetramethoxymethylguanamine and tetramethylolguanamine, or mixtures thereof, tetramethoxyethylguanamine, tetraacyloxy A compound obtained by acyloxymethylating 1 to 4 methylol groups of guanamine or tetramethylolguanamine, or a mixture thereof.

글리콜우릴 화합물로서는, 테트라메틸올글리콜우릴, 테트라메톡시글리콜우릴, 테트라메톡시메틸글리콜우릴, 테트라메틸올글리콜우릴의 메틸올기의 1∼4개가 메톡시메틸화한 화합물 또는 그 혼합물, 테트라메틸올글리콜우릴의 메틸올기의 1∼4개가 아실옥시메틸화한 화합물 또는 그 혼합물 등을 들 수 있다. 우레아 화합물로서는 테트라메틸올우레아, 테트라메톡시메틸우레아, 테트라메틸올우레아의 1∼4개의 메틸올기가 메톡시메틸화한 화합물 또는 그 혼합물, 테트라메톡시에틸우레아 등을 들 수 있다.Examples of the glycoluril compound include compounds obtained by methoxymethylating 1 to 4 methylol groups of tetramethylol glycoluril, tetramethoxyglycoluril, tetramethoxymethylglycoluril and tetramethylolglycoluril, or mixtures thereof, tetramethylol glycol A compound obtained by acyloxymethylating 1 to 4 of the methyl groups in the uril, or a mixture thereof. Examples of the urea compound include tetramethylol urea, tetramethoxymethyl urea, a compound in which 1 to 4 methylol groups of tetramethylol urea are methoxymethylated or a mixture thereof, and tetramethoxyethyl urea.

이소시아네이트 화합물로서는, 톨릴렌디이소시아네이트, 디페닐메탄디이소시아네이트, 헥사메틸렌디이소시아네이트, 시클로헥산디이소시아네이트 등을 들 수 있다.Examples of the isocyanate compound include tolylene diisocyanate, diphenylmethane diisocyanate, hexamethylene diisocyanate, and cyclohexane diisocyanate.

아지드 화합물로서는, 1,1'-비페닐-4,4'-비스아지드, 4,4'-메틸리덴비스아지드, 4,4'-옥시비스아지드를 들 수 있다.Examples of the azide compound include 1,1'-biphenyl-4,4'-bisazide, 4,4'-methylidenebisazide, and 4,4'-oxybisazide.

알케닐에테르기를 포함하는 화합물로서는, 에틸렌글리콜디비닐에테르, 트리에틸렌글리콜디비닐에테르, 1,2-프로판디올디비닐에테르, 1,4-부탄디올디비닐에테르, 테트라메틸렌글리콜디비닐에테르, 네오펜틸글리콜디비닐에테르, 트리메틸올프로판트리비닐에테르, 헥산디올디비닐에테르, 1,4-시클로헥산디올디비닐에테르, 펜타에리스리톨트리비닐에테르, 펜타에리스리톨테트라비닐에테르, 소르비톨테트라비닐에테르, 소르비톨펜타비닐에테르, 트리메틸올프로판트리비닐에테르 등을 들 수 있다.Examples of the compound containing an alkenyl ether group include ethylene glycol divinyl ether, triethylene glycol divinyl ether, 1,2-propanediol divinyl ether, 1,4-butanediol divinyl ether, tetramethylene glycol divinyl ether, neopentyl Cyclohexanediol divinyl ether, pentaerythritol trivinyl ether, pentaerythritol tetravinyl ether, sorbitol tetravinyl ether, sorbitol penta-vinyl ether, stearyl divinyl ether, trimethylol propane trivinyl ether, hexanediol divinyl ether, , Trimethylolpropane trivinyl ether, and the like.

가교제의 배합량은, 네거티브형 레지스트 재료의 경우, 베이스 폴리머 100 질량부에 대하여 0.1∼50 질량부가 바람직하고, 1∼40 질량부가 보다 바람직하다.The amount of the crosslinking agent to be blended is preferably from 0.1 to 50 parts by mass, more preferably from 1 to 40 parts by mass, per 100 parts by mass of the base polymer in the case of a negative resist composition.

본 발명의 레지스트 재료에는, 식 (A)로 표시되는 비구아니드염 화합물 이외의 켄처(이하, 그 밖의 켄처라고 함)를 배합하여도 좋다. 그 밖의 켄처로서는, 종래형의 염기성 화합물을 들 수 있다. 종래형의 염기성 화합물로서는, 제1급, 제2급, 제3급의 지방족 아민류, 혼성 아민류, 방향족 아민류, 복소환 아민류, 카르복실기를 갖는 함질소 화합물, 술포닐기를 갖는 함질소 화합물, 히드록시기를 갖는 함질소 화합물, 히드록시페닐기를 갖는 함질소 화합물, 알코올성 함질소 화합물, 아미드류, 이미드류, 카바메이트류 등을 들 수 있다. 특히, 일본 특허 공개 제2008-111103호 공보의 단락 [0146]∼[0164]에 기재된 제1급, 제2급, 제3급의 아민 화합물, 특히 히드록시기, 에테르기, 에스테르기, 락톤환, 시아노기, 술폰산에스테르기를 갖는 아민 화합물 혹은 일본 특허 제3790649호 공보에 기재된 카바메이트기를 갖는 화합물 등이 바람직하다. 이러한 염기성 화합물을 첨가함으로써, 예컨대, 레지스트막 내에서의 산의 확산 속도를 더욱 억제하거나, 형상을 보정하거나 할 수 있다.The resist material of the present invention may contain a quencher (hereinafter referred to as another quencher) other than the asymmetric salt compound represented by the formula (A). As other quenchers, there may be mentioned conventional basic compounds. As the conventional basic compound, there may be mentioned a primary compound, a secondary compound or a tertiary aliphatic amine, a mixed amine, an aromatic amine, a heterocyclic amine, a nitrogen-containing compound having a carboxyl group, a nitrogen-containing compound having a sulfonyl group, A nitrogen-containing compound having a hydroxyphenyl group, an alcoholic nitrogen-containing compound, an amide, an imide, and a carbamate. Particularly, the amine compounds of the first, second, and third classes described in paragraphs [0146] to [0164] of JP-A No. 2008-111103, especially hydroxyl groups, ether groups, ester groups, lactone rings, An amine compound having a sulfonic acid ester group, or a compound having a carbamate group described in Japanese Patent No. 3790649 are preferable. By adding such a basic compound, for example, the diffusion rate of the acid in the resist film can be further suppressed or the shape can be corrected.

또한, 그 밖의 켄처로서, 일본 특허 공개 제2008-158339호 공보에 기재되어 있는 α 위치가 불소화되어 있지 않은 술폰산 및 카르복실산의, 술포늄염, 요오도늄염, 암모늄염 등의 오늄염을 들 수 있다. α 위치가 불소화된 술폰산, 술폰이미드 또는 술폰메티드는, 카르복실산에스테르의 산불안정기를 탈보호시키기 위해서 필요하지만, α 위치가 불소화되어 있지 않은 오늄염과의 염 교환에 의해 α 위치가 불소화되어 있지 않은 술폰산 또는 카르복실산이 방출된다. α 위치가 불소화되어 있지 않은 술폰산 및 카르복실산은 탈보호 반응을 일으키지 않기 때문에 켄처로서 기능한다.Examples of other quenchers include onium salts of sulfonic acids and carboxylic acids in which the? -Position is not fluorinated, such as sulfonium salts, iodonium salts, and ammonium salts, described in JP-A-2008-158339 . The sulfonic acid, sulfonimide or sulfonimide in which the α-position is fluorinated is necessary for deprotecting the acid-labile group of the carboxylic acid ester, but the α-position is fluorinated by salt exchange with the non-fluorinated onium salt at the α- A sulfonic acid or a carboxylic acid is released. The sulfonic acid and the carboxylic acid in which the? -position is not fluorinated function as a quencher since they do not cause a deprotection reaction.

그 밖의 켄처로서는, 또한, 일본 특허 공개 제2008-239918호 공보에 기재된 폴리머형의 켄처를 들 수 있다. 이것은, 코트 후의 레지스트 표면에 배향함으로써 패턴 후의 레지스트의 구형성(矩形性)을 높인다. 폴리머형 켄처는, 액침 노광용의 보호막을 적용했을 때의 패턴의 막 감소나 패턴 톱의 라운딩을 방지하는 효과도 있다.Other examples of the quencher include the polymer type quencher disclosed in Japanese Patent Application Laid-Open No. 2008-239918. This improves the squareness of the resist after the pattern by orienting the resist on the surface of the resist after coating. The polymer-type retainer also has an effect of preventing film thickness reduction and rounding of the pattern top when a protective film for liquid immersion exposure is applied.

그 밖의 켄처의 배합량은 베이스 폴리머 100 질량부에 대하여 0∼5 질량부가 바람직하고, 0∼4 질량부가 보다 바람직하다.The amount of other quencher is preferably 0 to 5 parts by mass, more preferably 0 to 4 parts by mass, per 100 parts by mass of the base polymer.

본 발명의 레지스트 재료에는, 스핀코트 후의 레지스트 표면의 발수성을 향상시키기 위한 고분자 화합물(발수성 향상제)을 배합하여도 좋다. 발수성 향상제는, 톱코트를 이용하지 않는 액침 리소그래피에 이용할 수 있다. 발수성 향상제로서는, 불화알킬기를 포함하는 고분자 화합물, 특정 구조의 1,1,1,3,3,3-헥사플루오로-2-프로판올 잔기를 포함하는 고분자 화합물 등이 바람직하며, 일본 특허 공개 제2007-297590호 공보, 일본 특허 공개 제2008-111103호 공보 등에 예시되어 있다. 상기 발수성 향상제는 유기 용제 현상액에 용해될 필요가 있다. 전술한 특정 1,1,1,3,3,3-헥사플루오로-2-프로판올 잔기를 갖는 발수성 향상제는 현상액에의 용해성이 양호하다. 발수성 향상제로서, 아미노기나 아민염을 포함하는 반복 단위를 포함하는 고분자 화합물은, PEB 중의 산의 증발을 막아 현상 후의 홀 패턴의 개구 불량을 방지하는 효과가 높다. 발수성 향상제의 배합량은 베이스 폴리머 100 질량부에 대하여 0∼20 질량부가 바람직하고, 0.5∼10 질량부가 보다 바람직하다.The resist material of the present invention may be blended with a polymer compound (water repellency improving agent) for improving the water repellency of the resist surface after spin coating. The water repellency improving agent can be used for immersion lithography that does not use a topcoat. The water repellency improving agent is preferably a polymer compound containing an alkyl fluoride group, a polymer compound containing a 1,1,1,3,3,3-hexafluoro-2-propanol residue of a specific structure, and the like, -297590, and Japanese Patent Laid-Open Publication No. 2008-111103. The water repellency improving agent needs to be dissolved in an organic solvent developer. The water repellency improving agent having the above-mentioned specific 1,1,1,3,3,3-hexafluoro-2-propanol residue has good solubility in a developing solution. As the water repellency improving agent, a polymer compound containing a repeating unit containing an amino group or an amine salt has a high effect of preventing evaporation of an acid in PEB and preventing defective opening of a hole pattern after development. The blending amount of the water repellency-improving agent is preferably 0 to 20 parts by mass, more preferably 0.5 to 10 parts by mass, per 100 parts by mass of the base polymer.

본 발명의 레지스트 재료에는 아세틸렌알코올류를 배합할 수도 있다. 상기 아세틸렌알코올류로서는 일본 특허 공개 제2008-122932호 공보의 단락 [0179]∼[0182]에 기재된 것을 들 수 있다. 아세틸렌알코올류의 배합량은 베이스 폴리머 100 질량부에 대하여 0∼5 질량부가 바람직하다.Acetylene alcohols may be blended in the resist material of the present invention. Examples of the acetylene alcohols include those described in paragraphs [0179] to [0182] of JP-A No. 2008-122932. The blending amount of acetylene alcohols is preferably 0 to 5 parts by mass relative to 100 parts by mass of the base polymer.

[패턴 형성 방법][Pattern formation method]

본 발명의 레지스트 재료를 여러 가지 집적 회로의 제조에 이용하는 경우는, 공지된 리소그래피 기술을 적용할 수 있다.When the resist material of the present invention is used in the manufacture of various integrated circuits, well-known lithography techniques can be applied.

예컨대, 본 발명의 포지티브형 레지스트 재료를, 집적 회로 제조용의 기판(Si, SiO2, SiN, SiON, TiN, WSi, BPSG, SOG, 유기 반사방지막 등) 혹은 마스크 회로 제조용의 기판(Cr, CrO, CrON, MoSi2 등) 상에 스핀 코트, 롤 코트, 플로우 코트, 딥 코트, 스프레이 코트, 닥터 코트 등의 적당한 도포 방법에 의해 도포 막 두께가 0.1∼2.0 ㎛가 되도록 도포한다. 이것을 핫플레이트 상에서, 바람직하게는 60∼150℃, 10초∼30분간, 보다 바람직하게는 80∼120℃, 30초∼20분간 프리베이크한다. 이어서, 자외선, 원자외선, EB, EUV, X선, 연X선, 엑시머 레이저, 감마선, 싱크로트론 방사선 등의 고에너지선으로, 목적으로 하는 패턴을 소정의 마스크를 통하여 또는 직접 노광을 행한다. 노광량은, 1∼200 mJ/㎠ 정도, 특히 10∼100 mJ/㎠ 또는 0.1∼100 μC/㎠ 정도, 특히 0.5∼50 μC/㎠가 되도록 노광하는 것이 바람직하다. 다음에, 핫플레이트 상에서, 바람직하게는 60∼150℃, 10초∼30분간, 보다 바람직하게는 80∼120℃, 30초∼20분간 PEB한다.For example, the positive type resist material of the present invention can be used as a substrate for producing an integrated circuit (Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, organic antireflection film, CrON, MoSi 2 or the like) by a suitable coating method such as spin coating, roll coating, flow coating, dip coating, spray coating, doctor coating or the like so as to have a coating film thickness of 0.1 to 2.0 μm. This is prebaked on a hot plate, preferably at 60 to 150 DEG C for 10 seconds to 30 minutes, more preferably at 80 to 120 DEG C for 30 seconds to 20 minutes. Subsequently, a desired pattern is exposed to a desired pattern through a predetermined mask or directly with a high-energy beam such as ultraviolet light, far ultraviolet ray, EB, EUV, X-ray, soft X-ray, excimer laser, gamma ray or synchrotron radiation. The exposure dose is preferably about 1 to 200 mJ / cm 2, particularly about 10 to 100 mJ / cm 2, or about 0.1 to 100 μC / cm 2, particularly 0.5 to 50 μC / cm 2. Then, PEB is carried out on a hot plate, preferably at 60 to 150 캜 for 10 seconds to 30 minutes, more preferably at 80 to 120 캜 for 30 seconds to 20 minutes.

또한, 0.1∼10 질량%, 바람직하게는 2∼5 질량%의 테트라메틸암모늄히드록시드(TMAH), 테트라에틸암모늄히드록시드(TEAH), 테트라프로필암모늄히드록시드(TPAH), 테트라부틸암모늄히드록시드(TBAH) 등의 알칼리 수용액의 현상액을 이용하여, 3초∼3분간, 바람직하게는 5초∼2분간, 침지(dip)법, 퍼들(puddle)법, 스프레이(spray)법 등의 통상적인 방법에 의해 현상함으로써, 광을 조사한 부분은 현상액에 용해되고, 노광되지 않은 부분은 용해되지 않아, 기판 상에 원하는 포지티브형의 패턴이 형성된다. 네거티브 레지스트의 경우는 포지티브 레지스트의 경우와는 반대, 즉 광을 조사한 부분은 현상액에 불용화되고, 노광되지 않은 부분은 용해된다. 또한, 본 발명의 레지스트 재료는, 특히 고에너지선 중에서도 KrF 엑시머 레이저, ArF 엑시머 레이저, EB, EUV, X선, 연X선, 감마선, 싱크로트론 방사선에 의한 미세 패터닝에 최적이다.In addition, it is preferable to use tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide A dip method, a puddle method, a spray method, or the like using a developing solution of an aqueous alkali solution such as sodium hydroxide, potassium hydroxide (TBAH) or the like for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes By developing by a conventional method, the portion irradiated with light is dissolved in the developer, and the unexposed portion is not dissolved, and a desired positive pattern is formed on the substrate. In the case of a negative resist, the opposite of the case of the positive resist, that is, the portion irradiated with light is insoluble in the developer, and the portion not exposed is dissolved. Further, the resist material of the present invention is particularly suitable for fine patterning by a KrF excimer laser, an ArF excimer laser, EB, EUV, X-ray, soft X-ray, gamma ray or synchrotron radiation even in a high energy beam.

산불안정기를 포함하는 베이스 폴리머를 포함하는 포지티브형 레지스트 재료를 이용하여, 유기 용제 현상에 의해 네거티브 패턴을 얻는 네거티브 현상을 행할 수도 있다. 이 때에 이용하는 현상액으로는, 2-옥타논, 2-노나논, 2-헵타논, 3-헵타논, 4-헵타논, 2-헥사논, 3-헥사논, 디이소부틸케톤, 메틸시클로헥사논, 아세토페논, 메틸아세토페논, 아세트산프로필, 아세트산부틸, 아세트산이소부틸, 아세트산펜틸, 아세트산부테닐, 아세트산이소펜틸, 포름산프로필, 포름산부틸, 포름산이소부틸, 포름산펜틸, 포름산이소펜틸, 발레르산메틸, 펜텐산메틸, 크로톤산메틸, 크로톤산에틸, 프로피온산메틸, 프로피온산에틸, 3-에톡시프로피온산에틸, 젖산메틸, 젖산에틸, 젖산프로필, 젖산부틸, 젖산이소부틸, 젖산펜틸, 젖산이소펜틸, 2-히드록시이소부티르산메틸, 2-히드록시이소부티르산에틸, 안식향산메틸, 안식향산에틸, 아세트산페닐, 아세트산벤질, 페닐아세트산메틸, 포름산벤질, 포름산페닐에틸, 3-페닐프로피온산메틸, 프로피온산벤질, 페닐아세트산에틸, 아세트산2-페닐에틸 등을 들 수 있다. 이들 유기 용제는 1종 단독으로 사용하여도, 2종 이상을 혼합하여 사용하여도 좋다.It is also possible to perform negative development to obtain a negative pattern by organic solvent development using a positive type resist material including a base polymer containing an acid labile group. Examples of the developing solution used in this case include 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutylketone, methylcyclohexa Butyronitrile, isopentyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl valerate, ethyl valerate, ethyl valerate, , Methyl pentanoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isopentyl lactate Benzyl benzoate, benzyl formate, phenylethyl formate, methyl 3-phenylpropionate, propyl 3-phenylpropionate, methyl 3-phenylpropionate, ethyl 3-phenylpropionate, And the like acid benzyl, phenyl ethyl acetate, 2-phenylethyl. These organic solvents may be used singly or in combination of two or more kinds.

현상의 종료시에는 린스를 행한다. 린스액으로서는, 현상액과 혼용(混溶)되어 레지스트막을 용해시키지 않는 용제가 바람직하다. 이러한 용제로서는, 탄소수 3∼10의 알코올, 탄소수 8∼12의 에테르 화합물, 탄소수 6∼12의 알칸, 알켄, 알킨, 방향족계 용제가 바람직하게 이용된다.At the end of development, rinsing is performed. As the rinse solution, a solvent which does not dissolve the resist film by mixing with the developer is preferable. As such a solvent, an alcohol of 3 to 10 carbon atoms, an ether compound of 8 to 12 carbon atoms, an alkane of 6 to 12 carbon atoms, an alkene, an alkyne, and an aromatic solvent are preferably used.

구체적으로 탄소수 3∼10의 알코올로서는, n-프로필알코올, 이소프로필알코올, 1-부틸알코올, 2-부틸알코올, 이소부틸알코올, t-부틸알코올, 1-펜탄올, 2-펜탄올, 3-펜탄올, t-펜틸알코올, 네오펜틸알코올, 2-메틸-1-부탄올, 3-메틸-1-부탄올, 3-메틸-3-펜탄올, 시클로펜탄올, 1-헥산올, 2-헥산올, 3-헥산올, 2,3-디메틸-2-부탄올, 3,3-디메틸-1-부탄올, 3,3-디메틸-2-부탄올, 2-에틸-1-부탄올, 2-메틸-1-펜탄올, 2-메틸-2-펜탄올, 2-메틸-3-펜탄올, 3-메틸-1-펜탄올, 3-메틸-2-펜탄올, 3-메틸-3-펜탄올, 4-메틸-1-펜탄올, 4-메틸-2-펜탄올, 4-메틸-3-펜탄올, 시클로헥산올, 1-옥탄올 등을 들 수 있다.Specific examples of the alcohol having 3 to 10 carbon atoms include n-propyl alcohol, isopropyl alcohol, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, t- Butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol 3-dimethyl-2-butanol, 3-dimethyl-1-butanol, Methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4- Methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol and 1-octanol.

탄소수 8∼12의 에테르 화합물로서는, 디-n-부틸에테르, 디이소부틸에테르, 디-s-부틸에테르, 디-n-펜틸에테르, 디이소펜틸에테르, 디-s-펜틸에테르, 디-t-펜틸에테르, 디-n-헥실에테르에서 선택되는 1종 이상의 용제를 들 수 있다.Examples of the ether compound having from 8 to 12 carbon atoms include di-n-butyl ether, diisobutyl ether, di-s-butyl ether, di-n-pentyl ether, diisopentyl ether, di- N-hexyl ether, pentyl ether and di-n-hexyl ether.

탄소수 6∼12의 알칸으로서는, 헥산, 헵탄, 옥탄, 노난, 데칸, 운데칸, 도데칸, 메틸시클로펜탄, 디메틸시클로펜탄, 시클로헥산, 메틸시클로헥산, 디메틸시클로헥산, 시클로헵탄, 시클로옥탄, 시클로노난 등을 들 수 있다. 탄소수 6∼12의 알켄으로서는, 헥센, 헵텐, 옥텐, 시클로헥센, 메틸시클로헥센, 디메틸시클로헥센, 시클로헵텐, 시클로옥텐 등을 들 수 있다. 탄소수 6∼12의 알킨으로서는, 헥신, 헵틴, 옥틴 등을 들 수 있다.Examples of the alkane having 6 to 12 carbon atoms include hexane, heptane, octane, nonane, decane, undecane, dodecane, methylcyclopentane, dimethylcyclopentane, cyclohexane, methylcyclohexane, dimethylcyclohexane, cycloheptane, Nonan, and the like. Examples of the alkene having 6 to 12 carbon atoms include hexene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexene, cycloheptene, cyclooctene and the like. Examples of the alkyne having 6 to 12 carbon atoms include hexyne, heptyne, octyne and the like.

방향족계 용제로서는, 톨루엔, 크실렌, 에틸벤젠, 이소프로필벤젠, t-부틸벤젠, 메시틸렌 등을 들 수 있다.Examples of the aromatic solvents include toluene, xylene, ethylbenzene, isopropylbenzene, t-butylbenzene, and mesitylene.

린스를 행함으로써 레지스트 패턴의 붕괴나 결함의 발생을 저감시킬 수 있다. 또한, 린스는 반드시 필수는 아니며, 린스를 행하지 않음으로써 용제의 사용량을 절감할 수 있다.By rinsing, the occurrence of collapse of the resist pattern and the occurrence of defects can be reduced. In addition, rinsing is not absolutely necessary, and the amount of solvent used can be reduced by not rinsing.

현상 후의 홀 패턴이나 트렌치 패턴을 서멀 플로우, RELACS 기술 혹은 DSA 기술로 수축(shrink)할 수도 있다. 홀 패턴 상에 수축제를 도포하여, 베이크 중의 레지스트층으로부터의 산 촉매의 확산에 의해 레지스트의 표면에서 수축제의 가교가 일어나고, 수축제가 홀 패턴의 측벽에 부착된다. 베이크 온도는, 바람직하게는 70∼180℃, 보다 바람직하게는 80∼170℃이고, 시간은, 바람직하게는 10∼300초이며, 여분의 수축제를 제거하여 홀 패턴을 축소시킨다.The hole pattern or trench pattern after development can also be shrunk by thermal flow, RELACS technology or DSA technology. The water-shrinking agent is applied on the hole pattern, the diffusion of the acid-catalyzed agent from the resist layer in the bake causes the crosslinking of the water-shrinking agent on the surface of the resist, and the water-shrinking agent is attached to the side wall of the hole pattern. The baking temperature is preferably 70 to 180 DEG C, more preferably 80 to 170 DEG C, and the time is preferably 10 to 300 seconds, and the hole pattern is reduced by eliminating the excess water reducing agent.

실시예Example

이하, 합성예, 실시예 및 비교예를 나타내어 본 발명을 구체적으로 설명하지만, 본 발명은 하기의 실시예에 한정되지 않는다.Hereinafter, the present invention will be described in detail by way of Synthesis Examples, Examples and Comparative Examples, but the present invention is not limited to the following Examples.

본 발명의 레지스트 재료에 이용한, 비구아니드염 화합물로 이루어지는 켄처 1∼13의 구조를 이하에 나타낸다. 켄처 1∼13은 국제 공개 제2015/111640호에 기재된 방법에 따라 하기 양이온을 부여하는 비구아니드 화합물을 합성한 후, 상기 비구아니드 화합물과, 하기 음이온을 부여하는 카르복실산, 술폰이미드, 질산, 염산 또는 브롬화수소산을 혼합함으로써 합성하였다. Structures of the quenchers 1 to 13 made of an acetyl chloride salt compound used in the resist composition of the present invention are shown below. Quenches 1 to 13 synthesize an acetylated compound giving the following cations according to the method described in International Publication No. 2015/111640, and then reacting the above-mentioned biguanide compound with a carboxylic acid giving the following anion, sulfonimide , Nitric acid, hydrochloric acid or hydrobromic acid.

Figure pat00064
Figure pat00064

Figure pat00065
Figure pat00065

[합성예] 고분자 화합물(폴리머 1∼6)의 합성[Synthesis Examples] Synthesis of polymer compounds (Polymers 1 to 6)

각각의 모노머를 조합하여 테트라히드로푸란 용제 하에서 공중합 반응을 행하여, 메탄올에 정출(晶出)하고, 또한 헥산으로 세정을 반복한 후에 단리, 건조시켜, 이하에 나타내는 조성의 고분자 화합물(폴리머 1∼6)을 얻었다. 얻어진 고분자 화합물의 조성은 1H-NMR에 의해, Mw 및 분산도(Mw/Mn)는 겔 퍼미에이션 크로마토그래피(용제: 테트라히드로푸란(THF), 표준: 폴리스티렌)에 의해 확인하였다.The respective monomers were combined, copolymerized under a tetrahydrofuran solvent, crystallized in methanol, washed with hexane, and then isolated and dried to obtain a polymer compound (polymer 1 to 6 ). The composition of the obtained polymer compound was confirmed by 1 H-NMR and Mw and the degree of dispersion (Mw / Mn) by gel permeation chromatography (solvent: tetrahydrofuran (THF), standard: polystyrene).

Figure pat00067
Figure pat00067

Figure pat00068
Figure pat00068

[실시예, 비교예] [Examples, Comparative Examples]

계면활성제로서 스미토모쓰리엠(주)에서 제조한 계면활성제 FC-4430을 100 ppm 용해시킨 용제에, 표 1 및 표 2에 나타내는 조성으로 각 성분을 용해시킨 용액을, 0.2 ㎛ 사이즈의 필터로 여과하여 포지티브형 레지스트 재료 및 네거티브형 레지스트 재료를 조제하였다.A solution prepared by dissolving the respective components in the compositions shown in Tables 1 and 2 was filtered through a filter having a size of 0.2 mu m in a solvent prepared by dissolving 100 ppm of a surfactant FC-4430 manufactured by Sumitomo 3M Co., Ltd. as a surfactant, Type resist material and a negative resist material were prepared.

표 1 및 표 2 중, 각 조성은 다음과 같다.In Table 1 and Table 2, the respective compositions are as follows.

폴리머 1∼6(상기 구조식 참조)Polymers 1 to 6 (see the above formula)

유기 용제: PGMEA(프로필렌글리콜모노메틸에테르아세테이트) Organic solvents: PGMEA (propylene glycol monomethyl ether acetate)

GBL(γ-부티로락톤) GBL (gamma -butyrolactone)

CyH(시클로헥사논) CyH (cyclohexanone)

CyP(시클로펜타논) CyP (cyclopentanone)

PGME(프로필렌글리콜모노메틸에테르) PGME (propylene glycol monomethyl ether)

산발생제: PAG 1∼3(하기 구조식 참조)Acid generator: PAG 1 to 3 (see the following structural formula)

Figure pat00069
Figure pat00069

비교 켄처 1∼5(하기 구조식 참조)Comparative quenchers 1 to 5 (see the following structural formula)

Figure pat00070
Figure pat00070

발수제 폴리머 1(하기 구조식 참조)Water repellent polymer 1 (see the following structural formula)

Figure pat00071
Figure pat00071

[ArF 액침 노광 평가][ArF liquid immersion exposure evaluation]

[실시예 1-1∼1-14, 비교예 1-1∼1-5][Examples 1-1 to 1-14, Comparative Examples 1-1 to 1-5]

표 1에 나타내는 레지스트 재료를, 실리콘 웨이퍼에 신에츠카가쿠고교(주)에서 제조한 스핀온 카본막 ODL-102(카본의 함유량이 80 질량%)를 200 nm, 그 위에 규소 함유 스핀온 하드마스크 SHB-A940(규소의 함유량이 43 질량%)을 35 nm의 막 두께로 성막한 트라이레이어 프로세스용의 기판 상에 스핀코팅하고, 핫플레이트를 이용하여 100℃에서 60초간 베이크하여, 레지스트막의 두께를 80 nm로 하였다. 이것을 ArF 엑시머 레이저 스캐너((주)니콘 제조 NSR-S610C, NA 1.30, σ 0.98/0.78, 35도 크로스폴 조명, 방위각(Azimuthally) 편광 조명, 6% 하프톤 위상 시프트 마스크)를 이용하여, 웨이퍼 상 치수가 60 nm 라인, 200 nm 피치의 마스크를 이용하여 노광하고, 표 1에 기재된 온도에서 60초간 PEB를 행하고, 연속해서 아세트산n-부틸로 30초간 현상을 행하여, 치수가 60 nm 스페이스, 200 nm 피치인 트렌치의 네거티브 패턴을 형성하였다. 다음에, 상기 노광과 PEB까지는 동일하게 행하고, 24시간 웨이퍼를 FOUP 내에 23℃에서 보관한 후에 아세트산n-부틸로 30초간 현상을 행하여, 200 nm 피치의 트렌치의 네거티브 패턴을 형성하였다. (주)히타치하이테크놀로지즈 제조 측장(測長) SEM(CG-4000)으로 트렌치 패턴의 치수를 측장하고, 현상까지 연속해서 형성한 트렌치 패턴의 치수에서, PEB 후에 24시간 방치하여 형성한 트렌치 패턴의 치수를 뺀 값을 PPD 치수로 하였다. 결과를 표 1에 나타낸다.A resist material shown in Table 1 was spin-coated on a silicon wafer with a spin-on-carbon film ODL-102 (carbon content 80% by mass) manufactured by Shin-Etsu Chemical Co., Ltd. as a film with a thickness of 200 nm, -A940 (silicon content: 43 mass%) was spin-coated on a substrate for a tri-layer process having a film thickness of 35 nm and baked at 100 DEG C for 60 seconds using a hot plate to obtain a resist film having a thickness of 80 nm. Using the ArF excimer laser scanner (NSR-S610C manufactured by Nikon Corporation, NA 1.30, σ 0.98 / 0.78, 35 degrees cross pole illumination, azimuthally polarized illumination, 6% halftone phase shift mask) Exposed using a mask having dimensions of 60 nm line and 200 nm pitch and subjected to PEB at a temperature shown in Table 1 for 60 seconds and successively developed with n-butyl acetate for 30 seconds to form a resist film having dimensions of 60 nm and 200 nm Thereby forming a negative pattern of the pitch trench. Next, the above exposure and PEB were performed in the same manner. After the wafer was stored at 23 DEG C for 24 hours in a FOUP, the wafer was developed with n-butyl acetate for 30 seconds to form a negative pattern of the trench with a pitch of 200 nm. The dimensions of the trench pattern were measured with a Hitachi High-Technologies SEM (CG-4000) manufactured by Hitachi High-Technologies Corporation, and the trench pattern formed by standing for 24 hours after PEB, Was taken as the PPD dimension. The results are shown in Table 1.

Figure pat00072
Figure pat00072

[EB 묘화 평가][EB imaging evaluation]

[실시예 2-1∼2-5, 비교예 2-1∼2-5][Examples 2-1 to 2-5, Comparative Examples 2-1 to 2-5]

표 2 중에 나타내는 레지스트 재료를, 헥사메틸디실라잔 베이퍼 프라임 처리한 Si 기판 상에 스핀코트하고, 핫플레이트를 이용하여 110℃에서 60초간 프리베이크하여 80 nm의 레지스트막을 제작하였다. 이것에, (주)히타세이사쿠쇼 제조 HL-800D를 이용하여 가속 전압 50 kV로 진공 챔버 내 묘화를 행하였다. 묘화 후, 즉시 핫플레이트 상 90℃에서 60초간 PEB를 행하고, 2.38 질량%의 테트라메틸암모늄히드록시드의 수용액으로 30초간 현상을 행하여 패턴을 얻었다.The resist materials shown in Table 2 were spin-coated on a hexamethyldisilazane vapor-treated Si substrate and pre-baked at 110 DEG C for 60 seconds using a hot plate to prepare a resist film of 80 nm. In this, HL-800D manufactured by HITASEISAKUSHO Co., Ltd. was used to perform drawing in a vacuum chamber at an acceleration voltage of 50 kV. Immediately after imaging, the film was immediately subjected to PEB on a hot plate at 90 캜 for 60 seconds, and developed with an aqueous solution of 2.38% by mass of tetramethylammonium hydroxide for 30 seconds to obtain a pattern.

얻어진 레지스트 패턴에 대해서 다음의 평가를 행하였다.The following evaluation was made on the obtained resist pattern.

포지티브형 레지스트막의 경우, 120 nm의 트렌치를 치수대로 해상하는 노광량에 있어서의 최소의 트렌치의 치수를 해상력으로 하였다. 네거티브형 레지스트막의 경우, 120 nm의 고립 라인을 치수대로 해상하는 노광량에 있어서의 최소의 고립 라인의 치수를 해상력으로 하였다. 또한, 실시예 2-1∼2-4 및 비교예 2-1∼2-3, 2-5는 포지티브형 레지스트 재료, 실시예 2-5, 비교예 2-4는 네거티브형 레지스트 재료이다.In the case of the positive type resist film, the minimum trench size at the exposure amount for resolving the 120 nm trench to the dimensions was defined as the resolution. In the case of the negative type resist film, the resolution of the minimum isolated line in the exposure amount for resolving the isolated line of 120 nm to the dimensions was determined as the resolution. Examples 2-1 to 2-4 and Comparative Examples 2-1 to 2-3 and 2-5 are positive resist materials, and Example 2-5 and Comparative Example 2-4 are negative resist materials.

결과를 표 2에 나타낸다.The results are shown in Table 2.

Figure pat00073
Figure pat00073

표 1 및 표 2에 나타낸 결과로부터, 본 발명의 비구아니드염 화합물을 포함하는 레지스트 재료는, PPD에 있어서의 치수 안정성이 우수하고, 충분한 해상력과 LWR인 것을 알 수 있었다.From the results shown in Tables 1 and 2, it was found that the resist material containing an asymmetric salt compound of the present invention had excellent dimensional stability in PPD and sufficient resolution and LWR.

Claims (14)

베이스 폴리머 및 하기 식 (A)로 표시되는 비구아니드염 화합물을 포함하는 레지스트 재료.
Figure pat00074

[식 중, R1∼R8은 각각 독립적으로 수소 원자, 탄소수 1∼24의 직쇄상, 분기상 혹은 환상의 알킬기, 탄소수 2∼24의 직쇄상, 분기상 혹은 환상의 알케닐기, 탄소수 2∼24의 직쇄상, 분기상 혹은 환상의 알키닐기, 또는 탄소수 6∼20의 아릴기이며, 이들 중에 에스테르기, 에테르기, 술피드기, 술폭시드기, 카보네이트기, 카바메이트기, 술폰기, 아미노기, 아미드기, 히드록시기, 티올기, 니트로기 또는 할로겐 원자를 포함하고 있어도 좋고, R1과 R2, R2와 R3, R3과 R4, R5와 R6, R6과 R7, 또는 R7과 R8이 결합하여 고리를 형성하여도 좋으며, 이 고리 중에 에테르 결합을 포함하고 있어도 좋다. A-는 수산화물 이온, 염소 이온, 브롬 이온, 요오드 이온, 질산 이온, 아질산 이온, 염소산 이온, 아염소산 이온, 과염소산 이온, 탄산수소 이온, 인산이수소 이온, 황산수소 이온, 티오시안산 이온, 옥살산수소 이온, 시안화물 이온, 요오드산 이온, 또는 하기 식 (M-1) 혹은 (M-2)로 표시되는 음이온이다.
Figure pat00075

(식 중, R9는 수소 원자, 탄소수 1∼30의 직쇄상, 분기상 혹은 환상의 알킬기, 탄소수 2∼30의 직쇄상, 분기상 혹은 환상의 알케닐기, 탄소수 2∼30의 직쇄상, 분기상 혹은 환상의 알키닐기, 탄소수 6∼20의 아릴기, 탄소수 7∼20의 아랄킬기, 또는 탄소수 3∼20의 방향족 혹은 지방족 복소환 함유기이며, 이들 기 중에 에스테르기, 에테르기, 술피드기, 술폭시드기, 카보네이트기, 카바메이트기, 술폰기, 아미노기, 아미드기, 히드록시기, 티올기, 니트로기, 할로겐 원자를 포함하고 있어도 좋지만, R9는 하기 식 (A)-1
Figure pat00076

(식 중, Ar은 탄소수 6∼16의 방향족기이며, R12 및 R13은 각각 독립적으로 수소 원자, 히드록시기, 알콕시기, 탄소수 1∼6의 직쇄상, 분기상 혹은 환상의 알킬기, 또는 탄소수 6∼10의 아릴기이다.)
로 표시되는 기는 포함하지 않는다. R10은 불소 원자, 탄소수 1∼10의 직쇄상, 분기상 혹은 환상의 불소화 알킬기, 또는 불소화 페닐기이며, 히드록시기, 에테르기, 에스테르기, 알콕시기를 포함하고 있어도 좋다. R11은 수소 원자, 탄소수 1∼10의 직쇄상, 분기상 혹은 환상의 알킬기, 탄소수 2∼10의 직쇄상, 분기상 혹은 환상의 알케닐기, 탄소수 2∼10의 직쇄상 혹은 분기상의 알키닐기, 또는 탄소수 6∼10의 아릴기이며, 히드록시기, 에테르기, 에스테르기, 알콕시기를 포함하고 있어도 좋다.)]
A resist material comprising a base polymer and an asymmetric salt compound represented by the following formula (A).
Figure pat00074

Wherein R 1 to R 8 each independently represent a hydrogen atom, a straight-chain, branched or cyclic alkyl group having 1 to 24 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 24 carbon atoms, An aryl group, an ether group, a sulfide group, a sulfoxide group, a carbonate group, a carbamate group, a sulfone group, an amino group R 1 and R 2 , R 2 and R 3 , R 3 and R 4 , R 5 and R 6 , R 6 and R 7 , R 6 , R 7 and R 8 are the same as or different from each other , Or R 7 and R 8 may combine to form a ring, and the ring may contain an ether bond. A - is a cation selected from the group consisting of hydroxide ion, chloride ion, bromide ion, iodide ion, nitrate ion, nitrite ion, chlorate ion, chlorite ion, perchlorate ion, hydrogen carbonate ion, hydrogenphosphate ion, A hydrogen ion, a cyanide ion, an iodic acid ion, or an anion represented by the following formula (M-1) or (M-2).
Figure pat00075

(Wherein R 9 represents a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 30 carbon atoms, a linear, An aralkyl group having 6 to 20 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or an aromatic or aliphatic heterocyclic containing group having 3 to 20 carbon atoms. Among these groups, an ester group, an ether group, a sulfide group R 9 is a group represented by the following formula (A) -1 (wherein R 1 is a hydrogen atom, a halogen atom,
Figure pat00076

(Wherein Ar is an aromatic group having 6 to 16 carbon atoms; R 12 and R 13 are each independently a hydrogen atom, a hydroxyl group, an alkoxy group, a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms, Lt; / RTI &gt;
Quot; is not included. R 10 is a fluorine atom, a linear, branched or cyclic fluorinated alkyl group having 1 to 10 carbon atoms, or a fluorinated phenyl group, and may contain a hydroxyl group, an ether group, an ester group or an alkoxy group. R 11 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, a linear, branched or cyclic alkenyl group having 2 to 10 carbon atoms, a straight or branched alkynyl group having 2 to 10 carbon atoms, Or an aryl group having 6 to 10 carbon atoms, which may contain a hydroxyl group, an ether group, an ester group or an alkoxy group)
제1항에 있어서, 술폰산, 술폰이미드 또는 술폰메티드를 발생시키는 산발생제를 더 포함하는 레지스트 재료.The resist material according to claim 1, further comprising an acid generator which generates a sulfonic acid, a sulfonimide or a sulfonimide. 제1항에 있어서, 유기 용제를 더 포함하는 레지스트 재료.The resist material according to claim 1, further comprising an organic solvent. 제1항에 있어서, 상기 베이스 폴리머가, 하기 식 (a1)로 표시되는 반복 단위 또는 하기 식 (a2)로 표시되는 반복 단위를 포함하는 것인 레지스트 재료.
Figure pat00077

(식 중, R31 및 R33은 각각 독립적으로 수소 원자 또는 메틸기이다. R32 및 R34는 각각 독립적으로 산불안정기이다. X는 단결합, 에스테르기, 페닐렌기, 나프틸렌기, 또는 락톤환을 포함하는 탄소수 1∼12의 연결기이다. Y는 단결합 또는 에스테르기이다.)
The resist material according to claim 1, wherein the base polymer comprises a repeating unit represented by the following formula (a1) or a repeating unit represented by the following formula (a2).
Figure pat00077

Wherein R 31 and R 33 are each independently a hydrogen atom or a methyl group, R 32 and R 34 are each independently an acid labile group, X is a single bond, an ester group, a phenylene group, a naphthylene group, And Y is a single bond or an ester group.)
제4항에 있어서, 용해 저지제를 더 포함하는 레지스트 재료.The resist material according to claim 4, further comprising a dissolution inhibitor. 제4항에 있어서, 화학 증폭 포지티브형 레지스트 재료인 레지스트 재료.The resist material according to claim 4, which is a chemically amplified positive resist material. 제1항에 있어서, 상기 베이스 폴리머가 산불안정기를 포함하지 않는 것인 레지스트 재료.The resist material of claim 1, wherein the base polymer does not comprise an acid labile group. 제7항에 있어서, 가교제를 더 포함하는 레지스트 재료.The resist material according to claim 7, further comprising a crosslinking agent. 제7항에 있어서, 화학 증폭 네거티브형 레지스트 재료인 레지스트 재료.8. The resist composition according to claim 7, wherein the resist composition is a chemically amplified negative resist composition. 제1항에 있어서, 상기 베이스 폴리머가, 하기 식 (f1)∼(f3)으로 표시되는 반복 단위에서 선택되는 적어도 하나의 반복 단위를 더 포함하는 레지스트 재료.
Figure pat00078

(식 중, R51, R55 및 R59는 각각 독립적으로 수소 원자 또는 메틸기이다. R52는 단결합, 페닐렌기, -O-R63- 또는 -C(=O)-Y1-R63-이고, Y1은 -O- 또는 -NH-이며, R63은 카르보닐기, 에스테르기, 에테르기 혹은 히드록시기를 포함하고 있어도 좋은 탄소수 1∼6의 직쇄상, 분기상 혹은 환상의, 알킬렌기 혹은 알케닐렌기, 또는 페닐렌기이다. R53, R54, R56, R57, R58, R60, R61 및 R62는 각각 독립적으로 카르보닐기, 에스테르기 혹은 에테르기를 포함하고 있어도 좋은 탄소수 1∼12의 직쇄상, 분기상 혹은 환상의 알킬기, 또는 탄소수 6∼12의 아릴기, 탄소수 7∼20의 아랄킬기, 혹은 머캅토페닐기이다. A1은 단결합, -A0-C(=O)-O-, -A0-O- 또는 -A0-O-C(=O)-이고, A0은 카르보닐기, 에스테르기 또는 에테르기를 포함하고 있어도 좋은 탄소수 1∼12의 직쇄상, 분기상 또는 환상의 알킬렌기이다. A2는 수소 원자 또는 트리플루오로메틸기이다. Z1은 단결합, 메틸렌기, 에틸렌기, 페닐렌기, 불소화된 페닐렌기, -O-R64- 또는 -C(=O)-Z2-R64-이고, Z2는 -O- 또는 -NH-이며, R64는 카르보닐기, 에스테르기, 에테르기 혹은 히드록시기를 포함하고 있어도 좋은 탄소수 1∼6의 직쇄상, 분기상 혹은 환상의, 알킬렌기 혹은 알케닐렌기, 또는 페닐렌기, 불소화된 페닐렌기, 혹은 트리플루오로메틸기로 치환된 페닐렌기이다. M-는 비구핵성 대향 이온을 나타낸다. f1, f2 및 f3은 0≤f1≤0.5, 0≤f2≤0.5, 0≤f3≤0.5 및 0<f1+f2+f3≤0.5를 만족하는 양수이다.)
The resist material according to claim 1, wherein the base polymer further comprises at least one repeating unit selected from repeating units represented by the following formulas (f1) to (f3).
Figure pat00078

(Wherein R 51 , R 55 and R 59 are each independently a hydrogen atom or a methyl group, R 52 is a single bond, a phenylene group, -OR 63 - or -C (= O) -Y 1 -R 63 - , Y 1 is -O- or -NH-, and R 63 is a linear, branched or cyclic, alkylene or alkenylene group having 1 to 6 carbon atoms which may contain a carbonyl group, an ester group, an ether group or a hydroxy group a, or a phenylene group. R 53, R 54, R 56, R 57, R 58, R 60, R 61 and R 62 are each independently a carbonyl group, a straight good having 1 to 12 carbon atoms optionally containing an ester group or ether chain, branched or cyclic alkyl group, or aryl group having a carbon number of 6-12, an aralkyl group, or mercapto group of a carbon number of 7~20. a 1 represents a single bond, -A 0 -C (= O) -O- , -A 0 -O- or -A 0 -OC (= O) -, and A 0 represents a straight, branched or cyclic alkyl group having 1 to 12 carbon atoms which may contain a carbonyl group, an ester group or an ether group .. It is the alkylene group A 2 is a hydrogen atom or a trifluoromethyl group Z 1 is a single bond, a methylene group, an ethylene group, a phenylene group, a fluorinated phenyl group, -OR 64 -, or -C (= O) -Z 2 -R 64 -, Z 2 is -O- or -NH-, and R 64 is a straight, branched or cyclic, straight, branched or cyclic C 1-6 carbon atom which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, an alkyl group or an alkenylene group, or a phenylene group, a fluorinated phenyl substituted with a methyl group as a group, or a trifluorophenyl group M -.. represents a non-nucleophilic counter ion is f1, f2 and f3 are 0≤f1≤0.5, 0? F2? 0.5, 0? F3? 0.5 and 0 <f1 + f2 + f3? 0.5.
제1항에 있어서, 계면활성제를 더 포함하는 레지스트 재료.The resist material according to claim 1, further comprising a surfactant. 제1항에 기재된 레지스트 재료를 기판 상에 도포하는 공정과, 가열 처리 후, 고에너지선으로 노광하는 공정과, 현상액을 이용하여 현상하는 공정을 포함하는 패턴 형성 방법.A pattern forming method comprising: a step of applying the resist material of claim 1 on a substrate; a step of exposing the substrate to a high energy beam after the heat treatment; and a step of developing by using a developer. 제12항에 있어서, 상기 고에너지선이 파장 193 nm의 ArF 엑시머 레이저 또는 파장 248 nm의 KrF 엑시머 레이저인 패턴 형성 방법.The pattern forming method according to claim 12, wherein the high energy ray is an ArF excimer laser having a wavelength of 193 nm or a KrF excimer laser having a wavelength of 248 nm. 제12항에 있어서, 상기 고에너지선이 전자선 또는 파장 3∼15 nm의 극단 자외선인 패턴 형성 방법.13. The pattern forming method according to claim 12, wherein the high energy ray is an electron beam or an extreme ultraviolet ray having a wavelength of 3 to 15 nm.
KR1020160177929A 2015-12-28 2016-12-23 Resist composition and patterning process KR102189209B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2015256315 2015-12-28
JPJP-P-2015-256315 2015-12-28
JP2016135001A JP6583167B2 (en) 2015-12-28 2016-07-07 Resist material and pattern forming method
JPJP-P-2016-135001 2016-07-07

Publications (2)

Publication Number Publication Date
KR20170077815A true KR20170077815A (en) 2017-07-06
KR102189209B1 KR102189209B1 (en) 2020-12-09

Family

ID=59271933

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160177929A KR102189209B1 (en) 2015-12-28 2016-12-23 Resist composition and patterning process

Country Status (3)

Country Link
JP (1) JP6583167B2 (en)
KR (1) KR102189209B1 (en)
TW (1) TWI611259B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190043475A (en) * 2017-10-18 2019-04-26 신에쓰 가가꾸 고교 가부시끼가이샤 Resist composition, patterning process, and barium salt

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7156205B2 (en) * 2018-08-29 2022-10-19 信越化学工業株式会社 Resist material and pattern forming method
JP7077997B2 (en) * 2019-03-06 2022-05-31 信越化学工業株式会社 Resist material and pattern forming method
JP7334684B2 (en) 2019-08-02 2023-08-29 信越化学工業株式会社 Resist material and pattern forming method
JP7334683B2 (en) 2019-08-02 2023-08-29 信越化学工業株式会社 Positive resist material and pattern forming method
JP7351257B2 (en) 2019-08-14 2023-09-27 信越化学工業株式会社 Resist material and pattern forming method
JP7354954B2 (en) * 2019-09-04 2023-10-03 信越化学工業株式会社 Resist material and pattern forming method
JP7400658B2 (en) 2019-09-13 2023-12-19 信越化学工業株式会社 Resist material and pattern forming method
JP2021182133A (en) 2020-05-18 2021-11-25 信越化学工業株式会社 Positive type resist material, and pattern formation method
JP2022055315A (en) 2020-09-28 2022-04-07 信越化学工業株式会社 Molecular resist composition and patterning method

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010051305A (en) * 1999-10-29 2001-06-25 카나가와 치히로 Resist composition
JP2001194776A (en) 1999-10-29 2001-07-19 Shin Etsu Chem Co Ltd Resist composition
JP2002226470A (en) 2000-11-29 2002-08-14 Shin Etsu Chem Co Ltd Amine compound, resist material and method for forming pattern
JP2002363148A (en) 2001-05-31 2002-12-18 Shin Etsu Chem Co Ltd Basic compound, resist material and pattern-forming method
JP2010084144A (en) 2008-09-08 2010-04-15 Tokyo Univ Of Science Base generator and photosensitive resin composition comprising base generator
JP2013025211A (en) * 2011-07-25 2013-02-04 Shin Etsu Chem Co Ltd Resist material and pattern forming method using the same
JP2013242348A (en) * 2012-05-17 2013-12-05 Taiyo Ink Mfg Ltd Pattern formation method, alkaline-developable thermosetting resin composition and printed circuit board
JP2015090382A (en) 2013-11-05 2015-05-11 信越化学工業株式会社 Resist material and pattern forming method
WO2015111640A1 (en) 2014-01-24 2015-07-30 和光純薬工業株式会社 Borate-based base generator, and base-reactive composition comprising such base generator

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5655756B2 (en) * 2011-10-03 2015-01-21 信越化学工業株式会社 Positive resist material and pattern forming method using the same
KR102266013B1 (en) * 2013-06-28 2021-06-18 후지필름 와코 준야꾸 가부시키가이샤 Base generator, base-reactive composition containing said base generator, and base generation method

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010051305A (en) * 1999-10-29 2001-06-25 카나가와 치히로 Resist composition
JP2001194776A (en) 1999-10-29 2001-07-19 Shin Etsu Chem Co Ltd Resist composition
JP2002226470A (en) 2000-11-29 2002-08-14 Shin Etsu Chem Co Ltd Amine compound, resist material and method for forming pattern
JP2002363148A (en) 2001-05-31 2002-12-18 Shin Etsu Chem Co Ltd Basic compound, resist material and pattern-forming method
JP2010084144A (en) 2008-09-08 2010-04-15 Tokyo Univ Of Science Base generator and photosensitive resin composition comprising base generator
JP2013025211A (en) * 2011-07-25 2013-02-04 Shin Etsu Chem Co Ltd Resist material and pattern forming method using the same
JP2013242348A (en) * 2012-05-17 2013-12-05 Taiyo Ink Mfg Ltd Pattern formation method, alkaline-developable thermosetting resin composition and printed circuit board
JP2015090382A (en) 2013-11-05 2015-05-11 信越化学工業株式会社 Resist material and pattern forming method
KR20150051885A (en) * 2013-11-05 2015-05-13 신에쓰 가가꾸 고교 가부시끼가이샤 Resist composition and patterning process
WO2015111640A1 (en) 2014-01-24 2015-07-30 和光純薬工業株式会社 Borate-based base generator, and base-reactive composition comprising such base generator

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
SPIE Vol. 5039 p1(2003)
SPIE Vol. 6520 p65203L-1(2007)
SPIE Vol. 7639 p76390W(2010)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190043475A (en) * 2017-10-18 2019-04-26 신에쓰 가가꾸 고교 가부시끼가이샤 Resist composition, patterning process, and barium salt

Also Published As

Publication number Publication date
TW201730674A (en) 2017-09-01
TWI611259B (en) 2018-01-11
JP6583167B2 (en) 2019-10-02
KR102189209B1 (en) 2020-12-09
JP2017120369A (en) 2017-07-06

Similar Documents

Publication Publication Date Title
KR101950090B1 (en) Resist composition and patterning process
KR101986425B1 (en) Resist composition and patterning process
KR101920165B1 (en) Resist composition and patterning process
KR101960596B1 (en) Resist composition and patterning process
KR102078912B1 (en) Resist composition and patterning process
KR101960605B1 (en) Resist composition and patterning process
KR102389746B1 (en) Resist composition and patterning process
JP7268615B2 (en) Resist material and pattern forming method
KR102128149B1 (en) Resist composition and patterning process
KR102382933B1 (en) Resist composition and patterning process
KR20180136383A (en) Resist composition and patterning process
KR102189209B1 (en) Resist composition and patterning process
KR20180034266A (en) Resist composition and patterning process
KR101933802B1 (en) Resist composition and pattern forming process
KR20180107738A (en) Resist composition and patterning process
KR102045109B1 (en) Resist composition and patterning process
KR101933801B1 (en) Resist composition and patterning process
KR102382929B1 (en) Resist composition and patterning process
KR102175864B1 (en) Resist composition and patterning process
KR102295267B1 (en) Resist composition and patterning process
KR102105247B1 (en) Resist composition and patterning process
JP6583168B2 (en) Resist material and pattern forming method
JP2017120367A (en) Resist composition and patterning process
KR102154888B1 (en) Resist composition and patterning process
KR20190041920A (en) Resist composition and patterning process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant