JP2016529702A5 - - Google Patents

Download PDF

Info

Publication number
JP2016529702A5
JP2016529702A5 JP2016527009A JP2016527009A JP2016529702A5 JP 2016529702 A5 JP2016529702 A5 JP 2016529702A5 JP 2016527009 A JP2016527009 A JP 2016527009A JP 2016527009 A JP2016527009 A JP 2016527009A JP 2016529702 A5 JP2016529702 A5 JP 2016529702A5
Authority
JP
Japan
Prior art keywords
tiers
monolithic
3dic
tier
providing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016527009A
Other languages
Japanese (ja)
Other versions
JP2016529702A (en
Filing date
Publication date
Priority claimed from US14/013,399 external-priority patent/US9418985B2/en
Application filed filed Critical
Publication of JP2016529702A publication Critical patent/JP2016529702A/en
Publication of JP2016529702A5 publication Critical patent/JP2016529702A5/ja
Pending legal-status Critical Current

Links

Claims (20)

重ねて配置された複数のティアと、
計算、デジタル処理、アナログ処理、無線周波数(RF)信号処理、アナログ/混合信号処理、電力管理、センサー、電源、バッテリー、メモリ、デジタル論理、低漏れ、低雑音/高利得、クロック、組合せ論理、および順序論理からなるグループから選択された複数の機能要素と、
前記複数のティアの間に分散された前記複数の機能要素と、
前記複数のティアを電気的に結合する複数のモノリシックティア間ビア(MIV)と、
己充足型システムオンチップ(SOC)を与える前記複数の機能要素と
を備える、モノリシック3次元(3D)集積回路(IC)(3DIC)システム。
Multiple tiers placed one above the other,
Calculation, digital processing, analog processing, radio frequency (RF) signal processing, analog / mixed signal processing, power management, sensors, power supply, battery, memory, digital logic, low leakage, low noise / high gain, clock, combinational logic, And a plurality of functional elements selected from the group consisting of sequential logic,
The plurality of functional elements distributed among the plurality of tiers;
A plurality of inter-monolithic vias (MIVs) that electrically couple the plurality of tiers;
Self give satisfaction systems-on-chip (SOC) and a plurality of functional elements, monolithic three dimensional (3D) integrated circuit (IC) (3DIC) system.
前記複数のティアの各々が同等の水平寸法を有する、請求項1に記載のモノリシック3DICシステム。 The monolithic 3DIC system of claim 1, wherein each of the plurality of tiers has an equivalent horizontal dimension. 前記複数のティアのうちの少なくとも1つのティアが高速動作のために最適化される、請求項1に記載のモノリシック3DICシステム。 The monolithic 3DIC system of claim 1, wherein at least one tier of the plurality of tiers is optimized for high speed operation. 前記複数のティアのうちの少なくとも1つのティアが低電流漏れのために最適化される、請求項1に記載のモノリシック3DICシステム。 The monolithic 3DIC system of claim 1, wherein at least one tier of the plurality of tiers is optimized for low current leakage. 前記複数の機能要素のうちの異なる機能要素が前記複数のティアのうちの異なるティア上に配置される、請求項1に記載のモノリシック3DICシステム。 The monolithic 3DIC system according to claim 1, wherein different functional elements of the plurality of functional elements are arranged on different tiers of the plurality of tiers. 前記複数のティアの第1のティアが、前記グループからの第1の機能を最適化するように構成された第1の技術タイプを備える、請求項1に記載のモノリシック3DICシステム。 The monolithic 3DIC system of claim 1, wherein a first tier of the plurality of tiers comprises a first technology type configured to optimize a first function from the group. 前記複数のティアの第2のティアが、前記グループからの第2の機能を最適化するように構成された第2の技術タイプを備える、請求項6に記載のモノリシック3DICシステム。 The monolithic 3DIC system of claim 6, wherein a second tier of the plurality of tiers comprises a second technology type configured to optimize a second function from the group. 前記複数のティアが第3のティアをさらに備える、請求項1に記載のモノリシック3DICシステム。 The monolithic 3DIC system of claim 1, wherein the plurality of tiers further comprises a third tier. 前記モノリシック3DICシステムがRFトランシーバとして動作する、請求項1に記載のモノリシック3DICシステム。 The monolithic 3DIC system operates as an RF transceiver, monolithic 3DIC system according to claim 1. 前記ICがそこに一体化される、セットトップボックス、エンターテインメントユニット、ナビゲーションデバイス、通信デバイス、固定ロケーションデータユニット、モバイルロケーションデータユニット、モバイルフォン、セルラーフォン、コンピュータ、ポータブルコンピュータ、デスクトップコンピュータ、携帯情報端末(PDA)、モニタ、コンピュータモニタ、テレビジョン、チューナー、ラジオ、衛星ラジオ、音楽プレーヤ、デジタル音楽プレーヤ、ポータブル音楽プレーヤ、デジタルビデオプレーヤ、ビデオプレーヤ、デジタルビデオディスク(DVD)プレーヤ、およびポータブルデジタルビデオプレーヤからなる前記グループから選択されたデバイスをさらに備える、請求項1に記載のモノリシック3DIC。 Set-top box, entertainment unit, navigation device, communication device, fixed location data unit, mobile location data unit, mobile phone, cellular phone, computer, portable computer, desktop computer, personal digital assistant, in which the IC is integrated (PDA), monitor, computer monitor, television, tuner, radio, satellite radio, music player, digital music player, portable music player, digital video player, video player, digital video disc (DVD) player, and portable digital video player The monolithic 3DIC of claim 1, further comprising a device selected from the group consisting of: 重ねて配置された複数のティアと、
計算、デジタル処理、アナログ処理、無線周波数(RF)信号処理、アナログ/混合信号処理、電力管理、センサー、電源、バッテリー、メモリ、デジタル論理、低漏れ、低雑音/高利得、クロック、組合せ論理、および順序論理からなるグループから選択された複数の機能を与えるための手段と、
前記複数のティア間に分散された前記複数の機能を与えるための前記手段と、
前記複数のティアを電気的に相互結合するための手段と、
己充足型システムオンチップ(SOC)を与える前記複数の機能を与えるための前記手段と
を備える、モノリシック3次元(3D)集積回路(IC)(3DIC)システム。
Multiple tiers placed one above the other,
Calculation, digital processing, analog processing, radio frequency (RF) signal processing, analog / mixed signal processing, power management, sensors, power supply, battery, memory, digital logic, low leakage, low noise / high gain, clock, combinational logic, And means for providing a plurality of functions selected from the group consisting of sequential logic;
Said means for providing said plurality of functions distributed among said plurality of tiers;
Means for electrically interconnecting the plurality of tiers;
Self give satisfaction systems-on-chip (SOC) and a said means for providing said plurality of functional, monolithic three dimensional (3D) integrated circuit (IC) (3DIC) system.
前記複数のティアを電気的に相互結合するための前記手段が、モノリシックティア間ビア(MIV)を備える、請求項11に記載のモノリシック3DICシステム。 The monolithic 3DIC system of claim 11, wherein the means for electrically interconnecting the plurality of tiers comprises a monolithic inter-tier via (MIV). 前記モノリシック3DICシステムがRFトランシーバとして動作する、請求項11に記載のモノリシック3DICシステム。 The monolithic 3DIC system operates as an RF transceiver, monolithic 3DIC system of claim 11. 3次元(3D)集積回路(IC)(3DIC)システムを実装する方法であって、
前記3DIC内の複数のティアを与えることと、
前記複数のティアにわたる複数の機能要素を与えることと、
モノリシックティア間ビア(MIV)を使用して前記複数のティアを相互結合することと、
前記3DICを用いた自己充足型システムオンチップ(SOC)を与えることと
を備える方法。
A method of implementing a three-dimensional (3D) integrated circuit (IC) (3DIC) system comprising:
Providing multiple tiers within the 3DIC;
Providing a plurality of functional elements across the plurality of tiers;
Interconnecting the plurality of tiers using a monolithic inter-tier via (MIV);
How and a giving self sufficiency systems-on-chip (SOC) using the 3DIC.
前記複数のティアを与えることが、同等の水平寸法を有する複数のティアを備える、請求項14に記載の方法。   15. The method of claim 14, wherein providing the plurality of tiers comprises a plurality of tiers having equivalent horizontal dimensions. 前記複数のティアを与えることが、高速動作のために最適化された少なくとも1つのティアを与えることを備える、請求項14に記載の方法。   15. The method of claim 14, wherein providing the plurality of tiers comprises providing at least one tier optimized for high speed operation. 前記複数のティアを与えることが、低電流漏れのために最適化された少なくとも1つのティアを与えることを備える、請求項14に記載の方法。   The method of claim 14, wherein providing the plurality of tiers comprises providing at least one tier optimized for low current leakage. 前記複数の機能要素のうちの異なる機能要素が、前記複数のティアのうちの異なるティア上に配置される、請求項14に記載の方法。 The different functional elements of the plurality of functional elements are arranged on different tee A which ones of the plurality of tiers, the method according to claim 14. 前記複数のティアの第1のティアが、第1の機能を最適化するように構成された第1の技術タイプを備える、請求項14に記載の方法。 The first tier of the plurality of tiers comprises a first technology type that is configured to optimize the first function, the method according to claim 14. 前記複数のティアの第2のティアが、第2の機能を最適化するように構成された第2の技術タイプを備える、請求項19に記載の方法。 The second tier of the plurality of tiers comprises a second technology type that is configured to optimize the second function, the method according to claim 19.
JP2016527009A 2013-07-16 2014-07-14 Complete system-on-chip (SOC) using monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) technology Pending JP2016529702A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361846648P 2013-07-16 2013-07-16
US61/846,648 2013-07-16
US14/013,399 2013-08-29
US14/013,399 US9418985B2 (en) 2013-07-16 2013-08-29 Complete system-on-chip (SOC) using monolithic three dimensional (3D) integrated circuit (IC) (3DIC) technology
PCT/US2014/046503 WO2015009614A1 (en) 2013-07-16 2014-07-14 Complete system-on-chip (soc) using monolithic three dimensional (3d) integrated circuit (ic) (3dic) technology

Publications (2)

Publication Number Publication Date
JP2016529702A JP2016529702A (en) 2016-09-23
JP2016529702A5 true JP2016529702A5 (en) 2016-11-17

Family

ID=52343114

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016527009A Pending JP2016529702A (en) 2013-07-16 2014-07-14 Complete system-on-chip (SOC) using monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) technology

Country Status (9)

Country Link
US (2) US9418985B2 (en)
EP (1) EP3022766A1 (en)
JP (1) JP2016529702A (en)
KR (1) KR101832330B1 (en)
CN (1) CN105378918B (en)
BR (1) BR112016000868B1 (en)
CA (1) CA2917586C (en)
TW (1) TWI618222B (en)
WO (1) WO2015009614A1 (en)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9418985B2 (en) 2013-07-16 2016-08-16 Qualcomm Incorporated Complete system-on-chip (SOC) using monolithic three dimensional (3D) integrated circuit (IC) (3DIC) technology
ES2798115T3 (en) * 2014-06-20 2020-12-09 Nagravision Sa Physical interface module
US9256246B1 (en) * 2015-01-29 2016-02-09 Qualcomm Incorporated Clock skew compensation with adaptive body biasing in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US9628077B2 (en) 2015-03-04 2017-04-18 Qualcomm Incorporated Dual power swing pipeline design with separation of combinational and sequential logics
CN105391823B (en) * 2015-11-25 2019-02-12 上海新储集成电路有限公司 A method of reducing mobile device size and power consumption
CN105742277B (en) * 2016-04-13 2018-06-22 中国航天科技集团公司第九研究院第七七一研究所 A kind of large-volume stereo integrates SRAM memory three-dimensional extended method
US9523760B1 (en) * 2016-04-15 2016-12-20 Cognitive Systems Corp. Detecting motion based on repeated wireless transmissions
US9754923B1 (en) 2016-05-09 2017-09-05 Qualcomm Incorporated Power gate placement techniques in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US9929149B2 (en) 2016-06-21 2018-03-27 Arm Limited Using inter-tier vias in integrated circuits
US9871020B1 (en) * 2016-07-14 2018-01-16 Globalfoundries Inc. Through silicon via sharing in a 3D integrated circuit
US10678985B2 (en) * 2016-08-31 2020-06-09 Arm Limited Method for generating three-dimensional integrated circuit design
US9712168B1 (en) * 2016-09-14 2017-07-18 Qualcomm Incorporated Process variation power control in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US10176147B2 (en) 2017-03-07 2019-01-08 Qualcomm Incorporated Multi-processor core three-dimensional (3D) integrated circuits (ICs) (3DICs), and related methods
US10719100B2 (en) 2017-11-21 2020-07-21 Western Digital Technologies, Inc. System and method for time stamp synchronization
US10727965B2 (en) * 2017-11-21 2020-07-28 Western Digital Technologies, Inc. System and method for time stamp synchronization
CN110069795A (en) * 2018-01-23 2019-07-30 长芯半导体有限公司 Fast custom chip method
GB2586050B (en) * 2019-07-31 2021-11-10 Murata Manufacturing Co Power supply output device
GB2586049B (en) * 2019-07-31 2022-03-09 Murata Manufacturing Co Power supply output device
US11270917B2 (en) * 2020-06-01 2022-03-08 Alibaba Group Holding Limited Scalable and flexible architectures for integrated circuit (IC) design and fabrication
CN112769402B (en) * 2020-12-21 2024-05-17 中国航天科工集团八五一一研究所 X/Ku wave band broadband variable frequency assembly based on TSV technology
EP4024222A1 (en) 2021-01-04 2022-07-06 Imec VZW An integrated circuit with 3d partitioning
KR102443742B1 (en) * 2021-02-08 2022-09-15 고려대학교 산학협력단 A monolithic 3D based scratchpad memory

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61131474A (en) * 1984-11-30 1986-06-19 Agency Of Ind Science & Technol Laminated semiconductor device
US6046078A (en) * 1997-04-28 2000-04-04 Megamos Corp. Semiconductor device fabrication with reduced masking steps
US20030015768A1 (en) 2001-07-23 2003-01-23 Motorola, Inc. Structure and method for microelectromechanical system (MEMS) devices integrated with other semiconductor structures
WO2003030252A2 (en) 2001-09-28 2003-04-10 Hrl Laboratories, Llc Process for producing interconnects
US7126214B2 (en) 2001-12-05 2006-10-24 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
JP2004165269A (en) * 2002-11-11 2004-06-10 Canon Inc Laminated semiconductor device
KR100569590B1 (en) 2003-12-30 2006-04-10 매그나칩 반도체 유한회사 Radio frequency semiconductor device and method of manufacturing the same
DE102006030267B4 (en) * 2006-06-30 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Nano embossing technique with increased flexibility in terms of adjustment and shaping of structural elements
US8136071B2 (en) 2007-09-12 2012-03-13 Neal Solomon Three dimensional integrated circuits and methods of fabrication
US7692448B2 (en) 2007-09-12 2010-04-06 Neal Solomon Reprogrammable three dimensional field programmable gate arrays
ATE512114T1 (en) * 2008-09-03 2011-06-15 St Microelectronics Tours Sas THREE-DIMENSIONAL STRUCTURE WITH VERY HIGH DENSITY
US8115511B2 (en) * 2009-04-14 2012-02-14 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US7986042B2 (en) * 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20110199116A1 (en) 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
KR20120027339A (en) * 2009-05-14 2012-03-21 에스알아이 인터내셔널 Low cost high efficiency transparent organic electrodes for organic optoelectronic devices
TWI501380B (en) * 2010-01-29 2015-09-21 Nat Chip Implementation Ct Nat Applied Res Lab Three-dimensional soc structure stacking by multiple chip modules
US8450779B2 (en) 2010-03-08 2013-05-28 International Business Machines Corporation Graphene based three-dimensional integrated circuit device
JP2012019018A (en) * 2010-07-07 2012-01-26 Toshiba Corp Semiconductor device and method of manufacturing the same
CN102024782B (en) * 2010-10-12 2012-07-25 北京大学 Three-dimensional vertical interconnecting structure and manufacturing method thereof
EP2469597A3 (en) 2010-12-23 2016-06-29 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Multi-level integrated circuit, device and method for modeling multi-level integrated circuits
TWI496271B (en) * 2010-12-30 2015-08-11 Ind Tech Res Inst Wafer level molding structure and manufacturing method thereof
DE102011004581A1 (en) * 2011-02-23 2012-08-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg A technique for reducing plasma-induced etch damage during the fabrication of vias in inter-layer dielectrics by modified RF power ramp-up
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
JP6019599B2 (en) * 2011-03-31 2016-11-02 ソニー株式会社 Semiconductor device and manufacturing method thereof
WO2013052679A1 (en) 2011-10-04 2013-04-11 Qualcomm Incorporated Monolithic 3-d integration using graphene
US9496255B2 (en) * 2011-11-16 2016-11-15 Qualcomm Incorporated Stacked CMOS chipset having an insulating layer and a secondary layer and method of forming same
JP5981711B2 (en) * 2011-12-16 2016-08-31 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
JP2013215917A (en) 2012-04-05 2013-10-24 Seiko Epson Corp Printing apparatus and printing method
CN103545275B (en) * 2012-07-12 2016-02-17 中芯国际集成电路制造(上海)有限公司 Silicon through hole encapsulating structure and formation method
US8889491B2 (en) * 2013-01-28 2014-11-18 International Business Machines Corporation Method of forming electronic fuse line with modified cap
US9171608B2 (en) * 2013-03-15 2015-10-27 Qualcomm Incorporated Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods
KR20140113024A (en) * 2013-03-15 2014-09-24 에스케이하이닉스 주식회사 Resistance variable Memory Device And Method of Driving The Same
US9418985B2 (en) 2013-07-16 2016-08-16 Qualcomm Incorporated Complete system-on-chip (SOC) using monolithic three dimensional (3D) integrated circuit (IC) (3DIC) technology
US9070711B2 (en) * 2013-08-02 2015-06-30 Globalfoundries Inc. Methods of forming cap layers for semiconductor devices with self-aligned contact elements and the resulting devices

Similar Documents

Publication Publication Date Title
JP2016529702A5 (en)
US11610862B2 (en) Semiconductor packages with chiplets coupled to a memory device
JP2016511542A5 (en)
US10892215B2 (en) Metal on both sides with power distributed through the silicon
KR102471533B1 (en) Rf circuit apparatus with passive planar device and rf circuit system with passive planar device
KR102016010B1 (en) Semiconductor device having stacked memory elements and method of stacking memory elements on a semiconductor device
CN104253740B (en) Picture is registered method, Apparatus and system
JP2013526001A5 (en)
US20150242308A1 (en) Acceleration system in 3d die-stacked dram
US20150112646A1 (en) METHODS OF DESIGNING THREE DIMENSIONAL (3D) INTEGRATED CIRCUITS (ICs) (3DICs) AND RELATED SYSTEMS AND COMPONENTS
KR101607260B1 (en) Power gate switch architecture
CN105378918A (en) Complete system-on-chip (SOC) using monolithic three dimensional (3D) integrated circuit (IC) (3DIC) technology
JP2017501524A5 (en)
JP2016528727A5 (en)
US9275688B2 (en) Semiconductor device and semiconductor package
JP2018518763A5 (en)
CN104602100A (en) Method and device for recording video and audio in applications
JP2018518835A (en) Method for forming trench in package structure and structure formed by this method
WO2015023290A1 (en) Memory cell with retention using resistive memory
US20190103339A1 (en) Bulk layer transfer processing with backside silicidation
CN105516784A (en) Virtual good display method and device
JP2017528813A5 (en)
JP6147930B2 (en) Monolithic three-dimensional (3D) integrated circuit (ICS) (3DIC) with vertical memory components
KR20170097009A (en) Via self alignment and shorting improvement with airgap integration capacitance benefit
JP2015502660A5 (en)