JP2016524254A - 検証確認のための電子的設計の変更評価方法およびシステム - Google Patents

検証確認のための電子的設計の変更評価方法およびシステム Download PDF

Info

Publication number
JP2016524254A
JP2016524254A JP2016523800A JP2016523800A JP2016524254A JP 2016524254 A JP2016524254 A JP 2016524254A JP 2016523800 A JP2016523800 A JP 2016523800A JP 2016523800 A JP2016523800 A JP 2016523800A JP 2016524254 A JP2016524254 A JP 2016524254A
Authority
JP
Japan
Prior art keywords
subcomponent
verification
electronic design
computer
signature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016523800A
Other languages
English (en)
Other versions
JP6546163B2 (ja
Inventor
クラースニッキー、マイケル
デング、ユエ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zipalog Inc
Original Assignee
Zipalog Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zipalog Inc filed Critical Zipalog Inc
Publication of JP2016524254A publication Critical patent/JP2016524254A/ja
Application granted granted Critical
Publication of JP6546163B2 publication Critical patent/JP6546163B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/38Circuit design at the mixed level of analogue and digital signals
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

検証確認のための電子的設計の変更評価の、コンピュータによって実施される方法およびシステム。方法は、サブコンポーネントを備える電子的設計を受け取るステップと、サブコンポーネントを表すデータのバンクされた署名を採用するステップと、サブコンポーネントのレビュー要求を受け取るステップと、サブコンポーネントを表すデータの現在の署名を生成するステップと、現在の署名およびバンクされた署名の相違を決定するステップとを有する。

Description

[関連出願への相互参照]
本願は、米国特許出願第13/929,007号明細書(2013年6月27日に出願され、「METHOD AND SYSTEM OF CHANGE EVALUATION OF AN ELECTRONIC DESIGN FOR VERIFICATION CONFIRMATION」と題するもの。代理人整理番号ZPLG-31797。その明細書の全体が参照により本明細書に援用される)の優先権および/または利益を主張する。
[背景]
本方法およびシステムは、概して、アナログおよび混合信号集積回路の検証に関する。
電子的設計自動化(electronic design automation)(EDA)は、電子的ブロックを設計するためのソフトウェアである。いくつかの広い種類の電子的信号と、コンポーネントおよびブロックと、デジタルと、アナログと、混合信号と呼ばれるデジタルおよびアナログの混合物とが存在する。電子的設計は概して回路情報の以下のレベルのうち少なくとも1つを含む。すなわち、システムレベル、アーキテクチャレベル、データフローレベル、電気的レベル、デバイスレベル、テクノロジーレベル、等である。
デジタル信号は、離散的な入出力値「0」および「1」(離散的な時刻値において発生し、典型的にはクロック信号に結び付けられる)を有する。デジタル信号を入出力するデジタルコンポーネントは、典型的には、静的なピン出力および相互作用プロトコルを有する。デジタルコンポーネントを備えるデジタルブロックは、しっかりと確立されしっかりと文書化された物理的レイアウトおよび電気的相互作用を有する。デジタルブロック用のシミュレータは、離散時間イベント駆動型のシミュレータである。
アナログ信号は、概して連続的な入出力値(時間とともに変化し得る)を有する。アナログコンポーネントは、典型的には、入力、出力、トリガ、バイアス、等を変更するためにカスタマイズ可能なレイアウトを有する。したがって、カスタマイズによっては、アナログコンポーネントを備えるアナログブロックは、しっかりと確立されしっかりと文書化された物理的レイアウトや電気的相互作用を有しない場合がある。アナログブロック用のシミュレータは、概して、連続時間領域シミュレータを必要とする。
混合信号ブロックは、シミュレートされるコンポーネント内のデジタル信号ブロックおよびアナログ信号ブロックの組み合わせである。シミュレーションのために利用可能な最も一般的なオプションは、コンポーネントをアナログブロックのグルーピングとしてシミュレートすることか、または、アナログコンポーネント/ブロックおよびデジタルコンポーネント/ブロックを個別に解析して、デジタル領域とアナログ領域との境界における入出力を領域間通信のために翻訳することである。
EDA内では、回路レビューの、2つの大きな関連するカテゴリ(シミュレーションおよび検証)がある。シミュレーションは、回路の振る舞いを予測する数的解の集合である。検証は、関連する条件のもとで(機能的検証)、および、製造プロセスのバリエーションにわたって(パラメータ的検証)、回路の振る舞いを記述することのシステマティックな追求である。したがって、検証は、概して、シミュレーションに比べて、回路、その動作条件、製造動作バリエーションの、はるかに広範囲なレビューを必要とする。回路の機能性を、いかなる実質的程度にも検証することなく、多数回のシミュレーションを実行することが可能である。検証は、ある範囲の条件にわたる回路性能の評価および回路の振る舞いの数学的モデル化である。究極的には、検証の成功の測度は、回路設計がいかにうまく回路仕様に適合しているかを報告することである。アナログおよび混合信号検証メソドロジーは、増大し続けるアナログおよび混合信号回路の、複雑さ、コスト、および計算的要求についていくのに苦労している。
検証テストケースの数および複雑さは、アナログおよび混合信号設計の複雑さとともに増大する。加えて、回路のサイズが増大するにつれて、シミュレーションスピードが低下し、メモリ使用量が増加する。このように、回路を検証するための計算処理パワーは、回路の複雑さとともに劇的に増加する可能性がある。スケジュールの遅延がもっとも深刻だと思われる設計サイクルの最後に検証が行われるということが、この問題をより苦痛あるものとしている。このように、検証は、概して設計サイクル全体のうち小部分のためにかなりの量のシミュレーション処理パワーを必要とする活動であり、タイム・ツー・マーケット要求(time to market demand)を満たすために、概して検証資源の効率的な使用が必要とされる。
今日の複雑な検証ソリューションは、関連する条件下で回路の動作が完全かつ効率的に検証されることを保証するために、検証活動に具体的にエンジニアリングを絞っている。この絞りこまれたアナログおよび混合信号検証は、デジタル検証よりもはるかに、手作業・経験により駆動される。この散発的な対話式アナログ検証は、会社にリスクを残す。変更が起きたか否か、その重要性、および、回路の他の部分への影響を決定するための、より自動化された手順の必要性が長く存在していた。
アナログおよび混合信号回路の頑強な検証は、概して、テストベンチ、性能評価ルーチン、および、シミュレーションを加速するために用い得るマクロモデルへのかなりの投資を必要とする。この付帯事実の複雑さは、アナログおよび混合信号集積回路の複雑さにつれて増大する。設計チームが設計資源を追加すると、それは設計のコストに加えて検証資源の追加も必要とする。会社が製品を市場に出そうと努力している時には、設計サイクルの最後に課される不可避の時間的制約に起因して、これらの資源の効率的な使用が最優先事項となる。
電子製造業界における現在の技術の軌跡は、ますます単一チップ設計(システムズ・オン・ア・チップ(Systems on a Chip)(SoC)と呼ばれる)に向かいつつある。ほとんどのシステムズ・オン・ア・チップは、概して、あるレベルの混合信号検証を必要とする。このことは、混合信号設計がサイズおよび複雑さにおいて増大するにつれて、ファーストパス設計(first pass design)の成功を保証し、タイム・ツー・マーケットを短縮するために、追加の負担を発生させる。アナログおよび混合信号ASIC設計の複雑さは、ムーアの法則に積極的に従っているが、設計検証における技術革新は概してそうではない。
貴重な設計時間および計算資源ならびに高価なシミュレータ資源は、現在のアドホックな手法とは異なり、等価でない変更に対する目標カバレッジを達成するために、本開示の方法によって具体的に絞りこまれてもよい。本方法は、再検証される必要がある領域を特定し、設計チームおよび設計管理にほぼ即時のフィードバックを提供する。テストカバレッジ効率(すなわちシミュレーション時間を浪費しないこと)を改善することにより、資源のより効率的な使用が可能になる。
本開示は、アナログおよび混合信号(analog and mixed signal)(A/MS)特定アプリケーション用集積回路(ASIC)に対する検証を確認するための電子的設計に対する変更を評価することに関する。アナログおよび混合信号集積回路は、多くの現代的電子デバイス内に存在し、これらの回路は製造前にシミュレーションを介して検証される必要がある。検証確認の態様は、変更が発生したか否か、もし変更が発生していれば、結果として等価な回路となるか否か、もし変更が発生しておりかつ回路が等価でなければ、回路全体に対する結果としての影響はどのようなものか、を決定することを含む。
したがって、本開示は、変更が発生したか否かを決定することと、修正された回路は等価であるか否かを決定することと、回路修正の結果としての影響を決定することとを介して、改善された検証効率を実現する。これらの、および他の潜在的な、本開示の利点、特徴および利益は、本開示の代表例の詳細な説明を、添付図面とともに注意深く考察することに基づいて、当業者に理解され得る。
[概要]
サブコンポーネントを含む電子的設計を受け取るステップと、サブコンポーネントを表すデータのバンクされた署名(banked signature)を採用するステップとを有する、検証確認のための電子的設計の変更評価方法の、一例および本開示の一態様によれば、提供される。この例は、サブコンポーネントのレビュー要求を受け取るステップと、サブコンポーネントを表すデータの現在の署名(current signature)を生成するステップと、現在の署名およびバンクされた署名に基づいて相違を決定するステップと、を有する。
本開示は、以下の詳細な説明および図面を考慮することにより、より明確に理解される。
本開示の事例を実施するのに適したコンピュータシステムを示すブロック図である。 本開示の事例を実施するのに適したコンピュータネットワークシステムを示すブロック図である。 低電圧損失(LDO)回路の例を示す図である。 増幅回路の例を示す図である。 増幅器用のテストベンチピン出力を示す図である。 階層構造の例を示す図である。 修正されたサブコンポーネントと、その設計表現内の影響を受ける直系の各サブコンポーネントとを示す階層構造の例を示す図である。 一般的な階層構造の例を示す図である。 パースされたテスト階層構造例の事例を示す図である。 電源管理集積回路用の第1の設計構成例を示す図である。 電源管理集積回路用の第1の設計構成例を示す図である。 電源管理集積回路用の第2の設計構成例を示す図である。 電源管理集積回路用の第2の設計構成例を示す図である。 電源管理集積回路用の第3の設計構成例を示す図である。 電源管理集積回路用の第3の設計構成例を示す図である。 検証確認のための電子的設計の変更検証の第1の例を示す図である。 検証確認のための電子的設計の変更検証の第2の例を示す図である。 検証確認のための電子的設計の変更検証の第3の例を示す図である。 修正対象となった電子的設計の変更評価に基づく検証確認のコンピュータプログラム製品を示す図である。 修正対象となった電子的設計の変更評価に基づく検証確認のコンピュータベースシステムを示す図である。 相違決定方法を示す図である。 検証確認の等価性評価の第1の例を示す図である。 検証確認の等価性評価の第2の例を示す図である。 検証確認の等価性評価の第3の例を示す図である。 検証確認の等価性評価の第4の例を示す図である。 検証確認の等価性評価の第4の例を示す図である。 PMIC_testbench1構成の概略を示す図である。 PMIC_testbench1のための設計階層構造の設計構成の第1の例を示す図である。 PMIC_testbench1のための設計階層構造の設計構成の第2の例を示す図である。 検証確認用のテストベンチ構成を含む等価性評価の第5の例を示す図である。
詳細な説明中の参照符号は、様々な図中の類似の参照符号に対応する(そうでないと断った場合を除く)。書面中で用いられる記述的および方向的用語(右、左、後、頂点(top)、底、上側、側面、他)は、とくに断らない限り、紙上に配置された通りの図面自体を参照し、本開示の物理的限定を参照しない。各図はスケールを示すものではなく、図示され論じられる例の特徴のいくつかは、本開示の原理および特徴と、利点とを例示するために、単純化され誇張されている。
[詳細な説明]
本開示の特徴および他の詳細は、以下に、添付図面への参照とともにより詳細に記載される。添付図面には、開示される事項の様々な例示が示されおよび/または記載される。本明細書に記載される特定の例は、本開示の限定としてではなく、例として示されるということが理解される。さらに、開示される事項は、本明細書に記載されるいずれかの例に限定されると考えるべきではない。そうではなく、これらの例は、本開示が完全かつ完結したものとなり、開示される事項の範囲を当業者に十分に伝えるように提供される。本開示の本質的特徴は、本開示の範囲から逸脱することなく、様々な例において採用し得る。
本明細書において用いられる用語法は、特定の例を記述することのみを目的としており、開示される事項の限定としては意図されていない。本明細書を通して、類似した番号は類似した要素を参照する。本明細書において用いられる用語「および/または(and/or)」は、関連して列挙される事項のうち1つ以上の任意の組み合わせすべてを包含する。また、本明細書において用いられる単数形「a」、「an」および「the」は、複数形も同様に含むことを意図される(ただしそうでないことを文脈が明確に示す場合を除く)。さらに、本明細書において用いられる場合、用語「備える」("comprises", and/or "comprising")および「含む」("comprises", and/or "comprising")は、記述された特徴、完全体(integers)、ステップ、動作、要素、および/または構成要素の存在を特定するが、他の特徴、完全体、ステップ、動作、要素、構成要素、および/またはこれらの群のうち1つ以上の存在または追加を排除しない。また、本明細において用いられる相対的用語(第1の、第2の、頂点(top)、底(bottom)、左、右、等)は、1つの主体または動作を別の主体または動作と区別するためだけに用いられており、必ずしもそのような主体または動作の間の実際の関係または順序を要求または示唆するものではない。
アナログおよび混合信号IC設計への参入障壁のコストは、とくに自社工場を持たない会社(パッケージされたASICの形で、または顧客のシステムズ・オン・ア・チップ(SoCs)に集積されるべきモジュールの形で、ASIC知的財産を開発する会社)に特有のものである。たとえば、自社工場を持たない設計センターに5人のIC設計エンジニアが配置されている場合には、そのチームに設計ツールを持たせることは、人員を4倍にするのと財務的に等価である。これは、EDAツールを所有することの高いコスト(毎年のライセンス料、設置およびサポート、トレーニング等に限られない)に起因する。知的な変更管理追跡および評価を通じてシステムの使用を縮小することは、より効率的な資源配置を可能にする。
アナログおよび混合信号検証は、時間・計算集約的である。回路が仕様に合わせて機能することを保証するためには、概して、様々な条件および様々な製造条件における様々な入力に対する回路の機能性をシミュレートすることが必要となる。元の検証の時間・計算集約性を重畳させることは、設計の各側面を複数の設計チームがレビューまたは修正する可能性があるということである。回路が適切に検証されたか否かを確認する際には、変更が発生したか否か、その変更が結果として等価な回路に帰着するか否か、および、それら等価でない変更の結果としての影響、を評価することが最優先事項となる。
本開示は、回路の修正が発生したか否かを扱う。回路の修正がない場合には、追加の検証を行ってもよいが、追加の検証確認問題を扱う必要はない。ファイルを開くことが日付をインデキシングし擬陽性を示す可能性があるので、ファイルの最終更新タイムスタンプを見て修正が発生したか否かを決定することは、場合によっては不可能である。ファイルを開いた後にそのファイルについて現在の署名(current signature)を生成することは、これをそのファイルのバンクされた署名(banked signature)と比較することを可能にする。バンクされた署名と現在の署名との間に相違があれば、実際のファイル変更が発生したと推定することができる。バンクされたファイルに関連付けられ得る署名の種類は、暗号化されたもの、時間ベースのもの、データビットベースのもの、等であってもよく、署名はファイルとともにバンクされ記憶されるか、または、代替として、オン・ザ・フライで生成されてもよい。現在の署名およびまたはバンクされた署名は、ユーザによりユーザの選択のもとに生成されるよう強制してもよいということが構想される。
設計ファイルの一部が変更されたことが示された後、他の問題を扱う必要がある可能性がある。これらの問題のうち1つは、示された変更が等価でない回路に帰着するか否かである。非等価性は、回路の変更から帰着される場合もあれば、そうでない場合もある。修正された回路が等価であると解析された場合には、検証確認問題は縮小される可能性がある。回路に対する複数の異なる等価性評価方法が存在する(元のサブコンポーネントと修正されたサブコンポーネントとの間の構造概略相違を認識すること、元のサブコンポーネントと修正されたサブコンポーネントとの固有値をマッピングすること、行動的領域および電気的領域(behavioral and electrical domains)の間をマッピングして元のサブコンポーネントと修正されたサブコンポーネントとの行動的実装および電気的実装の間の偏位を計算すること、元のサブコンポーネントと修正されたサブコンポーネントとのネットリスト(netlist)をマッピングすること、元のサブコンポーネントと修正されたサブコンポーネントとのスタンプされたマトリックス(matrix)をマッピングすること、等)。
ファイルが実際に修正されたと査定された場合には、全体設計に対するその設計ファイルの影響の程度と、その検証とを査定してもよい。この問題を扱うためのステップは、検証履歴を受け取ること、修正されたサブコンポーネントに階層的に関連する直系のサブコンポーネントを追跡すること、決定された相違および直系のサブコンポーネントに基づいて結果ログを提供すること、結果ログおよび検証履歴に基づいて検証デルタ(verification delta)を査定すること、を備える。結果ログは、修正されたサブコンポーネントの結果である電子的設計に対する影響を示す。検証デルタは、過去に検証された構成内の1つのサブコンポーネントにおける変更によって影響を受けた特定のテスト構成内の任意の事項を含み得る。サブコンポーネント内の変更がその過去の検証出力に影響を与えた場合には、検証デルタが検出される。
したがって、開示される変更評価システムおよび方法によって解決される問題のうち、コンピュータおよび人的資源のより効率的な使用、市場までのタイムラグの短縮を許容すること、および、より絞りこまれた完全な検証確認を保証することである。
コンピュータシステム図1は、例示的なコンピュータシステム100(本開示はこれとともに実装され得る)のためのシステムアーキテクチャを示す。図1の例示的なコンピュータシステムは、説明のためだけのものである。本記載は、特定のコンピュータシステム(IBMパーソナルコンピュータ等)を記載する際に一般的に用いられる用語を参照する場合があるが、本記載およびコンセプトは、他のシステム(図1には類似しないアーキテクチャを有するシステムを含む)にも同等に当てはまる。
コンピュータシステム100は、典型的には、中央処理装置(CPU)110(1つ以上のマイクロプロセッサによって実装されてもよい)と、情報の一時的記憶のためのランダムアクセスメモリ(RAM)112と、情報の永続的記憶のための読み出し専用メモリ(ROM)114とを含む。RAMを制御するためにメモリコントローラ116が提供される。バス118が、コンピュータシステムの構成要素を相互接続する。バスを制御するために、バスコントローラ120が提供される。システムの構成要素からの様々な割り込み信号を受け取って処理するために、割り込みコントローラ122が用いられる。フラッシュ124、DVD126、またはハードディスク128、たとえばソリッドステートドライブによって、大容量記憶が提供されてもよい。リムーバブルメディア(フラッシュドライブおよびDVD等)を介して、コンピュータシステムとデータおよびソフトウェアを交換してもよい。フラッシュドライブは、ユニバーサルシリアルバス(USB)ドライブ130に挿入可能であり、ユニバーサルシリアルバス(USB)ドライブ130はコントローラ132によってバスに接続される。同様に、DVDはDVDドライブ134に挿入可能であり、DVDドライブ134はコントローラ136によってバスに接続される。ハードディスクは固定ディスクドライブ138の一部であり、固定ディスクドライブ138はコントローラ140によってバスに接続される。
コンピュータシステムに対するユーザ入力は、いくつかのデバイスによって提供可能である。たとえば、キーボード142およびマウス144がコントローラ146によってバスに接続される。オーディオ変換器148(マイクロホンおよびスピーカとして作用してもよい)は、図示のようにオーディオコントローラ150によってバスに接続される。他の入力デバイス(ペンおよび/またはタブロイド等)がバスおよび適切なコントローラおよびソフトウェアに接続されてもよい。システムRAMへの直接のメモリアクセスを行うために、DMAコントローラ152が提供される。
ビデオディスプレイ156を制御するビデオサブシステム154によって、視覚的表示が生成される。コンピュータシステムは、通信アダプタ158(ローカルエリアネットワーク(LAN)またはワイドエリアネットワーク(WAN)または他の適切なネットワーク(概略的にバス160およびネットワーク162によって示される)にシステムが相互接続できるようにするもの)も含む。
コンピュータシステムの動作は、概して、オペレーティングシステム(いくつか例を挙げれば、Microsoft Corporationから入手可能なウィンドウズ(登録商標)およびウィンドウズ7オペレーティングシステム、Unix(登録商標)、Linux(登録商標)またはApple OS Xオペレーティングシステム)によって制御され調和される。オペレーティングシステムは、システム資源の割り当てを制御し、とくに、スケジューリング処理、メモリ管理、ネットワーキング、I/Oサービス等のタスクを実行する。
コンピュータシステム図2は、システム200を示す。システム200では、コンピュータユーザ210がネットワーク212に接続され、ネットワーク212がクラウド214および計算ファーム216に接続される。
低電圧損失(LDO)300回路の概略例が図3に示される。LDOは増幅器A1を有する。増幅器A1は、反転入力(「−入力」)と、非反転入力(「+入力」)と、出力と、正電力供給電圧入力「+V」と、負電力供給電圧入力「−V」とを有する。LDO回路は、電圧入力Vinと、電圧出力Voutとを有する。LDOは、電力出力ブロックQ1、Q2およびR2を有する。LDOフィードバック回路は、R3、R4、D1およびR1を備える。増幅器A1はシンボルと呼ばれ、要素D1、R1、R2、R3、R4、C1、C2、Q1およびQ2はプリミティブと呼ばれる。
増幅器A1 400回路の概略例は、図4に示される。増幅器のシンボルは、トランジスタQ3、Q4、Q5、Q6、Q7およびQ8と、抵抗器R5とを備える。反転入力(「−入力」)と、非反転入力(「+入力」)と、出力と、正電圧入力「+V」と、負電圧入力「−V」とを有する増幅器A1。
図5は、増幅器A1 510のためのテストベンチ500を示す。テストベンチは、それが接続されたデバイスのために実行される、入力、出力、テスト条件等の特定の構成である。テストベンチは、反転入力512と、非反転入力514と、正電力入力516と、負電力入力518と、出力520とを有する。テストベンチは、関連付けられた接続、電力供給、IO、等を有し、これらはテストベンチコラテラル(test bench collateral)と呼ばれる。回路の周縁のまわりの部分は、検証ハーネスと呼ばれる。ピン出力および検証ハーネスの動作は、テストされる回路に整合する必要がある。
図6は、階層構造600の一例を示す。集積回路設計は、情報の複雑さおよび量を取り扱うために、階層的に管理される。しかしながら、アナログおよび混合信号集積回路設計については、設計エンジニアはしばしば設計を結線図の階層構造として解釈し、典型的には、設計を製造プロセスにリリースするために設計データの複数の表現が利用可能である。図5に示す演算増幅器は、そのような例の1つを示す。設計は、セルと、階層構造の様々なレベルにおける様々な設計表現とを備えるライブラリに含めることができる。この例では、ライブラリ610は、頂点セル612(このケースでは演算増幅器)と、設計に用いられるプリミティブデバイスタイプ(NMOS614およびPMOS616トランジスタ等)とを含み得る。この例では、頂点セルは3つの設計表現を有する。すなわち、シンボルビュー618(図5に用いられる演算増幅器に対するシンボル等)と、個別のデバイスの概略ビュー620(演算増幅器を備えるもの)と、レイアウトビュー622(生産のためのマスクセットを生成するための形状およびレイヤを含み得る)とである。概略ビューの内部にはプリミティブデバイスのシンボルが配置されてもよい。具体的なプリミティブデバイスが、同一のまたは異なるパラメータ値(幅および長さ等)をもって複数回配置されてもよい。これらの配置はそのデバイスの例であると考えられる。設計階層構造の、より複雑な例は、図8〜12に示される可能性がある。
図7は、設計表現700内の階層構造の例を示す。たとえば、A1 710は、低電圧損失レギュレータの概略ビューであるB1 712の配置を含む電源管理集積回路(PMIC)設計の概略であるか。B1は、増幅器およびフィードバックループの概略ビューであるC2 714の配置を含む。C2概略ビューは、増幅器D3の概略ビューを含み得る。D3 716に対する概略ビューが変更された場合には、実行された評価のうちD3を含むものすべてが被疑物(suspect)であり再評価される必要がある。したがって、A1、B1および/またはC2を用いた評価は、D3に対して実行された評価と同様に被疑物である。より詳細な例は図8〜12に示される可能性がある。
図8は、テスト中のデバイス(Device under test)(DUT)の階層構造800の一般的な例を示す。階層構造はレベルA、B、Cおよびデバイスに従って、また、インスタンス1、2および3に従って配列される。接続線は、具体的な検証に対し、階層構造を通してどの表現どうしが接続されているかを示す。レベルおよびインスタンス内で、複数のビュータイプが存在可能である。これらの例は、各ケースおよびビューまたはビュータイプに限定することを意図するものではなく、いくつかの可能な階層構造構成を示すものである。
集積回路設計階層構造は、階層構造表現を利用した集積回路設計の表現である。この表現により、数百万個のコンポーネント(トランジスタ、抵抗器、コンデンサ、デバイスを接続する金属線、等)を含み得る複雑なデザインの、より効率的な作成が可能になる。設計プロセスの任意の時点において用いられる設計階層構造表現は、実行される設計ステップおよび設計機能のタイプ(アナログ、デジタル、メモリ、等)に基づいて異なり得る。
設計が製造されるべきである場合には、表現をマッピングできるように設計のレイアウトが作成される。このマッピングにより、設計製造が可能になるように、マスクセットの各レベル上にパターンが作成できるようになる。概して、レイアウト表現を作成するための設計フローは、デジタル機能ブロックおよびサブシステムに比べて、アナログの場合には非常に異なったものとなる。
設計プロセスの早期において、設計の大きな部分が、はじめて設計され既存のレイアウト表現を持たずに存在する可能性がある。設計の他の部分はすでに証明されている可能性があり、これらはより高いレベルの抽象度において表現されてもよく、またはレイアウト表現を含んでもよい。
本明細書において「ビュー」として参照される、いくつかの共通のタイプ(common type)の設計表現は、様々なビュータイプを備えてもよい。概略ビュータイプは、線またはネットで示される接続性を伴うブロックまたはコンポーネントと、ピンを介した階層構造の他のレベルへの接続との絵(picture)である。Spiceビュータイプは、コンポーネントとその関連付けられたパラメータとの表現であり、場合によっては、Spiceネットリストへとインスタンス化される具体的なデバイスモデルを含む。LVSExtractは、ツール(レイアウトビューを解析するとともに、個別のコンポーネントおよび接続性をリバースエンジニアリングするもの)によって作成されるビュータイプである。このタイプのビューのバリエーションは、物理的レイアウトの結果として得られる、設計者によっては描かれなかった抽出された寄生的コンポーネントを含んでもよい。レイアウトビュータイプは、設計のその部分に対するルーティング(routing)を含む具体的な幾何学的配置の表現である。Verilogビュータイプは、標準化されたVerilog形式のテキストファイルである。Verilog−Aビュータイプは、標準化されたVerilog−A形式のテキストファイルである。Verilog−AMSビュータイプは、標準化されたVerilog−AMS形式のテキストファイルである。ビュータイプの名称は、電子的設計自動化ツールプロバイダによって異なり得る。
他のビュータイプのタイプは、階層構造の組織化および可読性を支援してもよい。一例として、概略的キャプチャシステム等のグラフィック設計ツールは、配置されたグラフィックについてシンボルビュータイプを用いてもよい。シンボルは、階層構造を介してインスタンスを接続するピンと、ブロックの機能を示す図面とを収容してもよい。各例は演算増幅器、基本的デジタルゲート、トランジスタ、抵抗器、等に対する共通のシンボルを含んでもよい。
記載の複雑性にさらに加えて、設計階層構造の、あるレベルにおける所与のブロックは、同一ビュータイプのビューを複数含んでもよい。一例は、あるブロックの様々なverilog表現(たとえば、1つはレイアウトに基づく注釈付きタイミングを伴うもの、1つは推定タイミングを伴うもの、1つはタイミングを伴わないもの)であるか、または、設計表現の様々なレベル(ゲートレベル、レジスタ転送レベルRTL、等)である。同様に、アナログビューは多数の概略ビューを有してもよい(たとえば、最終トランジスタレベル設計にマッピングするもの、より高いレベルのモデリングのための行動的ブロックの配置を含むもの、レイアウトからの寄生的要素を含み得るもの、混合信号シミュレーションのためのアナログブロックとデジタルブロックとの間のインタフェース要素を含むもの)。また、アナログブロックについて、様々なシミュレーションエクササイズの目的に基づいてモデルが様々な機能性および精度を含む場合には、同一のブロックに対して複数のVerilog−AまたはVerilog−AMSモデルビューが存在してもよい。これら複数のビューおよびビュータイプは、具体的なタスクまたは解析のために用いられる構成にマッピングされる。
しばしば、ある具体的なビューがどのタイプの解析のために有益な可能性があるかについてのヒントを提供するために、ビュー名称が作成される。ビュー名称は、以下にリストされるもの等を含んでもよい。Schematicは、トランジスタレベルまたは行動的モデル等の階層構造のいずれかのレベルにおいて評価され得るブロックの配置を含む概略ビューである。Schematic_behavioralは、行動的要素を備える概略ビューである。Schematic_parasiticsは、レイアウトから抽出または推定される寄生的コンポーネントを含む概略ビューである。Spiceは、ネットリストに実装される情報と、具体的なアナログシミュレータのためのコンポーネントとを含むspiceビューである。Behavioral_vaは、Verilog−Aを評価し得るアナログシミュレータのための具体的なブロックをモデル化するVerilog−A形式のテキストビューであり、Behavioral_vamsは、Verilog−AおよびVerilogを評価し得る混合信号シミュレータのための具体的なブロックをモデル化するVerilog−AMS形式のテキストビューである。
図8に示す具体例では、テスト中のデバイスA1、インスタンス1を持つテストベンチ1が、後続の構成に基づいて定義され、A1、インスタンス1およびB1、インスタンス1は、Schematicレベルモデルによってモデル化される。B2、インスタンス1は、Schematic_behavioralモデルによってモデル化され、C1、インスタンス1およびC2インスタンス1は、Schematicモデルを用いてモデル化される。C1、インスタンス2およびC3、インスタンス1は、Schematic_behavioralモデルによってモデル化される。階層構造の底(bottom)には、デバイス1、2〜x、インスタンス1、2および3が、Spiceを用いてモデル化される。
図8に示す具体例では、デバイス1、インスタンス2はダミーデバイスであり、したがって、シミュレータマトリックスを変更しない。デバイス1、インスタンス2概略は、ダミーデバイスとして接続されるC1、インスタンス1内に配置されており、したがって、シミュレータ内にスタンプされるA1、インスタンス1マトリックスの一部ではない。
ある変更が、検証の再実行を必要とするか否かは、部分的には、階層構造を介した接続によって決定される。テストベンチ1、テスト中のデバイスA1、インスタンス1に対するこの具体例では、デバイス1、インスタンス2、Schematicビューが変更されたとしても、このデバイスはダミーデバイスであって、シミュレータ内にスタンプされるマトリックスを修正しないので、シミュレータを再実行する必要はないであろう。
図8に対するビューでは、C1、インスタンス1 Schematicビューが、シミュレータモデルの構成の一部を形成する。もしこれが変更され、変更がシミュレータマトリックスに影響を与えるのに十分なほど実体的なものであれば、テストベンチ1は再実行する必要があろう。C1、インスタンス2Schematicビューは、シミュレータモデル例の構成の一部を形成しないので、これが変更されたとしても、テストベンチ1は再実行する必要はないであろう。
より抽象的なレベルでは、C1、Schematicビューが変更された場合(したがってこれによりインスタンス1および2内の概略ビューが変更される)には、これはシミュレータマトリックス内にスタンプされる情報の変更に影響を与えるので、テストベンチ1は再実行する必要があろう。C1、Schematicビューに対する非実体的な変更が(たとえばコメントの追加によって)行われ、マトリックス内のシミュレータによってスタンプされる情報に変更が行われなかった場合には、テストベンチ1は再実行する必要がないであろう。構成に変更が行われたか否かと、マトリックスのスタンプの影響とを決定することは、必要となる検証実行の数に大きな影響を与えることが明白である。
図9は、電源管理チップPMIC900をモデル化するためにから選択され得る様々なモデルビューのいくつかを示す。PMICは、SchematicレベルおよびSchematic_behavioralレベルを有する。LDO、LDOイネーブル制御およびバッテリスーパーバイザは、Schematicレベル、Schematic_behavioralレベルおよびBehavioral_vamsレベルにおいて定義される。電圧基準、LDOフィードバックおよびLDO比較器は、SchematicレベルおよびBehavioral_vaレベルにおいて定義される。LDO増幅器は、SchematicレベルおよびSchematic_parasiticsレベルにおいて定義される。行動的増幅器(Behavioral Amplifier)および行動的バイアス(Behavioral Bias)は、Behavior_vaレベルにおいて定義される。LDO
LDO制御論理は、SchematicレベルおよびVerilogレベルにおいて定義される。デバイス1〜Xは、Spiceレベルにおいて定義される。
図10Aおよび図10Bは、電源管理チップ1000のためのテスト階層構造を示す。これらの図は、Spiceプリミティブコンポーネント構成が定義されている場合には、階層構造の一部を例示する。このモデルでは、デバイス1、インスタンス2はダミーデバイスであり、シミュレータマトリックスを変更しない。
図11Aおよび図11Bは、電源管理チップ1100のためのテスト階層構造を示す。これらの図は、いくつかのアナログ行動的レベルモデルと、いくつかのVerilog表現と、いくつかのSpiceプリミティブコンポーネントとを伴う可能な混合構成の1つのための階層構造の一部を例示する。
図12Aおよび図12Bは、電源管理チップ1200のためのテスト階層構造を示す。これらの図は、行動的構成が定義されている場合には、階層構造の一部を例示する。
一例では、図13は、検証確認のための電子的設計の変更評価の、コンピュータによって実施される方法1300(電子的設計のサブコンポーネントを少なくとも1つ受け取るステップ1310と、当該少なくとも1つのサブコンポーネントを表すデータのバンクされた署名を採用するステップ1312とを備える)を例示する。コンピュータによって実施される方法は、さらに、当該少なくとも1つのサブコンポーネントのレビュー要求を受け取るステップ1314と、当該少なくとも1つのサブコンポーネントを表すデータの現在の署名を生成するステップ1316と、少なくとも部分的に現在の署名およびバンクされた署名に基づいて相違を決定するステップ1318とを備える。コンピュータによって実施される方法は、さらに、決定された相違に少なくとも部分的に基づいて、バンクされた署名を現在の署名にマッチするよう更新するステップを備える。バンクされた署名は暗号的署名、タイムスタンプ、ビットコピー、等であってもよい。電子的設計は、アナログ、デジタルまたは混合信号であることが構想される。
別の例では、図14は、検証確認のための電子的設計の変更評価の、コンピュータによって実施される方法1400(少なくとも1つのサブコンポーネントを有する階層構造によって少なくとも部分的に構成される電子的設計を受け取るステップ1410と、当該少なくとも1つのサブコンポーネントを表すデータのバンクされた署名を受け取るステップ1412とを備える)を例示する。コンピュータによって実施される方法は、さらに、当該少なくとも1つのサブコンポーネントを表すデータの現在の署名を生成するステップ1414と、現在の署名とバンクされた署名とに少なくとも部分的に基づいて相違を決定するステップ1416と、決定された相違に応じ、当該少なくとも1つのサブコンポーネントに階層的に関連する少なくとも1つの直系のサブコンポーネントを追跡するステップ1418とを備える。階層的関連は、修正されたサブコンポーネントに別のサブコンポーネントがリンクされ、そのサブコンポーネントの変更によってその別のサブコンポーネントが影響を受けるというものである。リンクされたサブコンポーネントにおけるこの変更は、変更されたサブコンポーネントの系統(lineage)内にあるので、直系の(lineal)サブコンポーネントと呼ばれる。
図14の、コンピュータによって実施される方法もまた、電子的設計の検証履歴を決定するステップと、電子的設計の検証履歴を受け取るステップと、少なくとも1つのサブコンポーネントの修正を少なくとも1つ受け取ることステップとを備えてもよい。この、コンピュータによって実施される方法は、また、当該少なくとも1つのサブコンポーネントと、当該少なくとも1つの修正されたサブコンポーネントとの等価性を評価するステップと、決定された相違、評価された等価性および少なくとも1つの直系のサブコンポーネントに少なくとも部分的に基づいて結果ログを提供するステップとを備えてもよい。結果ログは、当該少なくとも1つのサブコンポーネントの、少なくとも1つの修正の結果として得られる、電子的設計に対する影響を示す。この、コンピュータによって実施される方法は、結果ログと、検証履歴とに少なくとも部分的に基づいて、検証デルタを決定するステップをさらに備えてもよい。当該少なくとも1つのサブコンポーネントは、複数の抽象化レベルを有する定義(少なくとも1つの直系のサブコンポーネントがより高い抽象化レベルに対するものであり、少なくとも1つの直系のサブコンポーネントがより低い抽象化レベルに対するものである)を備えてもよい。結果ログは、設計の構成を表す階層構造内のテストベンチの集合である。特定の事項が第1の時刻から第2の時刻に変更された場合には、関連付けられたテストベンチが再実行される必要がある。テストベンチは、入力、出力、テスト条件、等の具体的構成であり、当該テストベンチが接続されたデバイスに対して実行されるものである。この例は、さらに加えて、少なくとも1ユーザ要求に応じて現在の署名を生成することが実行されるというステップを備えてもよい。
さらなる例では、図15は、検証確認のための電子的設計の変更評価の、コンピュータによって実施される方法1500(少なくとも1つのサブコンポーネントを有する階層構造によって少なくとも部分的に構成される電子的設計を受け取るステップ1510と、当該少なくとも1つのサブコンポーネントを表すデータのバンクされた署名を受け取るステップ1512とを備える)を例示する。この、コンピュータによって実施される方法は、さらに、当該少なくとも1つのサブコンポーネントのレビュー要求を少なくとも1つ受け取るステップ1514と、当該少なくとも1つのレビュー要求に応じて当該少なくとも1つのサブコンポーネントを表すデータの現在の署名を生成するステップ1516とを備える。この、コンピュータによって実施される方法は、さらに、現在の署名とバンクされた署名とに少なくとも部分的に基づいて相違を決定するステップ1518と、当該少なくとも1つのサブコンポーネントと当該少なくとも1つのレビューされたサブコンポーネントとの等価性を評価するステップ1520とを備える。
等価性評価は、当該少なくとも1つのサブコンポーネントと当該少なくとも1つのレビューされたサブコンポーネントとの構造的レイアウトか、当該少なくとも1つのサブコンポーネントと当該少なくとも1つのレビューされたサブコンポーネントとの固有値のマッピングか、行動的領域と電気的領域との間のマッピングを定義し当該少なくとも1つのサブコンポーネントと当該少なくとも1つのレビューされたサブコンポーネントとの行動的実装および電気的実装の間の偏位を計算することか、等を備えてもよい。
さらなる例では、図16は、過渡的でないコンピュータ可用媒体(プロセッサによって実行された時に、修正の対象となった検証確認のための電子的設計の変更評価方法を当該プロセッサに実行させる命令のシーケンスを記憶した、過渡的でないコンピュータ可用媒体)に具体化されたコンピュータプログラム製品1600を例示する。過渡的でないコンピュータ可用媒体上に具体化されたこのコンピュータプログラム製品は、少なくとも1つのサブコンポーネントを有する階層構造によって少なくとも部分的に構成される電子的設計を受け取るステップ1610と、当該少なくとも1つのサブコンポーネントを表すデータのバンクされた署名を受け取るステップ1612と、電子的設計の検証履歴を受け取るステップ1614とを備える。過渡的でないコンピュータ可用媒体上に具体化されたこのコンピュータプログラム製品は、当該少なくとも1つのサブコンポーネントの修正を少なくとも1つ受け取る1618ためにコンピュータプロセッサ1616を用いる。過渡的でないコンピュータ可用媒体上に具体化されたこのコンピュータプログラム製品は、さらに、当該少なくとも1つの修正に応じて当該少なくとも1つのサブコンポーネントを表すデータの現在の署名を生成するステップ1620と、現在の署名およびバンクされた署名に少なくとも部分的に基づいて相違を決定するステップ1622と、決定された相違に応じ、当該少なくとも1つのサブコンポーネントの、当該少なくとも1つの修正に影響を受けて、当該少なくとも1つのサブコンポーネントに階層的に関連する少なくとも1つの直系のサブコンポーネントを追跡するステップ1624とを備える。さらに、過渡的でないコンピュータ可用媒体上に具体化されたこのコンピュータプログラム製品は、決定された相違と当該少なくとも1つの直系のサブコンポーネントとに少なくとも部分的に基づいて、結果ログ(この結果ログは、当該少なくとも1つのサブコンポーネントの、当該少なくとも1つの修正の結果としての電子的設計に対する影響を示す)を提供するステップ1626と、結果ログおよび検証履歴に少なくとも部分的に基づいて検証デルタを査定するステップ1628とを備える。階層的関連は、少なくとも、システムレベル、アーキテクチャレベル、データフローレベル、電気的レベル、デバイスレベルおよびテクノロジーレベルを備えてもよい。
別の例では、図17は、修正の対象となった電子的設計の変更評価に基づいて検証を確認するコンピュータベースのシステム1700(プログラムコード命令のセットを実行するためのコンピュータプロセッサ1710と、プログラムコード命令を担持するメモリ1712と(その中でプログラムコード命令がプログラムコードを備える)、少なくとも1つのサブコンポーネントを有する階層構造によって少なくとも部分的に構成される電子的設計を受け取ること1714と、当該少なくとも1つのサブコンポーネントを表すデータのバンクされた署名を受け取ること1716とを備える)を例示する。コンピュータプロセッサは、当該少なくとも1つのサブコンポーネントの修正を少なくとも1つ受け取ること1718と、当該少なくとも1つの修正に応じて当該少なくとも1つのサブコンポーネントを表すデータの現在の署名を生成すること1720と、現在の署名およびバンクされた署名に少なくとも部分的に基づいて相違を決定すること1722と、決定された相違に応じ、当該少なくとも1つのサブコンポーネントと、当該少なくとも1つの修正されたサブコンポーネントとに少なくとも部分的に基づいて、等価性を評価すること1724とのために用いられる。コンピュータプロセッサは、さらに、決定された相違と、評価された等価性とに応じ、当該少なくとも1つの修正されたサブコンポーネントに階層的に関連する少なくとも1つの直系のサブコンポーネントを追跡すること1726と、決定された相違、評価された等価性および当該少なくとも1つの直系のサブコンポーネントに少なくとも部分的に基づいて結果ログを提供すること1728とのために用いられる。結果ログは、当該少なくとも1つのサブコンポーネントの、当該少なくとも1つの修正の結果としての、電子的設計に対する影響を示す。
図18は、バンクされた署名1810および現在の署名1812の相違の決定1800を例示する。署名の相違の決定1814は、暗号的署名、タイムスタンプ署名、ビットコピー署名、等であってもよい。ファイルの署名は他の方法で計算されてもよいということが構想される。
図19は、元のサブコンポーネント1910と、レビューされたサブコンポーネント1912との間の等価性評価1900が、当該少なくとも1つのサブコンポーネントと、当該少なくとも1つの修正されたサブコンポーネントとの構造的レイアウトを認識すること1914に基づいてもよいということを例示する。
図20は、元のサブコンポーネント2010とレビューされたサブコンポーネント2012との間の等価性評価2000が、当該少なくとも1つのサブコンポーネントと当該少なくとも1つの修正されたサブコンポーネントとの固有値のマッピング2014に基づいてもよいということを例示する。
図21は、元のサブコンポーネント2110とレビューされたサブコンポーネント2112との間の等価性評価2100が、行動的領域および電気的領域の間のマッピング2114と、当該少なくとも1つのサブコンポーネントおよび当該少なくとも1つの修正されたサブコンポーネントの行動的実装および電気的実装の間の偏位の計算とに基づいてもよいということを例示する。
図22は、元のサブコンポーネント2210とレビューされたサブコンポーネント2212との間の等価性評価2200が、当該少なくとも1つのサブコンポーネントと当該少なくとも1つのレビューされたサブコンポーネントとのネットリストのマッピング2214に基づいてもよいということを例示する。
図23は、元のサブコンポーネント2310とレビューされたサブコンポーネント2312との間の等価性評価2300が、当該少なくとも1つのサブコンポーネントと当該少なくとも1つのレビューされたサブコンポーネントとのマトリックススタンプのマッピング2314に基づいてもよいということを例示する。
図24はPMIC_testbench1の概略を例示する。図25および図26に示すように、この概略は、2つのインスタンスすなわちPMICおよびPMIC_TBを有する。
図25は、PMIC_testbench1のための設計階層構造の表現の構成の可能な例を1つ示す。PMIC_TB設計表現Schematic1は、TB_stimulus_measure Behavioral_vaビューと、TB_system Schematic_customer1とを含む。PMIC Schematic_behavioral設計構成は、LDOブロックと、バッテリスーパーバイザブロックと、電圧基準ブロックとを含む。
図26は別の可能な構成を例示する。この構成では、PMIC構成は変更しないが、PMIC_TB Schematic_behavioralは、TB_stimulus_measure Behavioral_vamsビューと、TB_system Behavioral_vams_customer2ビューとを用いる。電子的設計に対する変更とともに、PMIC_TBの各部分の変更は、所与の検証実行において用いられる具体的構成に影響を与える変更の場合には関連性がある。テストベンチ構成に対する変更は、電子的設計における変更と等価の変更をもたらす。LDOまたはLDO増幅器のような設計階層構造内のブロックに対して、様々なテストベンチを利用することができる。
図27は、検証確認のための電子的設計の変更評価の、コンピュータによって実施される方法2700(少なくとも1つのサブコンポーネントを有する階層構造によって少なくとも部分的に構成される電子的設計の表現を受け取るステップ2710と、電子的設計の表現とともに当該少なくとも1つのサブコンポーネントをテストするためのテストハーネスモデルを少なくとも1つ受け取るステップ2712と、当該少なくとも1つのサブコンポーネントおよび当該少なくとも1つのテストハーネスモデルを表すデータのバンクされた署名を採用するステップ2714とを備える)を例示する。この方法はまた、当該少なくとも1つのサブコンポーネントおよび当該少なくとも1つのテストハーネスモデルのレビュー要求を少なくとも1つ受け取るステップ2716と、当該少なくとも1つのレビュー要求に応じて当該少なくとも1つのサブコンポーネントおよび当該少なくとも1つのテストハーネスモデルを表すデータの現在の署名を生成するステップ2718と、現在の署名およびバンクされた署名に少なくとも部分的に基づいて相違を決定するステップ2720と、当該少なくとも1つのサブコンポーネントおよび当該少なくとも1つのレビューされたサブコンポーネントの等価性を評価するステップ2722とを含む。当該少なくとも1つのテストハーネスモデルは、アナログ、デジタルおよび/または混合信号であってもよい。電子的設計の表現もまた、アナログ、デジタルおよび/または混合信号であってもよい。
本明細書では、本開示の様々な例示的な例を作成することおよび用いることが議論されるが、本開示は、広範囲の具体的コンテキストにおいて記載可能なコンセプトを提供するということが理解されるべきである。本開示は特定の例に関して示され記載されたが、本明細書を読んで理解した他の当業者は、均等物および修正を考えつくということが明白である。本開示は、そのような均等物および修正を含み、添付の特許請求の範囲によってのみ限定される。
方法および装置は、ローカルでまたはリモートで実施してもよく、ステップのためのデータはローカルでまたはリモートで記憶してもよいということが理解されるべきである。明瞭さのため、応用可能な技術の当業者にはありふれた機能、コンポーネントおよびシステムの詳細な記載は含まれない。本開示の方法および装置は、1つ以上の利益(改良されたスピード効率、低減した計算、低減した再検証の数、等を含むが、これに限らない)を提供する。本開示は特定の例示的な例を参照して記載されたが、本明細書に記載されたものは限定的な意味に解釈されることを意図するものではない。たとえば、示され説明された例におけるステップまたは材料の変形または組み合わせが、本開示から逸脱しない範囲で具体的な場合に用いられてもよい。例示的な例の様々な修正および組み合わせと、他の利益および例とが、図面、明細書および特許請求の範囲への参照に基づいて、当業者には明白である。

Claims (34)

  1. 検証確認のための電子的設計の変更評価の、コンピュータによって実施される方法であって、
    前記電子的設計のサブコンポーネントを少なくとも1つ受け取るステップと、
    前記少なくとも1つのサブコンポーネントを表すデータのバンクされた署名を採用するステップと、
    前記少なくとも1つのサブコンポーネントのレビュー要求を受け取るステップと、
    前記少なくとも1つのサブコンポーネントを表す前記データの現在の署名を生成するステップと、
    前記現在の署名および前記バンクされた署名に少なくとも部分的に基づいて、相違を決定するステップと
    を備える、方法。
  2. 前記決定された相違に少なくとも部分的に基づいて、前記バンクされた署名を前記現在の署名にマッチするよう更新するステップをさらに備える、請求項1に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  3. 前記バンクされた署名は暗号的署名である、請求項1に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  4. 前記バンクされた署名はタイムスタンプである、請求項1に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  5. 前記バンクされた署名はビットコピーである、請求項1に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  6. 前記電子的設計はアナログである、請求項1に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  7. 前記電子的設計は混合信号である、請求項1に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  8. 前記電子的設計はデジタルである、請求項1に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  9. 前記バンクされた署名に少なくとも部分的に基づいて、前記少なくとも1つのサブコンポーネントを表すデータを生成するステップをさらに備える、請求項1に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  10. 前記バンクされた署名を採用することは、前記バンクされた署名を生成することを備える、請求項1に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  11. 前記現在された署名を生成することは、少なくとも1ユーザ要求に応じて実行される、請求項1に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  12. 検証確認のための電子的設計の変更評価の、コンピュータによって実施される方法であって、
    少なくとも1つのサブコンポーネントを有する階層構造によって少なくとも部分的に構成される前記電子的設計を受け取るステップと、
    前記少なくとも1つのサブコンポーネントを表すデータのバンクされた署名を採用するステップと、
    前記少なくとも1つのサブコンポーネントを表す前記データの現在の署名を生成するステップと、
    前記現在の署名および前記バンクされた署名に少なくとも部分的に基づいて、相違を決定するステップと、
    前記決定された相違に応じて、前記少なくとも1つのサブコンポーネントに階層的に関連する少なくとも1つの直系のサブコンポーネントを追跡するステップと
    を備える、方法。
  13. 前記電子的設計の検証履歴を決定するステップをさらに備える、請求項12に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  14. 前記少なくとも1つのサブコンポーネントは、複数の抽象化レベルを有する定義を備える、請求項12に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  15. 前記少なくとも1つの直系のサブコンポーネントは、より高い抽象化レベルのためのものである、請求項12に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  16. 前記少なくとも1つの直系のサブコンポーネントは、より低い抽象化レベルのためのものである、請求項12に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  17. 前記電子的設計の検証履歴を受け取るステップをさらに備える、請求項12に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  18. 前記少なくとも1つのサブコンポーネントの修正を少なくとも1つ受け取るステップをさらに備える、請求項17に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  19. 前記少なくとも1つのサブコンポーネントおよび前記少なくとも1つの修正されたサブコンポーネントとの等価性を評価するステップをさらに備える、請求項18に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  20. 前記決定された相違と、前記評価された等価性と、前記少なくとも1つの直系のサブコンポーネントとに少なくとも部分的に基づいて、結果ログを提供するステップをさらに備え、
    前記結果ログは、前記少なくとも1つのサブコンポーネントの、前記少なくとも1つの修正の結果としての、前記電子的設計に対する影響を示す、
    請求項19に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  21. 前記結果ログおよび前記検証履歴に少なくとも部分的に基づいて検証デルタを決定するステップをさらに備える、請求項20に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  22. 検証確認のための電子的設計の変更評価の、コンピュータによって実施される方法であって、
    少なくとも1つのサブコンポーネントを有する階層構造によって少なくとも部分的に構成される前記電子的設計を受け取るステップと、
    前記少なくとも1つのサブコンポーネントを表すデータのバンクされた署名を採用するステップと、
    前記少なくとも1つのサブコンポーネントのレビュー要求を少なくとも1つ受け取るステップと、
    前記少なくとも1つのレビュー要求に応じて、前記少なくとも1つのサブコンポーネントを表すデータの現在の署名を生成するステップと、
    前記現在の署名および前記バンクされた署名に少なくとも部分的に基づいて、相違を決定するステップと、
    前記少なくとも1つのサブコンポーネントおよび前記少なくとも1つのレビューされたサブコンポーネントの等価性を評価するステップと
    を備える、方法。
  23. 前記等価性評価は、前記少なくとも1つのサブコンポーネントおよび前記少なくとも1つのレビューされたサブコンポーネントの構造的レイアウトを認識することを備える、請求項22に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  24. 前記等価性評価は、前記少なくとも1つのサブコンポーネントおよび前記少なくとも1つのレビューされたサブコンポーネントの固有値のマッピングを備える、請求項22に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  25. 前記等価性評価は、前記少なくとも1つのサブコンポーネントおよび前記少なくとも1つのレビューされたサブコンポーネントのネットリストのマッピングを備える、請求項22に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  26. 前記等価性評価は、前記少なくとも1つのサブコンポーネントおよび前記少なくとも1つのレビューされたサブコンポーネントのマトリックススタンプのマッピングを備える、請求項22に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  27. 前記等価性評価は、
    行動的領域および電気的領域の間のマッピングを定義することと、
    前記少なくとも1つのサブコンポーネントおよび前記少なくとも1つのレビューされたサブコンポーネントの行動的実装および電気的実装の間の偏位を計算することと
    を備える、請求項22に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  28. 過渡的でないコンピュータ可用媒体上に具体化されるコンピュータプログラム製品であって、
    前記過渡的でないコンピュータ可用媒体は、命令のシーケンスを記憶し、
    前記命令のシーケンスは、プロセッサによって実行された時に、修正の対象となった検証確認のための電子的設計の変更評価の方法を前記プロセッサに実行させ、
    前記方法は、
    少なくとも1つのサブコンポーネントを有する階層構造によって少なくとも部分的に構成される前記電子的設計を受け取るステップと、
    前記少なくとも1つのサブコンポーネントを表すデータのバンクされた署名を採用するステップと、
    前記電子的設計の検証履歴を受け取るステップと、
    前記少なくとも1つのサブコンポーネントの修正を少なくとも1つ受け取るためにコンピュータプロセッサを用いるステップと、
    前記少なくとも1つの修正に応じて、前記少なくとも1つのサブコンポーネントを表すデータの現在の署名を生成するステップと、
    前記現在の署名および前記バンクされた署名に少なくとも部分的に基づいて、相違を決定するステップと、
    前記決定された相違に応じ、前記少なくとも1つのサブコンポーネントの、前記少なくとも1つの修正によって影響を受けて、前記少なくとも1つのサブコンポーネントに階層的に関連する少なくとも1つの直系のサブコンポーネントを追跡するステップと、
    前記決定された相違および前記少なくとも1つの直系のサブコンポーネントに少なくとも部分的に基づいて、結果ログを提供するステップであって、前記結果ログは、前記少なくとも1つのサブコンポーネントの、前記少なくとも1つの修正の結果として得られる前記電子的設計に対する影響を示す、結果ログを提供するステップと、
    前記結果ログおよび前記検証履歴に少なくとも部分的に基づいて、検証デルタを査定するステップと
    を備える、コンピュータプログラム製品。
  29. 前記階層的関連は、少なくとも、システムレベルと、アーキテクチャレベルと、データフローレベルと、電気的レベルと、デバイスレベルと、テクノロジーレベルとを備える、請求項28に記載の過渡的でないコンピュータ可用媒体上に具体化されるコンピュータプログラム製品。
  30. 修正の対象となった電子的設計の変更評価に基づいて検証を確認する、コンピュータベースのシステムであって、
    プログラムコード命令のセットを実行するためのコンピュータプロセッサと、
    プログラムコード命令を担持するメモリであって、その中で前記プログラムコード命令がプログラムコードを備える、メモリと、
    少なくとも1つのサブコンポーネントを有する階層構造によって少なくとも部分的に構成される前記電子的設計を受け取ることと、
    前記少なくとも1つのサブコンポーネントを表すデータのバンクされた署名を採用することと、
    前記少なくとも1つのサブコンポーネントの修正を少なくとも1つ受け取るために前記コンピュータプロセッサを用いることと、
    前記少なくとも1つの修正に応じて、前記少なくとも1つのサブコンポーネントを表すデータの現在の署名を生成することと、
    前記現在の署名および前記バンクされた署名に少なくとも部分的に基づいて、相違を決定することと、
    前記決定された相違に応じて、前記少なくとも1つのサブコンポーネントおよび前記少なくとも1つの修正されたサブコンポーネントに少なくとも部分的に基づいて、
    等価性を評価することと、
    前記決定された相違および前記評価された等価性に応じて、前記少なくとも1つの修正されたサブコンポーネントに階層的に関連する少なくとも1つの直系のサブコンポーネントを追跡することと、
    前記決定された相違と、前記評価された等価性と、前記少なくとも1つの直系のサブコンポーネントとに少なくとも部分的に基づいて、結果ログを提供することであって、前記結果ログは、前記少なくとも1つのサブコンポーネントの、前記少なくとも1つの修正の結果として得られる前記電子的設計に対する影響を示す、結果ログを提供することと、
    を備える、システム。
  31. 検証確認のための電子的設計の変更評価の、コンピュータによって実施される方法であって、
    少なくとも1つのサブコンポーネントを有する階層構造によって少なくとも部分的に構成される前記電子的設計の表現を受け取るステップと、
    前記電子的設計の前記表現とともに、前記少なくとも1つのサブコンポーネントをテストするための少なくとも1つのテストハーネスモデルを受け取るステップと、
    前記少なくとも1つのサブコンポーネントおよび前記少なくとも1つのテストハーネスモデルを表すデータのバンクされた署名を採用するステップと、
    前記少なくとも1つのサブコンポーネントおよび前記少なくとも1つのテストハーネスモデルのレビュー要求を少なくとも1つ受け取るステップと、
    前記少なくとも1つのレビュー要求に応じて、前記少なくとも1つのサブコンポーネントおよび前記少なくとも1つのテストハーネスモデルを表すデータの現在の署名を生成するステップと、
    前記現在の署名および前記バンクされた署名に少なくとも部分的に基づいて、相違を決定するステップと、
    前記少なくとも1つのサブコンポーネントおよび前記少なくとも1つのレビューされたサブコンポーネントの等価性を評価するステップと
    を備える、方法。
  32. 前記少なくとも1つのテストハーネスモデルはアナログである、請求項31に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  33. 前記少なくとも1つのテストハーネスモデルは混合信号である、請求項31に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
  34. 前記少なくとも1つのテストハーネスモデルはデジタルである、請求項31に記載の、検証確認のための電子的設計の変更評価のコンピュータによって実施される方法。
JP2016523800A 2013-06-27 2014-06-18 検証確認のための電子的設計の変更評価方法、記録媒体およびシステム Active JP6546163B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/929,007 US8930877B1 (en) 2013-06-27 2013-06-27 Method and system of change evaluation of an electronic design for verification confirmation
US13/929,007 2013-06-27
PCT/US2014/043004 WO2014209723A1 (en) 2013-06-27 2014-06-18 Method and system of change evaluation of an electronic design for verification confirmation

Publications (2)

Publication Number Publication Date
JP2016524254A true JP2016524254A (ja) 2016-08-12
JP6546163B2 JP6546163B2 (ja) 2019-07-17

Family

ID=52116993

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016523800A Active JP6546163B2 (ja) 2013-06-27 2014-06-18 検証確認のための電子的設計の変更評価方法、記録媒体およびシステム

Country Status (4)

Country Link
US (3) US8930877B1 (ja)
JP (1) JP6546163B2 (ja)
DE (1) DE112014003045T5 (ja)
WO (1) WO2014209723A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9411925B2 (en) 2014-04-14 2016-08-09 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Simultaneously viewing multi paired schematic and layout windows on printed circuit board (PCB) design software and tools
US11008643B2 (en) 2013-05-15 2021-05-18 Carnegie Mellon University Tunable anisotropy of co-based nanocomposites for magnetic field sensing and inductor applications
US8930877B1 (en) * 2013-06-27 2015-01-06 Zipalog, Inc. Method and system of change evaluation of an electronic design for verification confirmation
US9594860B2 (en) * 2013-12-19 2017-03-14 Nxp Usa, Inc. Analog mixed signal model equivalence checking
US9886536B2 (en) 2015-04-27 2018-02-06 Zipalog, Inc. System and method for passive verification
US10277608B2 (en) * 2015-08-20 2019-04-30 Guardtime Ip Holdings Limited System and method for verification lineage tracking of data sets
FR3076926B1 (fr) * 2018-01-17 2020-01-24 Xyalis Systeme et procede de comparaison de fichiers geometriques
US10796046B2 (en) * 2019-01-18 2020-10-06 Mentor Graphics Corporation Machine learning-based parasitic extraction automation for circuit design and verification
CN110991157B (zh) * 2019-11-25 2023-12-01 长江勘测规划设计研究有限责任公司 基于电子文件可信技术的工程质量评定系统设计方法
US11275883B2 (en) 2020-02-12 2022-03-15 Siemens Industry Software Inc. Machine learning-based classification in parasitic extraction automation for circuit design and verification
US11768985B1 (en) * 2022-04-21 2023-09-26 Dell Products L.P. Automated platform design tool

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09153072A (ja) * 1995-11-29 1997-06-10 Nec Corp 回路データ検証方法
JP2005071372A (ja) * 2003-08-25 2005-03-17 Hewlett-Packard Development Co Lp コンピュータ支援設計ツールのデータモデルの一貫性を確認するためのシステムおよび方法
JP2006166186A (ja) * 2004-12-09 2006-06-22 Armonicos:Kk Cadデータ品質保証システム

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4724542A (en) 1986-01-22 1988-02-09 International Business Machines Corporation Automatic reference adaptation during dynamic signature verification
US5790416A (en) * 1995-09-18 1998-08-04 Motorola, Inc. Updating hierarchical DAG representations through a bottom up method
US6035106A (en) * 1997-04-28 2000-03-07 Xilinx, Inc. Method and system for maintaining hierarchy throughout the integrated circuit design process
US6360352B2 (en) 1998-07-17 2002-03-19 David E. Wallace Digital circuit layout techniques
US6668362B1 (en) * 2002-01-09 2003-12-23 Synopsys, Inc. Hierarchical verification for equivalence checking of designs
US7539608B1 (en) 2002-05-10 2009-05-26 Oracle International Corporation Techniques for determining effects on system performance of a memory management parameter
JP2004213605A (ja) * 2002-11-15 2004-07-29 Fujitsu Ltd 論理等価検証装置
DE102004033339A1 (de) * 2004-07-09 2006-02-02 Infineon Technologies Ag Verfahren und Vorrichtung zum Auffinden von Schaltungsabweichungen
US8453083B2 (en) * 2006-07-28 2013-05-28 Synopsys, Inc. Transformation of IC designs for formal verification
US7877720B2 (en) * 2007-01-08 2011-01-25 International Business Machines Corporation Method and tool for designing electronic circuits on a printed circuit board
US7752585B2 (en) * 2007-10-15 2010-07-06 International Business Machines Corporation Method, apparatus, and computer program product for stale NDR detection
US7966586B2 (en) 2007-12-07 2011-06-21 Cadence Design Systems, Inc. Intelligent pattern signature based on lithography effects
US8234609B2 (en) 2007-12-21 2012-07-31 Cadence Design Systems, Inc. Method and system for implementing top down design and verification of an electronic design
US8726112B2 (en) * 2008-07-18 2014-05-13 Mentor Graphics Corporation Scan test application through high-speed serial input/outputs
KR101275486B1 (ko) * 2008-11-07 2013-06-17 애플 인크. 순차적 등가성 검사를 위한 재구성된 설계를 준비하기 위한 방법
US8448107B2 (en) 2008-11-07 2013-05-21 Apple Inc. Method for piecewise hierarchical sequential verification
US8296693B2 (en) * 2009-02-25 2012-10-23 Ati Technologies Ulc Method and apparatus for hardware design verification
US8042078B2 (en) 2009-04-01 2011-10-18 International Business Machines Corporation Enhancing formal design verification by reusing previous results
US8762912B2 (en) * 2009-10-30 2014-06-24 Synopsys, Inc. Tiered schematic-driven layout synchronization in electronic design automation
US8957918B2 (en) 2009-11-03 2015-02-17 Qualcomm Incorporated Methods for implementing multi-touch gestures on a single-touch touch surface
US8401828B1 (en) 2010-07-15 2013-03-19 Cadence Design Systems, Inc. Methods and systems for analog object fetch in mixed-signal simulation
US8527257B2 (en) 2011-07-01 2013-09-03 Fujitsu Limited Transition-based macro-models for analog simulation
US20130024178A1 (en) 2011-07-20 2013-01-24 Narendran Kumaragurunathan Playback methodology for verification components
US8560983B2 (en) * 2011-12-06 2013-10-15 International Business Machines Corporation Incorporating synthesized netlists as subcomponents in a hierarchical custom design
US8555228B2 (en) * 2011-12-29 2013-10-08 Intel Corporation Tool for glitch removal
US8984459B2 (en) * 2012-05-04 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for layout verification
US8762907B2 (en) * 2012-11-06 2014-06-24 Lsi Corporation Hierarchical equivalence checking and efficient handling of equivalence checks when engineering change orders are in an unsharable register transfer level
US9152754B2 (en) * 2013-05-07 2015-10-06 Synopsys, Inc. Sub-module physical refinement flow
US8930877B1 (en) * 2013-06-27 2015-01-06 Zipalog, Inc. Method and system of change evaluation of an electronic design for verification confirmation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09153072A (ja) * 1995-11-29 1997-06-10 Nec Corp 回路データ検証方法
JP2005071372A (ja) * 2003-08-25 2005-03-17 Hewlett-Packard Development Co Lp コンピュータ支援設計ツールのデータモデルの一貫性を確認するためのシステムおよび方法
JP2006166186A (ja) * 2004-12-09 2006-06-22 Armonicos:Kk Cadデータ品質保証システム

Also Published As

Publication number Publication date
US8930877B1 (en) 2015-01-06
US9147026B2 (en) 2015-09-29
US20150302125A1 (en) 2015-10-22
DE112014003045T5 (de) 2016-03-31
US9536028B2 (en) 2017-01-03
US20150007124A1 (en) 2015-01-01
JP6546163B2 (ja) 2019-07-17
US20150106775A1 (en) 2015-04-16
WO2014209723A1 (en) 2014-12-31

Similar Documents

Publication Publication Date Title
JP6546163B2 (ja) 検証確認のための電子的設計の変更評価方法、記録媒体およびシステム
US10963608B2 (en) System and method for passive verification
JP6893232B2 (ja) 電子的設計の検証コマンドの翻訳のコンピュータ実装される方法および記録媒体
US11657201B2 (en) Computer implemented system and method of identification of useful untested states of an electronic design
US11704448B2 (en) Computer implemented system and method of translation of verification commands of an electronic design
JP2020528181A (ja) 電子的設計の検証コマンドの翻訳のコンピュータ実装されるシステム及び方法
JP2017516224A (ja) 電子的設計の有用な未テスト状態を特定するコンピュータ実装されるシステムおよび方法
Kalsing Automatic generation of register side effect test in embedded software.

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170616

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180625

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180724

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181024

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190521

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190620

R150 Certificate of patent or registration of utility model

Ref document number: 6546163

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250