JP2015177024A - Heat treatment method of substrate, heat treatment apparatus and computer readable recording medium - Google Patents

Heat treatment method of substrate, heat treatment apparatus and computer readable recording medium Download PDF

Info

Publication number
JP2015177024A
JP2015177024A JP2014052299A JP2014052299A JP2015177024A JP 2015177024 A JP2015177024 A JP 2015177024A JP 2014052299 A JP2014052299 A JP 2014052299A JP 2014052299 A JP2014052299 A JP 2014052299A JP 2015177024 A JP2015177024 A JP 2015177024A
Authority
JP
Japan
Prior art keywords
temperature
hot plate
substrate
wafer
heat
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014052299A
Other languages
Japanese (ja)
Other versions
JP5995892B2 (en
Inventor
泰夫 森
Yasuo Mori
泰夫 森
賢一 重冨
Kenichi Shigetomi
賢一 重冨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2014052299A priority Critical patent/JP5995892B2/en
Publication of JP2015177024A publication Critical patent/JP2015177024A/en
Application granted granted Critical
Publication of JP5995892B2 publication Critical patent/JP5995892B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

PROBLEM TO BE SOLVED: To control the temperature of a wafer more precisely.SOLUTION: A heat treatment method of a wafer W includes (A) a step of measuring the clearance between a heat plate 113 for giving heat to the wafer W, and the wafer W arranged above the heat plate 113 by means of distance sensors 118a, 118b, 118d, (B) a step of measuring the temperature of the heat plate 113 by means of temperature sensors 117a, 117b, 117d, (C) a step of calculating the estimation temperature of the wafer W arranged above the heat plate 113, on the basis of the clearance measured in step A and the temperature of the heat plate 113 measured in step B, and (D) a step of adjusting the temperature of the heat plate 113 on the basis of the difference between the estimation temperature calculated in step C, and the target temperature of the wafer W.

Description

本開示は、基板を熱処理する方法、熱処理装置及びコンピュータ読み取り可能な記録媒体に関する。   The present disclosure relates to a method for heat treating a substrate, a heat treatment apparatus, and a computer-readable recording medium.

特許文献1は、上方に配置された基板を加熱する熱板を備える熱処理装置を開示している。当該熱処理装置は、例えばフォトリソグラフィ技術を用いて基板を微細加工する際に、基板の表面に塗布されたレジスト液の溶剤を蒸発させるための加熱処理(プリベーキング)や、露光処理後に、基板上面の塗布膜の化学反応を促進させるための加熱処理(ポストエクスポージャーベーキング)や、現像処理後の加熱処理(ポストベーキング)などを実施する。   Patent document 1 is disclosing the heat processing apparatus provided with the hot platen which heats the board | substrate arrange | positioned upwards. For example, when the substrate is finely processed using a photolithography technique, the heat treatment apparatus performs heat treatment (pre-baking) for evaporating the solvent of the resist solution applied to the surface of the substrate or exposure processing, A heat treatment (post-exposure baking) for promoting the chemical reaction of the coating film and a heat treatment (post-baking) after the development treatment are performed.

特開2002−353111号公報JP 2002-353111 A

現在、フォトリソグラフィ技術を用いて凹凸パターン(例えば、レジストパターン)を基板(例えば、半導体ウエハ、ガラスウエハその他の各種ウエハ)の表面に形成するための微細加工プロセスが、広く一般に行われている。上記の熱処理装置においては、その構成上温度センサを処理対象の基板に直接取り付けることが困難なため、温度センサで熱板の温度を測定して熱板の温度を調節することで、間接的に基板の温度を管理している。   Currently, a microfabrication process for forming a concavo-convex pattern (for example, a resist pattern) on the surface of a substrate (for example, a semiconductor wafer, a glass wafer, or other various wafers) using a photolithography technique is widely performed. In the above heat treatment apparatus, it is difficult to directly attach the temperature sensor to the substrate to be processed due to its configuration. Therefore, by measuring the temperature of the hot plate with the temperature sensor and adjusting the temperature of the hot plate, indirectly The temperature of the board is managed.

近年、凹凸パターンの更なる微細化に伴い、基板表面上に配置された各種材料を基板の表面内において均一に熱処理する要求が高まっている。そのため、基板の温度をより精度よく制御することが重要となっている。   In recent years, with further miniaturization of the uneven pattern, there has been an increasing demand for uniformly heat-treating various materials arranged on the substrate surface within the substrate surface. Therefore, it is important to control the temperature of the substrate with higher accuracy.

そこで、本開示は、基板の温度をより精度よく制御することが可能な、基板を熱処理する方法、熱処理装置及びコンピュータ読み取り可能な記録媒体を説明する。   Thus, the present disclosure describes a method, a heat treatment apparatus, and a computer-readable recording medium for heat-treating a substrate that can control the temperature of the substrate with higher accuracy.

本開示の一つの観点に係る基板を熱処理する方法は、(A)基板に熱を付与する熱板と、熱板よりも上方に配置された基板との離間距離を距離センサにより測定することと、(B)熱板の温度を温度センサにより測定することと、(C)上記A項で測定された離間距離と上記B項で測定された熱板の温度とに基づいて、熱板よりも上方に配置された基板の推定温度を算出することと、(D)上記C項で算出された推定温度と、基板の目標温度との差に基づいて、熱板の温度を調節することとを含む。   A method of heat-treating a substrate according to one aspect of the present disclosure includes: (A) measuring a separation distance between a hot plate that applies heat to the substrate and a substrate disposed above the hot plate by a distance sensor; , (B) measuring the temperature of the hot plate with a temperature sensor, and (C) based on the separation distance measured in the above A term and the temperature of the hot plate measured in the above B term. Calculating the estimated temperature of the substrate disposed above; and (D) adjusting the temperature of the hot plate based on the difference between the estimated temperature calculated in the above-mentioned item C and the target temperature of the substrate. Including.

本開示の一つの観点に係る基板を熱処理する方法では、熱板と基板との離間距離を距離センサにより測定している。そのため、熱板からの熱により基板において生ずる温度が、計算によってより正確に推定される。従って、基板の推定温度が目標温度に近づくように熱板の温度を調節することで、基板の温度をより精度よく制御することが可能となる。   In the method of heat-treating a substrate according to one aspect of the present disclosure, the distance between the hot plate and the substrate is measured by a distance sensor. Therefore, the temperature generated in the substrate by the heat from the hot plate can be estimated more accurately by calculation. Therefore, the temperature of the substrate can be controlled with higher accuracy by adjusting the temperature of the hot plate so that the estimated temperature of the substrate approaches the target temperature.

上記A〜D項は、所定期間が経過するまで繰り返し行われてもよい。この場合、例えば基板の熱処理の開始から終了に至るまで、基板の温度が目標温度に沿って変化するように基板の温度を制御することが可能となる。また、熱板と基板との離間距離が繰り返し測定されるので、熱板からの熱により基板が変形した場合でも、その変形に伴って変化した熱板と基板との離間距離が直ちに基板の推定温度に反映される。そのため、基板の温度をよりいっそう精度よく制御することが可能となる。   The above items A to D may be repeated until a predetermined period elapses. In this case, for example, the temperature of the substrate can be controlled so that the temperature of the substrate changes along the target temperature from the start to the end of the heat treatment of the substrate. In addition, since the separation distance between the hot plate and the substrate is repeatedly measured, even if the substrate is deformed by heat from the hot plate, the separation distance between the hot plate and the substrate that has changed with the deformation is immediately estimated by the substrate. Reflected in temperature. Therefore, the temperature of the substrate can be controlled with higher accuracy.

上記B〜D項は、所定期間が経過するまで繰り返し行われてもよい。この場合、例えば基板の熱処理の開始から終了に至るまで、基板の温度が目標温度に沿って変化するように基板の温度を制御することが可能となる。   The above items B to D may be repeated until a predetermined period elapses. In this case, for example, the temperature of the substrate can be controlled so that the temperature of the substrate changes along the target temperature from the start to the end of the heat treatment of the substrate.

熱板は、それぞれ独立して温度調節可能な複数の領域を有し、複数の領域ごとに距離センサ及び温度センサが配置されており、上記A〜D項は、複数の領域ごとに行われてもよい。この場合、距離センサが熱板の複数箇所に存在しているので、基板に反りが生じていたり、例えば熱板上に存在する異物に基板が乗り上げ、基板が熱板に対して傾いて配置されていたりする場合であっても、そのような基板の状態に応じて熱板の領域ごとに熱板の温度が調節される。そのため、基板の温度をさらに精度よく制御することが可能となる。   The hot plate has a plurality of regions where the temperature can be adjusted independently, and a distance sensor and a temperature sensor are arranged for each of the plurality of regions, and the above items A to D are performed for each of the plurality of regions. Also good. In this case, since the distance sensors are present at a plurality of locations on the hot plate, the board is warped, for example, the board rides on a foreign object existing on the hot plate, and the board is disposed inclined with respect to the hot plate. Even if it is a case, the temperature of a hot plate is adjusted for every area | region of a hot plate according to the state of such a board | substrate. Therefore, the temperature of the substrate can be controlled with higher accuracy.

複数の領域は周方向において並んでいてもよい。この場合、熱板と基板との直線距離が周方向において複数取得される。そのため、基板全体としての傾きを把握できる。従って、例えば熱板上に存在する異物に基板が乗り上げ、基板が熱板に対して傾いて配置された場合、基板の温度を特に精度よく制御することが可能となる。   The plurality of regions may be arranged in the circumferential direction. In this case, a plurality of linear distances between the hot plate and the substrate are acquired in the circumferential direction. Therefore, the inclination as the whole substrate can be grasped. Therefore, for example, when the substrate rides on a foreign object existing on the hot plate and the substrate is disposed to be inclined with respect to the hot plate, the temperature of the substrate can be controlled particularly accurately.

推定温度は、空気を介して熱板から基板へと伝達される熱のうち所定時間当たりの伝熱量を基板の熱容量で除算して得られる基板の温度変化量を用いて算出されてもよい。   The estimated temperature may be calculated using a temperature change amount of the substrate obtained by dividing a heat transfer amount per predetermined time out of heat transferred from the hot plate to the substrate via air.

本開示の他の観点に係る熱処理装置は、基板に熱を付与する熱板と、熱板よりも上方において基板を支持する支持部材と、熱板と基板との離間距離を測定する距離センサと、熱板の温度を測定する温度センサと、制御部とを備え、制御部は、(A)離間距離を距離センサにより測定させる制御と、(B)熱板の温度を温度センサにより測定させる制御と、(C)制御Aで測定された離間距離と、制御Bで測定された熱板の温度とに基づいて、熱板よりも上方に配置された基板の推定温度を算出する制御と、(D)制御Cで算出した推定温度と、基板の目標温度との差に基づいて、熱板の温度を調節する制御とを実行する。   A heat treatment apparatus according to another aspect of the present disclosure includes a hot plate that applies heat to the substrate, a support member that supports the substrate above the hot plate, and a distance sensor that measures a separation distance between the hot plate and the substrate. And a temperature sensor that measures the temperature of the hot plate and a control unit, the control unit (A) control that causes the distance sensor to measure the distance, and (B) control that causes the temperature sensor to measure the temperature of the hot plate. And (C) control for calculating an estimated temperature of the substrate disposed above the hot plate based on the separation distance measured by the control A and the temperature of the hot plate measured by the control B; D) Control for adjusting the temperature of the hot plate based on the difference between the estimated temperature calculated in the control C and the target temperature of the substrate is executed.

本開示の他の観点に係る熱処理装置では、制御部が、熱板と基板との離間距離を距離センサにより測定させる。そのため、熱板からの熱により基板において生ずる温度が、計算によってより正確に推定される。従って、基板の推定温度が目標温度に近づくように熱板の温度を調節することで、基板の温度をより精度よく制御することが可能となる。   In the heat treatment apparatus according to another aspect of the present disclosure, the control unit causes the distance sensor to measure the separation distance between the hot plate and the substrate. Therefore, the temperature generated in the substrate by the heat from the hot plate can be estimated more accurately by calculation. Therefore, the temperature of the substrate can be controlled with higher accuracy by adjusting the temperature of the hot plate so that the estimated temperature of the substrate approaches the target temperature.

制御部は、制御A〜Dを所定期間が経過するまで繰り返し実行してもよい。この場合、例えば基板の熱処理の開始から終了に至るまで、基板の温度が目標温度に沿って変化するように基板の温度を制御することが可能となる。また、熱板と基板との離間距離が繰り返し測定されるので、熱板からの熱により基板が変形した場合でも、その変形に伴って変化した熱板と基板との離間距離が直ちに基板の推定温度に反映される。そのため、基板の温度をよりいっそう精度よく制御することが可能となる。   The control unit may repeatedly execute the controls A to D until a predetermined period elapses. In this case, for example, the temperature of the substrate can be controlled so that the temperature of the substrate changes along the target temperature from the start to the end of the heat treatment of the substrate. In addition, since the separation distance between the hot plate and the substrate is repeatedly measured, even if the substrate is deformed by heat from the hot plate, the separation distance between the hot plate and the substrate that has changed with the deformation is immediately estimated by the substrate. Reflected in temperature. Therefore, the temperature of the substrate can be controlled with higher accuracy.

制御部は、制御B〜Dを所定期間が経過するまで繰り返し実行してもよい。この場合、例えば基板の熱処理の開始から終了に至るまで、基板の温度が目標温度に沿って変化するように基板の温度を制御することが可能となる。   The control unit may repeatedly execute the controls B to D until a predetermined period elapses. In this case, for example, the temperature of the substrate can be controlled so that the temperature of the substrate changes along the target temperature from the start to the end of the heat treatment of the substrate.

熱板は、それぞれ独立して温度調節可能な複数の領域を有し、複数の領域ごとに距離センサ及び温度センサが配置されており、制御部は、制御A〜Dを複数の領域ごとに実行してもよい。この場合、距離センサが熱板の複数箇所に存在しているので、基板に反りが生じていたり、基板が熱板に対して傾いて配置されていたりする場合であっても、そのような基板の状態に応じて熱板の領域ごとに熱板の温度が調節される。そのため、基板の温度をさらに精度よく制御することが可能となる。   The hot plate has a plurality of regions that can be adjusted in temperature independently, and a distance sensor and a temperature sensor are arranged for each of the plurality of regions, and the control unit executes the control A to D for each of the plurality of regions. May be. In this case, since the distance sensors are present at a plurality of locations on the hot plate, even if the board is warped or the board is inclined with respect to the hot plate, such a board is used. The temperature of the hot plate is adjusted for each region of the hot plate according to the state. Therefore, the temperature of the substrate can be controlled with higher accuracy.

複数の領域は周方向において並んでいてもよい。この場合、熱板と基板との直線距離が周方向において複数取得される。そのため、基板全体としての傾きを把握できる。従って、例えば熱板上に存在する異物に基板が乗り上げ、基板が熱板に対して傾いて配置された場合、基板の温度を特に精度よく制御することが可能となる。   The plurality of regions may be arranged in the circumferential direction. In this case, a plurality of linear distances between the hot plate and the substrate are acquired in the circumferential direction. Therefore, the inclination as the whole substrate can be grasped. Therefore, for example, when the substrate rides on a foreign object existing on the hot plate and the substrate is disposed to be inclined with respect to the hot plate, the temperature of the substrate can be controlled particularly accurately.

本開示の他の観点に係るコンピュータ読み取り可能な記録媒体は、上記の方法を熱処理装置に実行させるためのプログラムを記録している。本開示の他の観点に係るコンピュータ読み取り可能な記録媒体は、上記の方法と同様に、基板の温度をより精度よく制御することが可能となる。本明細書において、コンピュータ読み取り可能な記録媒体には、一時的でない有形の媒体(non-transitory computer recording medium)(例えば、各種の主記憶装置又は補助記憶装置)や、伝播信号(transitory computer recording medium)(例えば、ネットワークを介して提供可能なデータ信号)が含まれる。   A computer-readable recording medium according to another aspect of the present disclosure records a program for causing a heat treatment apparatus to execute the above method. The computer-readable recording medium according to another aspect of the present disclosure can control the temperature of the substrate with higher accuracy as in the above method. In this specification, a computer-readable recording medium includes a non-transitory tangible medium (non-transitory computer recording medium) (for example, various main storage devices or auxiliary storage devices) and a propagation signal (transitory computer recording medium). (E.g., a data signal that can be provided over a network).

本開示に係る基板を熱処理する方法、熱処理装置及びコンピュータ読み取り可能な記録媒体によれば、基板の温度をより精度よく制御することが可能となる。   According to the method, the heat treatment apparatus, and the computer-readable recording medium for heat treating a substrate according to the present disclosure, the temperature of the substrate can be controlled with higher accuracy.

図1は、塗布・現像システムを示す斜視図である。FIG. 1 is a perspective view showing a coating / developing system. 図2は、図1のII−II線断面図である。2 is a cross-sectional view taken along line II-II in FIG. 図3は、図2のIII−III線断面図である。3 is a cross-sectional view taken along line III-III in FIG. 図4は、加熱・冷却ユニットを側方から見た断面図である。FIG. 4 is a cross-sectional view of the heating / cooling unit as viewed from the side. 図5は、加熱・冷却ユニットを上方から見た断面図である。FIG. 5 is a sectional view of the heating / cooling unit as seen from above. 図6は、熱板を側方から見た断面図である。FIG. 6 is a cross-sectional view of the hot plate viewed from the side. 図7は、熱板を上方から見た図である。FIG. 7 is a view of the hot plate seen from above. 図8は、ウエハの温度調節手順を説明するためのフローチャートである。FIG. 8 is a flowchart for explaining the wafer temperature adjustment procedure. 図9は、処理時間に対するウエハ温度の変化の様子を示す図である。FIG. 9 is a diagram showing how the wafer temperature changes with respect to the processing time. 図10は、ウエハの温度調節手順を説明するためのフローチャートである。FIG. 10 is a flowchart for explaining the wafer temperature adjustment procedure.

本発明の実施形態について図面を参照して説明するが、以下の本実施形態は、本発明を説明するための例示であり、本発明を以下の内容に限定する趣旨ではない。説明において、同一要素又は同一機能を有する要素には同一符号を用いることとし、重複する説明は省略する。   Embodiments of the present invention will be described with reference to the drawings. However, the following embodiments are exemplifications for explaining the present invention and are not intended to limit the present invention to the following contents. In the description, the same reference numerals are used for the same elements or elements having the same function, and redundant description is omitted.

[塗布・現像装置の構成]
まず、図1〜図3に示される塗布・現像装置1の構成の概要について説明する。塗布・現像装置1は、露光装置E1による露光処理の前に、ウエハWの表面にレジスト材料を塗布してレジスト膜を形成する処理を行う。塗布・現像装置1は、露光装置E1による露光処理の後に、ウエハWの表面に形成されたレジスト膜の現像処理を行う。本実施形態において、ウエハWは円板状を呈するが、円形の一部が切り欠かれていたり、多角形などの円形以外の形状を呈するウエハを用いてもよい。ウエハWは、例えば、半導体基板、ガラス基板、マスク基板、FPD(Flat Panel Display)基板その他の各種基板であってもよい。
[Configuration of coating and developing equipment]
First, an outline of the configuration of the coating / developing apparatus 1 shown in FIGS. 1 to 3 will be described. The coating / developing apparatus 1 performs a process of coating a resist material on the surface of the wafer W to form a resist film before the exposure process by the exposure apparatus E1. The coating / developing apparatus 1 performs a development process on the resist film formed on the surface of the wafer W after the exposure process by the exposure apparatus E1. In the present embodiment, the wafer W has a disk shape, but a wafer having a part of a circle cut out or a shape other than a circle such as a polygon may be used. The wafer W may be, for example, a semiconductor substrate, a glass substrate, a mask substrate, an FPD (Flat Panel Display) substrate, or other various substrates.

塗布・現像装置1は、図1及び図2に示されるように、キャリアブロックBK1と、処理ブロックBK2と、インターフェースブロックBK3と、塗布・現像装置1の制御手段として機能する制御装置CUとを備える。本実施形態において、キャリアブロックBK1、処理ブロックBK2、インターフェースブロックBK3及び露光装置E1は、この順に直列に並んでいる。   As shown in FIGS. 1 and 2, the coating / developing apparatus 1 includes a carrier block BK1, a processing block BK2, an interface block BK3, and a control unit CU that functions as a control unit of the coating / developing apparatus 1. . In the present embodiment, the carrier block BK1, the processing block BK2, the interface block BK3, and the exposure apparatus E1 are arranged in series in this order.

キャリアブロックBK1は、図1及び図3に示されるように、キャリアステーション12と、搬入・搬出部13とを有する。キャリアステーション12は、複数のキャリア11を支持する。キャリア11は、複数枚のウエハWを密封状態で収容する。キャリア11は、ウエハWを出し入れするための開閉扉(図示せず)を一側面11a側に有する。キャリア11は、側面11aが搬入・搬出部13側に面するように、キャリアステーション12上に着脱自在に設置される。   As shown in FIG. 1 and FIG. 3, the carrier block BK1 includes a carrier station 12 and a carry-in / carry-out unit 13. The carrier station 12 supports a plurality of carriers 11. The carrier 11 accommodates a plurality of wafers W in a sealed state. The carrier 11 has an open / close door (not shown) for taking in and out the wafer W on the side surface 11a side. The carrier 11 is detachably installed on the carrier station 12 so that the side surface 11a faces the loading / unloading unit 13 side.

搬入・搬出部13は、図1〜図3に示されるように、キャリアステーション12上の複数のキャリア11にそれぞれ対応する開閉扉13aを有する。側面11aの開閉扉と搬入・搬出部13の開閉扉13aとが同時に開放されると、キャリア11内と搬入・搬出部13内とが連通する。搬入・搬出部13は、図2及び図3に示されるように、受け渡しアームA1を内蔵している。受け渡しアームA1は、キャリア11からウエハWを取り出して処理ブロックBK2に渡す。受け渡しアームA1は、処理ブロックBK2からウエハWを受け取ってキャリア11内に戻す。   As shown in FIGS. 1 to 3, the carry-in / carry-out unit 13 includes open / close doors 13 a corresponding to the plurality of carriers 11 on the carrier station 12. When the open / close door of the side surface 11a and the open / close door 13a of the carry-in / carry-out unit 13 are opened simultaneously, the inside of the carrier 11 and the carry-in / carry-out unit 13 communicate with each other. As shown in FIGS. 2 and 3, the carry-in / carry-out unit 13 incorporates a delivery arm A <b> 1. The delivery arm A1 takes out the wafer W from the carrier 11 and delivers it to the processing block BK2. The delivery arm A1 receives the wafer W from the processing block BK2 and returns it to the carrier 11.

処理ブロックBK2は、図1〜図3に示されるように、キャリアブロックBK1に隣接すると共に、キャリアブロックBK1と接続されている。処理ブロックBK2は、図1及び図2に示されるように、下層反射防止膜形成(BCT)ブロック14と、レジスト膜形成(COT)ブロック15と、上層反射防止膜形成(TCT)ブロック16と、現像処理(DEV)ブロック17とを有する。DEVブロック17、BCTブロック14、COTブロック15及びTCTブロック16は、底面側からこの順に並んで配置されている。   The processing block BK2 is adjacent to the carrier block BK1 and connected to the carrier block BK1, as shown in FIGS. As shown in FIGS. 1 and 2, the processing block BK2 includes a lower antireflection film formation (BCT) block 14, a resist film formation (COT) block 15, an upper antireflection film formation (TCT) block 16, and A development processing (DEV) block 17. The DEV block 17, the BCT block 14, the COT block 15, and the TCT block 16 are arranged in this order from the bottom side.

BCTブロック14は、図2に示されるように、塗布ユニット(図示せず)と、加熱・冷却ユニット(図示せず)と、これらのユニットにウエハWを搬送する搬送アームA2とを内蔵している。塗布ユニットは、反射防止膜形成用の薬液をウエハWの表面に塗布する。加熱・冷却ユニットは、例えば熱板によりウエハWを加熱し、その後例えば冷却板によりウエハWを冷却する。こうして、ウエハWの表面上に下層反射防止膜が形成される。   As shown in FIG. 2, the BCT block 14 includes a coating unit (not shown), a heating / cooling unit (not shown), and a transfer arm A2 for transferring the wafer W to these units. Yes. The coating unit applies a chemical solution for forming an antireflection film to the surface of the wafer W. The heating / cooling unit heats the wafer W using, for example, a hot plate, and then cools the wafer W using, for example, a cooling plate. Thus, a lower antireflection film is formed on the surface of the wafer W.

COTブロック15は、図2に示されるように、塗布ユニット(図示せず)と、加熱・冷却ユニット(図示せず)と、これらのユニットにウエハWを搬送する搬送アームA3とを内蔵している。塗布ユニットは、レジスト膜形成用の薬液(レジスト材料)を下層反射防止膜の上に塗布する。加熱・冷却ユニットは、例えば熱板によりウエハWを加熱し、その後例えば冷却板によりウエハWを冷却する。こうして、ウエハWの下層反射防止膜上にレジスト膜が形成される。レジスト材料は、ポジ型でもよいし、ネガ型でもよい。   As shown in FIG. 2, the COT block 15 includes a coating unit (not shown), a heating / cooling unit (not shown), and a transfer arm A3 for transferring the wafer W to these units. Yes. The coating unit applies a chemical solution (resist material) for forming a resist film on the lower antireflection film. The heating / cooling unit heats the wafer W using, for example, a hot plate, and then cools the wafer W using, for example, a cooling plate. Thus, a resist film is formed on the lower antireflection film of the wafer W. The resist material may be a positive type or a negative type.

TCTブロック16は、図2に示されるように、塗布ユニット(図示せず)と、加熱・冷却ユニット(図示せず)と、これらのユニットにウエハWを搬送する搬送アームA4とを内蔵している。塗布ユニットは、反射防止膜形成用の薬液をレジスト膜の上に塗布する。加熱・冷却ユニットは、例えば熱板によりウエハWを加熱し、その後例えば冷却板によりウエハWを冷却する。こうして、ウエハWのレジスト膜上に上層反射防止膜が形成される。   As shown in FIG. 2, the TCT block 16 includes a coating unit (not shown), a heating / cooling unit (not shown), and a transfer arm A4 for transferring the wafer W to these units. Yes. The coating unit applies a chemical solution for forming an antireflection film on the resist film. The heating / cooling unit heats the wafer W using, for example, a hot plate, and then cools the wafer W using, for example, a cooling plate. Thus, an upper antireflection film is formed on the resist film of the wafer W.

DEVブロック17は、図2及び図3に示されるように、複数の現像処理ユニットU1と、複数の加熱・冷却ユニット(熱処理部)U2と、これらのユニットにウエハWを搬送する搬送アームA5と、これらのユニットを経ずに処理ブロックBK2の前後間でウエハWを搬送する搬送アームA6とを内蔵している。   As shown in FIGS. 2 and 3, the DEV block 17 includes a plurality of development processing units U1, a plurality of heating / cooling units (heat treatment units) U2, and a transfer arm A5 that transfers the wafer W to these units. A transfer arm A6 that transfers the wafer W between before and after the processing block BK2 without including these units is incorporated.

現像処理ユニットU1は、後述するように、露光されたレジスト膜の現像処理を行う。加熱・冷却ユニットU2は、例えば熱板によるウエハWの加熱を通じて、ウエハW上のレジスト膜を加熱する。加熱・冷却ユニットU2は、加熱後のウエハWを例えば冷却板により冷却する。加熱・冷却ユニットU2は、ポストエクスポージャベーク(PEB)、ポストベーク(PB)等の加熱処理を行う。PEBは、現像処理前にレジスト膜を加熱する処理である。PBは、現像処理後にレジスト膜を加熱する処理である。   The development processing unit U1 performs development processing on the exposed resist film, as will be described later. The heating / cooling unit U2 heats the resist film on the wafer W, for example, by heating the wafer W with a hot plate. The heating / cooling unit U2 cools the heated wafer W by, for example, a cooling plate. The heating / cooling unit U2 performs heat treatment such as post-exposure baking (PEB) and post-baking (PB). PEB is a process for heating the resist film before the development process. PB is a process of heating the resist film after the development process.

図1〜図3に示されるように、処理ブロックBK2のうちキャリアブロックBK1側には、棚ユニットU10が設けられている。棚ユニットU10は、複数のセルC30〜C38を有する。セルC30〜C38は、DEVブロック17とTCTブロック16との間において上下方向に並んで配置されている。棚ユニットU10の近傍には、昇降アームA7が設けられている。昇降アームA7は、セルC30〜C38の間でウエハWを搬送する。   As shown in FIGS. 1 to 3, a shelf unit U10 is provided on the carrier block BK1 side of the processing block BK2. The shelf unit U10 includes a plurality of cells C30 to C38. The cells C30 to C38 are arranged in the vertical direction between the DEV block 17 and the TCT block 16. A lifting arm A7 is provided in the vicinity of the shelf unit U10. The lift arm A7 transports the wafer W between the cells C30 to C38.

処理ブロックBK2のうちインターフェースブロックBK3側には、棚ユニットU11が設けられている。棚ユニットU11は、複数のセルC40〜C42を有する。セルC40〜C42は、DEVブロック17に隣接して、上下方向に並んで配置されている。   A shelf unit U11 is provided on the interface block BK3 side in the processing block BK2. The shelf unit U11 has a plurality of cells C40 to C42. The cells C40 to C42 are arranged adjacent to the DEV block 17 in the vertical direction.

インターフェースブロックBK3は、図1〜図3に示されるように、処理ブロックBK2及び露光装置E1の間に位置すると共に、処理ブロックBK2及び露光装置E1のそれぞれに接続されている。インターフェースブロックBK3は、図2及び図3に示されるように、受け渡しアームA8を内蔵している。受け渡しアームA8は、処理ブロックBK2の棚ユニットU11から露光装置E1にウエハWを渡す。受け渡しアームA8は、露光装置E1からウエハWを受け取り、棚ユニットU11にウエハWを戻す。   As shown in FIGS. 1 to 3, the interface block BK3 is located between the processing block BK2 and the exposure apparatus E1, and is connected to each of the processing block BK2 and the exposure apparatus E1. As shown in FIGS. 2 and 3, the interface block BK3 includes a delivery arm A8. The transfer arm A8 transfers the wafer W from the shelf unit U11 of the processing block BK2 to the exposure apparatus E1. The transfer arm A8 receives the wafer W from the exposure apparatus E1, and returns the wafer W to the shelf unit U11.

制御装置CUは、制御用のコンピュータであり、図1に示されるように、記憶部CU1と、制御部CU2とを有する。記憶部CU1は、塗布・現像装置1の各部や露光装置E1の各部を動作させるためのプログラムを記憶している。記憶部CU1は、例えば半導体メモリ、光記録ディスク、磁気記録ディスク、光磁気記録ディスクである。当該プログラムは、記憶部CU1とは別体の外部記憶装置や、伝播信号などの無形の媒体にも含まれ得る。これらの他の媒体から記憶部CU1に当該プログラムをインストールして、記憶部CU1に当該プログラムを記憶させてもよい。制御部CU2は、記憶部CU1から読み出したプログラムに基づいて、塗布・現像装置1の各部や露光装置E1の各部の動作を制御する。   The control device CU is a computer for control and includes a storage unit CU1 and a control unit CU2 as shown in FIG. The storage unit CU1 stores a program for operating each part of the coating / developing apparatus 1 and each part of the exposure apparatus E1. The storage unit CU1 is, for example, a semiconductor memory, an optical recording disk, a magnetic recording disk, or a magneto-optical recording disk. The program may be included in an external storage device separate from the storage unit CU1 or an intangible medium such as a propagation signal. The program may be installed in the storage unit CU1 from these other media, and the program may be stored in the storage unit CU1. The control unit CU2 controls the operation of each unit of the coating / developing apparatus 1 and each unit of the exposure apparatus E1 based on the program read from the storage unit CU1.

次に、塗布・現像装置1の動作の概要について説明する。まず、キャリア11がキャリアステーション12に設置される。このとき、キャリア11の一側面11aは、搬入・搬出部13の開閉扉13aに向けられる。続いて、キャリア11の開閉扉と、搬入・搬出部13の開閉扉13aとが共に開放され、受け渡しアームA1により、キャリア11内のウエハWが取り出され、処理ブロックBK2の棚ユニットU10のうちいずれかのセルに順次搬送される。   Next, an outline of the operation of the coating / developing apparatus 1 will be described. First, the carrier 11 is installed in the carrier station 12. At this time, one side surface 11 a of the carrier 11 is directed to the open / close door 13 a of the carry-in / carry-out unit 13. Subsequently, the opening / closing door of the carrier 11 and the opening / closing door 13a of the loading / unloading section 13 are both opened, and the wafer W in the carrier 11 is taken out by the transfer arm A1, and any of the shelf units U10 of the processing block BK2 is selected. It is sequentially transported to that cell.

ウエハWが受け渡しアームA1により棚ユニットU10のいずれかのセルに搬送された後、ウエハWは、昇降アームA7により、BCTブロック14に対応するセルC33に順次搬送される。セルC33に搬送されたウエハWは、搬送アームA2によってBCTブロック14内の各ユニットに搬送される。搬送アームA2によってウエハWがBCTブロック14内を搬送される過程で、ウエハWの表面上に下層反射防止膜が形成される。   After the wafer W is transferred to any cell of the shelf unit U10 by the transfer arm A1, the wafer W is sequentially transferred to the cell C33 corresponding to the BCT block 14 by the lifting arm A7. The wafer W transferred to the cell C33 is transferred to each unit in the BCT block 14 by the transfer arm A2. In the process in which the wafer W is transferred through the BCT block 14 by the transfer arm A2, a lower antireflection film is formed on the surface of the wafer W.

下層反射防止膜が形成されたウエハWは、搬送アームA2によってセルC33の上のセルC34に搬送される。セルC34に搬送されたウエハWは、昇降アームA7によって、COTブロック15に対応するセルC35に搬送される。セルC35に搬送されたウエハWは、搬送アームA3によりCOTブロック15内の各ユニットに搬送される。搬送アームA3によってウエハWがCOTブロック15内を搬送される過程で、下層反射防止膜上にレジスト膜が形成される。   The wafer W on which the lower antireflection film is formed is transferred to the cell C34 above the cell C33 by the transfer arm A2. The wafer W transferred to the cell C34 is transferred to the cell C35 corresponding to the COT block 15 by the lifting arm A7. The wafer W transferred to the cell C35 is transferred to each unit in the COT block 15 by the transfer arm A3. In the process in which the wafer W is transferred through the COT block 15 by the transfer arm A3, a resist film is formed on the lower antireflection film.

レジスト膜が形成されたウエハWは、搬送アームA3によってセルC35の上のセルC36に搬送される。セルC36に搬送されたウエハWは、昇降アームA7によって、TCTブロック16に対応するセルC37に搬送される。セルC37に搬送されたウエハWは、搬送アームA4によってTCTブロック16内の各ユニットに搬送される。搬送アームA4によってウエハWがTCTブロック16内を搬送される過程で、レジスト膜上に上層反射防止膜が形成される。   The wafer W on which the resist film is formed is transferred to the cell C36 above the cell C35 by the transfer arm A3. The wafer W transferred to the cell C36 is transferred to the cell C37 corresponding to the TCT block 16 by the lifting arm A7. The wafer W transferred to the cell C37 is transferred to each unit in the TCT block 16 by the transfer arm A4. In the process in which the wafer W is transported through the TCT block 16 by the transport arm A4, an upper antireflection film is formed on the resist film.

上層反射防止膜が形成されたウエハWは、搬送アームA4によってセルC37の上のセルC38に搬送される。セルC38に搬送されたウエハWは、昇降アームA7によってセルC32に搬送された後、搬送アームA6によって棚ユニットU11のセルC42に搬送される。セルC42に搬送されたウエハWは、インターフェースブロックBK3の受け渡しアームA8により露光装置E1に渡され、露光装置E1においてレジスト膜の露光処理が行われる。露光処理が行われたウエハWは、受け渡しアームA8によりセルC42の下のセルC40,C41に搬送される。   The wafer W on which the upper antireflection film is formed is transferred to the cell C38 above the cell C37 by the transfer arm A4. The wafer W transferred to the cell C38 is transferred to the cell C32 by the lift arm A7 and then transferred to the cell C42 of the shelf unit U11 by the transfer arm A6. The wafer W transferred to the cell C42 is transferred to the exposure apparatus E1 by the transfer arm A8 of the interface block BK3, and the exposure process of the resist film is performed in the exposure apparatus E1. The wafer W subjected to the exposure processing is transferred to the cells C40 and C41 below the cell C42 by the transfer arm A8.

セルC40,C41に搬送されたウエハWは、搬送アームA5により、DEVブロック17内の各ユニットに搬送され、現像処理が行われる。これにより、ウエハWの表面上にレジストパターン(凹凸パターン)が形成される。レジストパターンが形成されたウエハWは、搬送アームA5によって棚ユニットU10のうちDEVブロック17に対応したセルC30,C31に搬送される。セルC30,C31に搬送されたウエハWは、昇降アームA7によって、受け渡しアームA1がアクセス可能なセルに搬送され、受け渡しアームA1によって、キャリア11内に戻される。   The wafer W transferred to the cells C40 and C41 is transferred to each unit in the DEV block 17 by the transfer arm A5, and development processing is performed. As a result, a resist pattern (uneven pattern) is formed on the surface of the wafer W. The wafer W on which the resist pattern is formed is transferred by the transfer arm A5 to cells C30 and C31 corresponding to the DEV block 17 in the shelf unit U10. The wafer W transferred to the cells C30 and C31 is transferred to a cell accessible by the transfer arm A1 by the lift arm A7, and returned to the carrier 11 by the transfer arm A1.

上述した塗布・現像装置1の構成及び動作は一例にすぎない。塗布・現像装置1は、塗布ユニットや現像処理ユニット等の液処理ユニットと、加熱・冷却ユニット等の前処理・後処理ユニットと、搬送装置とを備えていればよい。すなわち、これら各ユニットの個数、種類、レイアウト等は適宜変更可能である。   The configuration and operation of the coating / developing apparatus 1 described above are merely examples. The coating / developing apparatus 1 may include a liquid processing unit such as a coating unit or a development processing unit, a pre-processing / post-processing unit such as a heating / cooling unit, and a transport device. That is, the number, type, layout, etc. of each unit can be changed as appropriate.

[加熱・冷却ユニットの構成]
次に、加熱・冷却ユニット(熱処理装置)U2の構成について、図4〜図7を参照してさらに詳しく説明する。加熱・冷却ユニットU2は、図4及び図5に示されるように、筐体100内に、ウエハWを加熱する加熱部110と、ウエハWを冷却する冷却部120とを有する。筐体100のうち冷却部120に対応する部分の両側壁には、ウエハWを筐体100の内部に搬入すると共にウエハWを筐体100外へと搬出するための搬入出口101が形成されている。
[Configuration of heating / cooling unit]
Next, the configuration of the heating / cooling unit (heat treatment apparatus) U2 will be described in more detail with reference to FIGS. As illustrated in FIGS. 4 and 5, the heating / cooling unit U <b> 2 includes a heating unit 110 that heats the wafer W and a cooling unit 120 that cools the wafer W in the housing 100. A loading / unloading port 101 for carrying the wafer W into the housing 100 and carrying the wafer W out of the housing 100 is formed on both side walls of the portion corresponding to the cooling unit 120 in the housing 100. Yes.

加熱部110は、蓋部111と、熱板収容部112とを有する。蓋部111は、熱板収容部112の上方に位置しており、熱板収容部112から離間した上方位置と熱板収容部112上に載置される下方位置との間で上下動が可能である。蓋部111は、下方位置にあるときに熱板収容部112とともに処理室Rを構成する。蓋部111の中央には、排気部111aが設けられている。排気部111aは、処理室Rから気体を排気するために用いられる。   The heating unit 110 includes a lid portion 111 and a hot plate housing portion 112. The lid portion 111 is located above the hot plate housing portion 112 and can be moved up and down between an upper position separated from the hot plate housing portion 112 and a lower position placed on the hot plate housing portion 112. It is. The lid portion 111 constitutes the processing chamber R together with the hot plate accommodating portion 112 when in the lower position. An exhaust part 111 a is provided at the center of the lid part 111. The exhaust part 111 a is used for exhausting gas from the processing chamber R.

熱板収容部112は、円筒状を呈しており、その内部に熱板113を収容する。熱板113の外周部は、支持部材114によって支持されている。支持部材114の外周は、筒状を呈するサポートリング115によって支持されている。サポートリング115の上面には、上方に向けて開口したガス供給口115aが形成されている。ガス供給口115aは、処理室R内に不活性ガスを噴き出す。   The hot plate accommodating portion 112 has a cylindrical shape, and accommodates the hot plate 113 therein. The outer peripheral portion of the hot plate 113 is supported by a support member 114. The outer periphery of the support member 114 is supported by a cylindrical support ring 115. A gas supply port 115 a that opens upward is formed on the upper surface of the support ring 115. The gas supply port 115a ejects an inert gas into the processing chamber R.

熱板113は、図4〜図7に示されるように、円形状を呈する平板である。熱板113の外形は、ウエハWの外形よりも大きい。熱板113には、その厚さ方向に貫通して延びる貫通孔HLが3つ形成されている(図5及び図7参照)。熱板113の上面には、ウエハWを支持する6つの支持ピンPNが立設されている(図4、図6及び図7参照)。支持ピンPNの高さは、例えば100μm程度であってもよい。   As shown in FIGS. 4 to 7, the hot plate 113 is a flat plate having a circular shape. The outer shape of the hot plate 113 is larger than the outer shape of the wafer W. The heat plate 113 is formed with three through holes HL extending in the thickness direction (see FIGS. 5 and 7). On the upper surface of the hot plate 113, six support pins PN that support the wafer W are erected (see FIGS. 4, 6, and 7). The height of the support pin PN may be about 100 μm, for example.

熱板113は、本実施形態において、5つの領域113a〜113eを有している(図7参照)。1つの領域113aは、上方から見て円形状を呈し、熱板113の中心部を構成する。4つの領域113b〜113eは、円弧状を呈しており、領域113aの周囲を囲むように配置されている。より具体的には、領域113bは、領域113c,113eと隣り合うと共に、領域113aを間において領域113dと対向している。   In the present embodiment, the heat plate 113 has five regions 113a to 113e (see FIG. 7). One region 113 a has a circular shape when viewed from above, and constitutes the center of the hot plate 113. The four regions 113b to 113e have an arc shape and are arranged so as to surround the region 113a. More specifically, the region 113b is adjacent to the regions 113c and 113e and faces the region 113d with the region 113a interposed therebetween.

領域113a〜113eの下面には、ヒータ116a〜116eがそれぞれ配置されている(図5及び図7参照)。ヒータ116a〜116eはそれぞれ、制御部CU2に接続されている(図4及び図6参照)。制御部CU2からの指示信号に基づき、ヒータ116a〜116eはそれぞれ独立して制御される。そのため、領域113a〜113eは、それぞれ独立して異なる温度に調節されうる。   Heaters 116a to 116e are respectively disposed on the lower surfaces of the regions 113a to 113e (see FIGS. 5 and 7). Each of the heaters 116a to 116e is connected to the control unit CU2 (see FIGS. 4 and 6). Based on the instruction signal from the control unit CU2, the heaters 116a to 116e are independently controlled. Therefore, the regions 113a to 113e can be independently adjusted to different temperatures.

領域113a〜113eには、温度センサ117a〜117eがそれぞれ埋設されている(図6及び図7参照)。温度センサ117a〜117eは、対応する領域113a〜113eの温度を測定し、その測定信号を制御部CU2に送信する(図6参照)。   Temperature sensors 117a to 117e are embedded in the regions 113a to 113e, respectively (see FIGS. 6 and 7). The temperature sensors 117a to 117e measure the temperatures of the corresponding regions 113a to 113e, and transmit the measurement signals to the control unit CU2 (see FIG. 6).

領域113a〜113eには、距離センサ118a〜118eがそれぞれ設けられている(図6及び図7参照)。距離センサ118a〜118eはそれぞれ、熱板113に設けられた設置孔内に取り付けられている。図6及び図7に示される例では、距離センサ118a〜118eの上端は、熱板113の表面から露出している。距離センサ118a〜118eは、それぞれの上方に位置するウエハWと、熱板113(領域113a〜113e)との鉛直方向における直線距離を測定し、その測定信号を制御部CU2に送信する(図6参照)。距離センサ118a〜118eとしては、レーザ変位計やLED光電センサを例示できる。LED光電センサを用いる場合には、ウエハWからの反射光の強度等に基づいて距離が算出される。   Distance sensors 118a to 118e are provided in the regions 113a to 113e, respectively (see FIGS. 6 and 7). Each of the distance sensors 118 a to 118 e is attached in an installation hole provided in the hot plate 113. In the example shown in FIGS. 6 and 7, the upper ends of the distance sensors 118 a to 118 e are exposed from the surface of the hot plate 113. The distance sensors 118a to 118e measure the linear distance in the vertical direction between the wafer W located above and the hot plate 113 (regions 113a to 113e), and transmit the measurement signal to the control unit CU2 (FIG. 6). reference). Examples of the distance sensors 118a to 118e include laser displacement meters and LED photoelectric sensors. When the LED photoelectric sensor is used, the distance is calculated based on the intensity of reflected light from the wafer W or the like.

図4及び図5に示されるように、熱板113の下方には昇降機構119が配置されている。昇降機構119は、筐体100外に配置されたモータ119aと、モータ119aによって上下動する3つの昇降ピン119bとを有する。昇降ピン119bはそれぞれ、対応する貫通孔HLを通過可能に構成されている。制御部CU2がモータ119aに上昇信号又は下降信号を送信すると、昇降ピン119bは対応する貫通孔HL内を移動しつつ上下する。昇降ピン119bの先端が熱板113の上方に突出している場合、昇降ピン119bの先端上にウエハWを載置可能である。昇降ピン119bの先端上に載置されたウエハWは、昇降ピン119bの上下動に伴い昇降する。   As shown in FIGS. 4 and 5, an elevating mechanism 119 is disposed below the hot plate 113. The elevating mechanism 119 includes a motor 119a disposed outside the housing 100, and three elevating pins 119b that move up and down by the motor 119a. The elevating pins 119b are configured to be able to pass through the corresponding through holes HL. When the control unit CU2 transmits an ascending signal or a descending signal to the motor 119a, the elevating pins 119b move up and down while moving in the corresponding through holes HL. When the tip of the lift pins 119b protrudes above the hot plate 113, the wafer W can be placed on the tip of the lift pins 119b. The wafer W placed on the tip of the lift pins 119b moves up and down as the lift pins 119b move up and down.

冷却部120は、図4に示されるように、加熱部110に隣接して位置している。冷却部120は、載置されたウエハWを冷却する冷却板121を有する。冷却板121は、図5に示されるように矩形状を呈する平板である。冷却板121のうち加熱部110側の端部は、加熱部110に向けて突出した円弧状を呈している。冷却板121には、例えばペルチェ素子などの冷却部材121aが内蔵されている。冷却部材121aは、冷却板121を所定の設定温度に調節できる。   As shown in FIG. 4, the cooling unit 120 is located adjacent to the heating unit 110. The cooling unit 120 includes a cooling plate 121 that cools the mounted wafer W. The cooling plate 121 is a flat plate having a rectangular shape as shown in FIG. An end of the cooling plate 121 on the heating unit 110 side has an arc shape protruding toward the heating unit 110. The cooling plate 121 incorporates a cooling member 121a such as a Peltier element. The cooling member 121a can adjust the cooling plate 121 to a predetermined set temperature.

冷却板121は、加熱部110側に向かって延伸するレール122に取付けられている。冷却板121は、駆動部123により駆動されレール122上を水平移動可能である。加熱部110側まで移動した冷却板121は、熱板113の上方に位置する。   The cooling plate 121 is attached to a rail 122 extending toward the heating unit 110 side. The cooling plate 121 is driven by the driving unit 123 and can move horizontally on the rail 122. The cooling plate 121 that has moved to the heating unit 110 side is located above the heating plate 113.

冷却板121には、図5に示すように、レール122の延在方向に沿って延びる2本のスリット124が形成されている。スリット124は、冷却板121における加熱部110側の端部から冷却板121の中央部付近まで延びるように形成されている。スリット124により、加熱部110側に移動した冷却板121と熱板113上に突出した昇降ピン119bとの干渉が防止される。   As shown in FIG. 5, the cooling plate 121 is formed with two slits 124 extending along the extending direction of the rail 122. The slit 124 is formed to extend from the end of the cooling plate 121 on the heating unit 110 side to the vicinity of the center of the cooling plate 121. The slit 124 prevents interference between the cooling plate 121 moved to the heating unit 110 side and the elevating pins 119b protruding on the heating plate 113.

図4に示されるように、冷却板121の下方には昇降機構125が配置されている。昇降機構125は、筐体100外に配置されたモータ125aと、モータ125aによって上下動する3つの昇降ピン125bとを有する。昇降ピン125bはそれぞれ、スリット124を通過可能に構成されている。制御部CU2がモータ125aに上昇信号又は下降信号を送信すると、昇降ピン125bはスリット124内を移動しつつ上下する。昇降ピン125bの先端が冷却板121の上方に突出している場合、昇降ピン125bの先端上にウエハWを載置可能である。昇降ピン125bの先端上に載置されたウエハWは、昇降ピン125bの上下動に伴い昇降する。   As shown in FIG. 4, an elevating mechanism 125 is disposed below the cooling plate 121. The elevating mechanism 125 includes a motor 125a disposed outside the housing 100 and three elevating pins 125b that move up and down by the motor 125a. The elevating pins 125b are configured to be able to pass through the slits 124, respectively. When the control unit CU2 transmits an ascending signal or a descending signal to the motor 125a, the elevating pin 125b moves up and down while moving in the slit 124. When the tips of the lift pins 125b protrude above the cooling plate 121, the wafer W can be placed on the tips of the lift pins 125b. The wafer W placed on the tip of the lift pins 125b moves up and down as the lift pins 125b move up and down.

[ウエハの熱処理方法]
次に、主に図8を参照して、加熱・冷却ユニットU2を用いてウエハWを熱処理する方法について説明する。まず、ウエハWの表面にレジスト膜を形成した後であって、ウエハWが加熱部110に搬送される直前に、ウエハWの温度を測定する(ステップS11)。このときのウエハWの温度測定には、例えば、放射温度計を用いることができる。
[Wafer heat treatment method]
Next, a method for heat-treating the wafer W using the heating / cooling unit U2 will be described mainly with reference to FIG. First, after the resist film is formed on the surface of the wafer W, immediately before the wafer W is transferred to the heating unit 110, the temperature of the wafer W is measured (step S11). For example, a radiation thermometer can be used for the temperature measurement of the wafer W at this time.

続いて、ウエハWを加熱部110内(処理室R内)に搬送する(ステップS12)。具体的には、搬送アームA5がウエハWを冷却部120の昇降ピン125bの先端上に載置すると、昇降ピン125bが下降して冷却板121上に移載される。冷却板121がレール122に沿って加熱部110側に移動すると、昇降ピン119bが上昇して冷却板121からウエハWを持ち上げる。冷却板121が加熱部110から退避すると、昇降ピン119bが下降してウエハWを支持ピンPN上に載置する。こうして、ウエハWは、熱板113よりも上方において支持ピンPNによって支持される。その結果、熱板113からの熱がウエハWに移動し、ウエハWが加熱される。   Subsequently, the wafer W is transferred into the heating unit 110 (inside the processing chamber R) (step S12). Specifically, when the transfer arm A5 places the wafer W on the tip of the elevating pin 125b of the cooling unit 120, the elevating pin 125b is lowered and transferred onto the cooling plate 121. When the cooling plate 121 moves along the rail 122 toward the heating unit 110, the elevating pins 119 b rise and lift the wafer W from the cooling plate 121. When the cooling plate 121 is retracted from the heating unit 110, the elevating pins 119b are lowered to place the wafer W on the support pins PN. Thus, the wafer W is supported by the support pins PN above the hot plate 113. As a result, heat from the hot plate 113 moves to the wafer W, and the wafer W is heated.

続いて、熱板113によるウエハWの加熱処理が開始され、所定の処理時間が経過したか否か(すなわち、処理開始から所定の時間が経過したか否か)が判断される(ステップS13)。処理時間が経過していれば、熱板113によるウエハWの加熱処理が終了する。一方、処理時間の経過前であれば、熱板113によるウエハWの加熱処理が継続される。処理時間は、例えば60秒程度に設定してもよい。   Subsequently, the heating process of the wafer W by the hot plate 113 is started, and it is determined whether or not a predetermined processing time has elapsed (that is, whether or not a predetermined time has elapsed from the start of the processing) (step S13). . If the processing time has elapsed, the heating process of the wafer W by the hot plate 113 is completed. On the other hand, if the processing time has not elapsed, the heating process of the wafer W by the hot plate 113 is continued. The processing time may be set to about 60 seconds, for example.

ステップS13で、処理時間の経過前であると判定されると、温度センサ117a〜117eにより、熱板113の温度を測定する(ステップS14)。具体的には、温度センサ117a〜117eはそれぞれ、対応する領域113a〜113eの温度を測定し、測定した温度の測定信号を制御部CU2に送信する。   If it is determined in step S13 that the processing time has not elapsed, the temperature of the hot plate 113 is measured by the temperature sensors 117a to 117e (step S14). Specifically, the temperature sensors 117a to 117e measure the temperatures of the corresponding regions 113a to 113e, respectively, and transmit measurement signals of the measured temperatures to the control unit CU2.

続いて、距離センサ118a〜118eにより、熱板113とウエハWとの鉛直方向における直線距離を測定する(ステップS15)。具体的には、距離センサ118a〜118eはそれぞれ、対応する領域113a〜113e上とウエハW(すなわち、ウエハWのうち自身の直上に存在している部分)との鉛直方向における直線距離を測定し、測定した距離の測定信号を制御部CU2に送信する。   Subsequently, a linear distance in the vertical direction between the hot plate 113 and the wafer W is measured by the distance sensors 118a to 118e (step S15). Specifically, the distance sensors 118a to 118e respectively measure the linear distances in the vertical direction between the corresponding regions 113a to 113e and the wafer W (that is, the portion of the wafer W that is directly above itself). The measurement signal of the measured distance is transmitted to the control unit CU2.

続いて、制御部CU2により、熱板113からのウエハWへの伝熱量を計算する(ステップS16)。具体的には、制御部CU2は、温度センサ117a〜117e及び距離センサ118a〜118eからそれぞれ測定信号を受信すると、式1に従って領域113a〜113eごとに熱板113からのウエハWへの伝熱量ΔQを計算する。なお、熱板113からウエハWへの熱移動において、対流や熱放射による熱移動は熱伝導と比べて十分小さい。従って、式1では、対流及び熱放射による熱移動を考慮していない。
ΔQ=S×λ×(Tp−Tw)×Δt/H ・・・(1)
Subsequently, the amount of heat transferred from the hot plate 113 to the wafer W is calculated by the control unit CU2 (step S16). Specifically, when the control unit CU2 receives measurement signals from the temperature sensors 117a to 117e and the distance sensors 118a to 118e, respectively, the heat transfer amount ΔQ from the hot plate 113 to the wafer W for each of the regions 113a to 113e according to Equation 1. Calculate In the heat transfer from the hot plate 113 to the wafer W, the heat transfer due to convection or heat radiation is sufficiently smaller than the heat conduction. Therefore, in Equation 1, heat transfer due to convection and heat radiation is not considered.
ΔQ = S × λ × (Tp−Tw) × Δt / H (1)

ここで、式1において、各パラメータS、λ、Tp、Tw、Δt、Hは、以下のように定義される。
S:計算対象の領域113a〜113eの面積
λ:空気の熱伝導率
Tp:ステップS14で測定された熱板113の温度
Tw:初回計算時ではステップS11で測定されたウエハWの温度であり、2回目以降の計算時では後述のステップS17で計算されたウエハWの温度
Δt:ステップS14〜S19が実行される1ループあたりの時間
H:計算対象の領域113a〜113eにおける、ステップS15で測定された熱板113とウエハWとの鉛直方向における直線距離
Here, in Equation 1, the parameters S, λ, Tp, Tw, Δt, and H are defined as follows.
S: Area of calculation target regions 113a to 113e λ: Thermal conductivity of air Tp: Temperature of hot plate 113 measured in step S14 Tw: Temperature of wafer W measured in step S11 at the time of the first calculation, At the time of the second and subsequent calculations, the temperature of the wafer W calculated in step S17 to be described later Δt: time per loop in which steps S14 to S19 are executed H: measured in step S15 in the calculation target regions 113a to 113e Linear distance between the heated plate 113 and the wafer W in the vertical direction

続いて、制御部CU2により、ヒータ116a〜116eごとの出力値を計算する(ステップS18)。具体的には、制御部CU2はまず、式2に従って領域113a〜113eごとにウエハWの温度変化量ΔTwを計算する。
ΔTw=ΔQ/C ・・・(2)
ここで、式2において、パラメータCは以下のように定義される。
C:ウエハWのうち各領域113a〜113eに対応する部分(本実施形態においては、上方から見たときに、ウエハWのうち各領域113a〜113eと重なり合う部分)における熱容量
Subsequently, the control unit CU2 calculates output values for the heaters 116a to 116e (step S18). Specifically, the control unit CU2 first calculates the temperature change amount ΔTw of the wafer W for each of the regions 113a to 113e according to Equation 2.
ΔTw = ΔQ / C (2)
Here, in Expression 2, the parameter C is defined as follows.
C: Heat capacity in a portion of the wafer W corresponding to each of the regions 113a to 113e (in this embodiment, a portion of the wafer W overlapping with each of the regions 113a to 113e when viewed from above)

次に、式2で得られた温度変化量ΔTwに基づいて、制御部CU2は、式3に従って領域113a〜113eごとにウエハWの推定温度Tweを計算する。
Twe=Tw+ΔTw ・・・(3)
Next, based on the temperature change amount ΔTw obtained by Expression 2, the control unit CU2 calculates the estimated temperature Twe of the wafer W for each of the regions 113a to 113e according to Expression 3.
Twe = Tw + ΔTw (3)

次に、式3で得られた推定温度TweとウエハWの目標温度Twtとの差(Twe−Twt)に基づいて、制御部CU2は、式4に従ってヒータ116a〜116eごとに出力値を計算する。すなわち、差(Twe−Twt)が正であれば、ウエハWが加熱されすぎる可能性があるので、制御部CU2は、対応するヒータ116a〜116の出力値を現在よりも小さく設定する。差(Twe−Twt)が負であれば、ウエハWが十分に加熱されない可能性があるので、制御部CU2は、対応するヒータ116a〜116eの出力値を現在よりも大きく設定する。差(Twe−Twt)が0であれば、ウエハWの加熱量が適正であるので、制御部CU2は、対応するヒータ116a〜116eの出力値を現在の値に設定する。   Next, based on the difference (Twe−Twt) between the estimated temperature Twe obtained by Expression 3 and the target temperature Twt of the wafer W, the control unit CU2 calculates an output value for each of the heaters 116a to 116e according to Expression 4. . That is, if the difference (Twe−Twt) is positive, the wafer W may be heated too much, so the control unit CU2 sets the output values of the corresponding heaters 116a to 116 smaller than the current value. If the difference (Twe−Twt) is negative, there is a possibility that the wafer W will not be sufficiently heated. Therefore, the control unit CU2 sets the output values of the corresponding heaters 116a to 116e larger than the current value. If the difference (Twe−Twt) is 0, the heating amount of the wafer W is appropriate, and the control unit CU2 sets the output values of the corresponding heaters 116a to 116e to the current values.

目標温度Twtは、ある処理時間における、ウエハW上のレジスト膜が適切に処理される理想的な温度を意味する。目標温度Twtは、通常、処理時間の経過と共に所定のカーブを描きながら大きくなる(図9参照)。目標温度Twtは、実験等により予め求めておいてもよい。   The target temperature Twt means an ideal temperature at which the resist film on the wafer W is appropriately processed in a certain processing time. The target temperature Twt usually increases while drawing a predetermined curve as the processing time elapses (see FIG. 9). The target temperature Twt may be obtained in advance by experiments or the like.

続いて、制御部CU2により、各ヒータ116a〜116eを制御する(ステップS19)。具体的には、制御部CU2は、ステップS18で設定された出力値となるよう、各ヒータ116a〜116eの出力を制御する。その後、ステップS13に戻って、処理時間が経過していれば、熱板113によるウエハWの加熱処理が終了する。一方、処理時間の経過前であれば、ステップS14〜S19の処理を繰り返される。すなわち、ステップS14〜S19の繰り返しにより、ウエハWの温度に関してフィードバック制御が行われる。ステップS14〜S19が実行される1ループあたりの時間(Δt)は、例えば0.1秒程度に設定してもよい。   Then, each heater 116a-116e is controlled by control part CU2 (step S19). Specifically, the control unit CU2 controls the outputs of the heaters 116a to 116e so that the output value set in step S18 is obtained. Thereafter, returning to step S13, if the processing time has elapsed, the heating process of the wafer W by the hot plate 113 is completed. On the other hand, if it is before progress of processing time, the process of step S14-S19 will be repeated. That is, feedback control is performed on the temperature of the wafer W by repeating steps S14 to S19. The time (Δt) per loop in which steps S14 to S19 are executed may be set to about 0.1 seconds, for example.

[作用]
以上のような本実施形態では、熱板113とウエハWとの離間距離(鉛直方向における直線距離)を距離センサ118a〜118eにより測定している。そのため、熱板113からの熱によりウエハWにおいて生ずる温度が、計算によってより正確に推定される。従って、ウエハWの推定温度Tweが目標温度Twtに近づくように熱板113の温度を調節することで、ウエハWの温度をより精度よく制御することが可能となる。
[Action]
In the present embodiment as described above, the distance between the hot plate 113 and the wafer W (the linear distance in the vertical direction) is measured by the distance sensors 118a to 118e. Therefore, the temperature generated in the wafer W due to the heat from the hot plate 113 is estimated more accurately by calculation. Therefore, by adjusting the temperature of the hot plate 113 so that the estimated temperature Twe of the wafer W approaches the target temperature Twt, the temperature of the wafer W can be controlled with higher accuracy.

本実施形態では、ステップS14〜S19の処理が繰り返し行われる。そのため、例えばウエハWの熱処理の開始から終了に至るまで、ウエハWの温度が目標温度Twtに沿って変化するようにウエハWの温度を制御することが可能となる。また、熱板113とウエハWとの離間距離が各ループにおいて繰り返し測定されるので、熱板113からの熱によりウエハWが変形した場合でも、その変形に伴って変化した熱板113とウエハWとの離間距離が直ちにウエハWの推定温度Tweに反映される。そのため、ウエハWの温度をよりいっそう精度よく制御することが可能となる。   In the present embodiment, the processes of steps S14 to S19 are repeated. Therefore, for example, the temperature of the wafer W can be controlled so that the temperature of the wafer W changes along the target temperature Twt from the start to the end of the heat treatment of the wafer W. Further, since the distance between the hot plate 113 and the wafer W is repeatedly measured in each loop, even when the wafer W is deformed by the heat from the hot plate 113, the hot plate 113 and the wafer W that have changed along with the deformation are changed. Is immediately reflected in the estimated temperature Twe of the wafer W. Therefore, the temperature of the wafer W can be controlled with higher accuracy.

本実施形態では、領域113a〜113eが、ヒータ116a〜116e、温度センサ117a〜117e及び距離センサ118a〜118eをそれぞれ有している。本実施形態では、領域113a〜113eごとにステップS14〜S19の処理を繰り返し行い、各領域113a〜113eの温度を独立に調節している。そのため、ウエハWに反りが生じていたり(図6参照)、ウエハWが熱板113に対して傾いて配置されていたりする場合であっても、そのようなウエハWの状態に応じて熱板113の領域ごとに熱板113の温度が調節される。そのため、ウエハWの中心部の温度も外周部の温度も共に目標温度に沿って変化するので(図9(a)参照)、ウエハWの温度をさらに精度よく制御することが可能となる。これに対し、熱板自体の温度を調節していた従来の方法では、ウエハに反りがあったり、ウエハが熱板に対して傾いて配置されていたりすると、図9(b)に示されるように、ウエハの中心部又は外周部の温度が目標温度から大きくずれてしまい得る。なお、ウエハWが熱板113に対して傾いて配置される場合とは、例えば、支持ピンPNよりも大きな異物が熱板113上に存在し、当該異物上に基板が乗り上げた場合を例示できる。   In the present embodiment, the regions 113a to 113e have heaters 116a to 116e, temperature sensors 117a to 117e, and distance sensors 118a to 118e, respectively. In the present embodiment, the processes in steps S14 to S19 are repeated for each of the regions 113a to 113e, and the temperatures of the regions 113a to 113e are independently adjusted. For this reason, even when the wafer W is warped (see FIG. 6) or the wafer W is inclined with respect to the hot plate 113, the hot plate according to the state of the wafer W is used. The temperature of the hot plate 113 is adjusted for each region 113. For this reason, both the temperature at the center of the wafer W and the temperature at the outer periphery change along the target temperature (see FIG. 9A), so that the temperature of the wafer W can be controlled with higher accuracy. On the other hand, in the conventional method in which the temperature of the hot plate itself is adjusted, if the wafer is warped or the wafer is disposed to be inclined with respect to the hot plate, as shown in FIG. In addition, the temperature at the center or outer periphery of the wafer may deviate significantly from the target temperature. The case where the wafer W is disposed to be inclined with respect to the hot plate 113 can be exemplified by, for example, a case where a foreign object larger than the support pin PN exists on the hot plate 113 and the substrate has been placed on the foreign object. .

本実施形態では、領域113a〜113eが熱板113の周方向において並んでいる。そのため、熱板113とウエハWとの直線距離が周方向において複数取得される。従って、ウエハW全体としての傾きを把握できる。その結果、ウエハWが熱板113に対して傾いて配置された場合、ウエハWの温度を特に精度よく制御することが可能となる。   In the present embodiment, the regions 113 a to 113 e are arranged in the circumferential direction of the hot plate 113. Therefore, a plurality of linear distances between the hot plate 113 and the wafer W are acquired in the circumferential direction. Therefore, the inclination of the entire wafer W can be grasped. As a result, when the wafer W is disposed to be inclined with respect to the hot plate 113, the temperature of the wafer W can be controlled particularly accurately.

[他の実施形態]
以上、本発明の実施形態について詳細に説明したが、本発明は上記した実施形態に限定されるものではない。例えば、図10に示されるように、ステップS15は、ステップS14とステップS16との間ではなく、ステップS13よりも前に行われてもよい。例えば、図10に示されるように、ステップS15は、ステップS11とステップS12との間で行われてもよい。この場合、ウエハWが熱処理される前に、ウエハWと熱板113との距離が測定される。そのため、熱処理される前からウエハWに反りが存在する場合であっても、ウエハWの温度を精度よく制御することが可能となる。
[Other Embodiments]
As mentioned above, although embodiment of this invention was described in detail, this invention is not limited to above-described embodiment. For example, as shown in FIG. 10, step S15 may be performed before step S13, not between step S14 and step S16. For example, as shown in FIG. 10, step S15 may be performed between step S11 and step S12. In this case, before the wafer W is heat-treated, the distance between the wafer W and the hot plate 113 is measured. Therefore, even when the wafer W is warped before the heat treatment, the temperature of the wafer W can be accurately controlled.

熱板113は、複数の領域を有していなくてもよいし、2つ以上の領域を有していてもよい。この場合も、複数の領域には、ヒータ、温度センサ及び距離センサがそれぞれ配置され、各領域の温度が独立に調整される。熱板113の周方向に並ぶ領域の数は、2つであってもよいし、3つ以上であってもよい。熱板113の周方向に並ぶ領域の数が2つの場合には、熱板113の中心部に少なくとも一つの領域があってもよい。このように、熱板113が少なくとも3つの領域を有しており、各領域に配置された距離センサが1直線上に並んでいない場合には、ウエハWの姿勢を把握することが可能となる。   The heat plate 113 may not have a plurality of regions, and may have two or more regions. Also in this case, a heater, a temperature sensor, and a distance sensor are respectively arranged in the plurality of regions, and the temperature of each region is adjusted independently. The number of regions arranged in the circumferential direction of the hot plate 113 may be two, or may be three or more. When the number of regions arranged in the circumferential direction of the hot plate 113 is two, there may be at least one region at the center of the hot plate 113. As described above, when the hot plate 113 has at least three regions and the distance sensors arranged in each region are not arranged on one straight line, the posture of the wafer W can be grasped. .

熱板113の各領域に配置される温度センサは、1つずつであってもよいし、複数ずつであってもよい。熱板113の各領域に配置される距離センサは、1つずつであってもよいし、複数ずつであってもよい。   One or more temperature sensors may be arranged in each region of the hot plate 113. The distance sensor disposed in each region of the hot plate 113 may be one by one or plural.

DEVブロック17の加熱・冷却ユニットU2のみならず、ウエハを熱処理する各種の熱処理装置に本発明を適用してもよい。   The present invention may be applied not only to the heating / cooling unit U2 of the DEV block 17 but also to various heat treatment apparatuses for heat treating the wafer.

熱板113の表面から露出する距離センサ118a〜118eの上端を、例えば透明板で覆ってもよい。この場合、距離センサ118a〜118eを収容するために熱板113に形成された開口が、透明板によって覆われる。そのため、透明板と熱板113とが熱的に接続され、当該開口部分においてもウエハWを加熱することができる。従って、距離センサ118a〜118eによる測距機能が阻害されることなく、熱板113の表面内における温度の均一化が図られる。   The upper ends of the distance sensors 118a to 118e exposed from the surface of the hot plate 113 may be covered with a transparent plate, for example. In this case, the opening formed in the hot plate 113 to accommodate the distance sensors 118a to 118e is covered with a transparent plate. Therefore, the transparent plate and the hot plate 113 are thermally connected, and the wafer W can be heated also in the opening portion. Accordingly, the temperature measurement function by the distance sensors 118a to 118e is not hindered, and the temperature in the surface of the hot plate 113 can be made uniform.

1…塗布・現像装置、110…加熱部、113…熱板、113a〜113e…領域、117a〜117e…温度センサ、118a〜118e…距離センサ、CU2…制御部、PN…支持ピン、U2…加熱・冷却ユニット、W…ウエハ。   DESCRIPTION OF SYMBOLS 1 ... Coating / development apparatus, 110 ... Heating part, 113 ... Hot plate, 113a-113e ... Area, 117a-117e ... Temperature sensor, 118a-118e ... Distance sensor, CU2 ... Control part, PN ... Supporting pin, U2 ... Heating -Cooling unit, W ... wafer.

Claims (12)

(A)基板に熱を付与する熱板と、前記熱板よりも上方に配置された前記基板との離間距離を距離センサにより測定することと、
(B)前記熱板の温度を温度センサにより測定することと、
(C)前記A項で測定された前記離間距離と前記B項で測定された前記熱板の温度とに基づいて、前記熱板よりも上方に配置された前記基板の推定温度を算出することと、
(D)前記C項で算出された前記推定温度と、前記基板の目標温度との差に基づいて、前記熱板の温度を調節することと
を含む基板を熱処理する方法。
(A) measuring a separation distance between a heat plate for applying heat to the substrate and the substrate disposed above the heat plate by a distance sensor;
(B) measuring the temperature of the hot plate with a temperature sensor;
(C) calculating an estimated temperature of the substrate disposed above the hot plate based on the separation distance measured in the A term and the temperature of the hot plate measured in the B term. When,
(D) A method of heat-treating a substrate including adjusting the temperature of the hot plate based on a difference between the estimated temperature calculated in the item C and a target temperature of the substrate.
前記A〜D項は、所定期間が経過するまで繰り返し行われる、請求項1に記載の方法。   The method according to claim 1, wherein the items A to D are repeatedly performed until a predetermined period elapses. 前記B〜D項は、所定期間が経過するまで繰り返し行われる、請求項1に記載の方法。   The method according to claim 1, wherein the items B to D are repeatedly performed until a predetermined period elapses. 前記熱板は、それぞれ独立して温度調節可能な複数の領域を有し、
前記複数の領域ごとに前記距離センサ及び前記温度センサが配置されており、
前記A〜D項は、前記複数の領域ごとに行われる、請求項1〜3のいずれか一項に記載の方法。
The hot plate has a plurality of regions that can be temperature-controlled independently,
The distance sensor and the temperature sensor are arranged for each of the plurality of regions,
The method according to claim 1, wherein the items A to D are performed for each of the plurality of regions.
前記複数の領域は周方向において並んでいる、請求項4に記載の方法。   The method according to claim 4, wherein the plurality of regions are arranged in a circumferential direction. 前記推定温度は、空気を介して前記熱板から前記基板へと伝達される熱のうち所定時間当たりの伝熱量を前記基板の熱容量で除算して得られる前記基板の温度変化量を用いて算出される、請求項1〜5のいずれか一項に記載の方法。   The estimated temperature is calculated using a temperature change amount of the substrate obtained by dividing a heat transfer amount per predetermined time out of heat transferred from the hot plate to the substrate via air. 6. The method according to any one of claims 1 to 5, wherein: 基板に熱を付与する熱板と、
前記熱板よりも上方において前記基板を支持する支持部材と、
前記熱板と前記基板との離間距離を測定する距離センサと、
前記熱板の温度を測定する温度センサと、
制御部とを備え、
前記制御部は、
(A)前記離間距離を前記距離センサにより測定させる制御と、
(B)前記熱板の温度を前記温度センサにより測定させる制御と、
(C)前記制御Aで測定された前記離間距離と、前記制御Bで測定された前記熱板の温度とに基づいて、前記熱板よりも上方に配置された前記基板の推定温度を算出する制御と、
(D)前記制御Cで算出した前記推定温度と、前記基板の目標温度との差に基づいて、前記熱板の温度を調節する制御と
を実行する、熱処理装置。
A heat plate for applying heat to the substrate;
A support member for supporting the substrate above the hot plate;
A distance sensor for measuring a separation distance between the hot plate and the substrate;
A temperature sensor for measuring the temperature of the hot plate;
A control unit,
The controller is
(A) Control for measuring the separation distance by the distance sensor;
(B) control for measuring the temperature of the hot plate by the temperature sensor;
(C) Based on the separation distance measured in the control A and the temperature of the hot plate measured in the control B, an estimated temperature of the substrate disposed above the hot plate is calculated. Control,
(D) A heat treatment apparatus that executes control for adjusting the temperature of the hot plate based on a difference between the estimated temperature calculated in the control C and a target temperature of the substrate.
前記制御部は、前記制御A〜Dを所定期間が経過するまで繰り返し実行する、請求項7に記載の熱処理装置。   The heat treatment apparatus according to claim 7, wherein the control unit repeatedly executes the controls A to D until a predetermined period elapses. 前記制御部は、前記制御B〜Dを所定期間が経過するまで繰り返し実行する、請求項7に記載の熱処理装置。   The heat treatment apparatus according to claim 7, wherein the control unit repeatedly executes the controls B to D until a predetermined period elapses. 前記熱板は、それぞれ独立して温度調節可能な複数の領域を有し、
前記複数の領域ごとに前記距離センサ及び前記温度センサが配置されており、
前記制御部は、前記制御A〜Dを前記複数の領域ごとに実行する、請求項7〜9のいずれか一項に記載の熱処理装置。
The hot plate has a plurality of regions that can be temperature-controlled independently,
The distance sensor and the temperature sensor are arranged for each of the plurality of regions,
The said control part is a heat processing apparatus as described in any one of Claims 7-9 which performs said control AD for every said some area | region.
前記複数の領域は周方向において並んでいる、請求項10に記載の熱処理装置。   The heat treatment apparatus according to claim 10, wherein the plurality of regions are arranged in a circumferential direction. 請求項1〜6のいずれか一項に記載の方法を熱処理装置に実行させるためのプログラムを記録した、コンピュータ読み取り可能な記録媒体。   The computer-readable recording medium which recorded the program for making a heat processing apparatus perform the method as described in any one of Claims 1-6.
JP2014052299A 2014-03-14 2014-03-14 Method for heat-treating a substrate, heat treatment apparatus and computer-readable recording medium Active JP5995892B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2014052299A JP5995892B2 (en) 2014-03-14 2014-03-14 Method for heat-treating a substrate, heat treatment apparatus and computer-readable recording medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014052299A JP5995892B2 (en) 2014-03-14 2014-03-14 Method for heat-treating a substrate, heat treatment apparatus and computer-readable recording medium

Publications (2)

Publication Number Publication Date
JP2015177024A true JP2015177024A (en) 2015-10-05
JP5995892B2 JP5995892B2 (en) 2016-09-21

Family

ID=54255942

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014052299A Active JP5995892B2 (en) 2014-03-14 2014-03-14 Method for heat-treating a substrate, heat treatment apparatus and computer-readable recording medium

Country Status (1)

Country Link
JP (1) JP5995892B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200042857A (en) 2018-10-16 2020-04-24 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus, substrate processing method, and storage medium
KR20200143663A (en) * 2020-12-15 2020-12-24 세메스 주식회사 Temperature control apparatus and temperature control method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173185A (en) * 2004-12-13 2006-06-29 Tokyo Electron Ltd Temperature setting method of heat treatment board, temperature setting device thereof, program and computer-readable recording medium for recording program
JP2006228820A (en) * 2005-02-15 2006-08-31 Tokyo Electron Ltd Temperature setting method and temperature setting device for heat treatment plate, program, and computer-readable recording medium recorded with program
JP2007300047A (en) * 2006-05-08 2007-11-15 Tokyo Electron Ltd Heat treatment method, program, and heat treatment equipment
JP2007317732A (en) * 2006-05-23 2007-12-06 Tokyo Electron Ltd Method of controlling temperature in heat treatment plate, program, and device for controlling temperature in heat treatment plate
JP2012038970A (en) * 2010-08-09 2012-02-23 Tokyo Electron Ltd Heat treatment method, recording medium storing program for executing heat treatment method, and heat treatment device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173185A (en) * 2004-12-13 2006-06-29 Tokyo Electron Ltd Temperature setting method of heat treatment board, temperature setting device thereof, program and computer-readable recording medium for recording program
JP2006228820A (en) * 2005-02-15 2006-08-31 Tokyo Electron Ltd Temperature setting method and temperature setting device for heat treatment plate, program, and computer-readable recording medium recorded with program
JP2007300047A (en) * 2006-05-08 2007-11-15 Tokyo Electron Ltd Heat treatment method, program, and heat treatment equipment
JP2007317732A (en) * 2006-05-23 2007-12-06 Tokyo Electron Ltd Method of controlling temperature in heat treatment plate, program, and device for controlling temperature in heat treatment plate
JP2012038970A (en) * 2010-08-09 2012-02-23 Tokyo Electron Ltd Heat treatment method, recording medium storing program for executing heat treatment method, and heat treatment device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200042857A (en) 2018-10-16 2020-04-24 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus, substrate processing method, and storage medium
KR20200143663A (en) * 2020-12-15 2020-12-24 세메스 주식회사 Temperature control apparatus and temperature control method
KR102242122B1 (en) 2020-12-15 2021-04-20 세메스 주식회사 Temperature control apparatus and temperature control method

Also Published As

Publication number Publication date
JP5995892B2 (en) 2016-09-21

Similar Documents

Publication Publication Date Title
JP4699283B2 (en) Heat treatment plate temperature control method, program, and heat treatment plate temperature control device
KR101314001B1 (en) Temperature control method, temperature controller, and heat treatment apparatus
JP5107372B2 (en) Heat treatment apparatus, coating and developing treatment system, heat treatment method, coating and developing treatment method, and recording medium on which program for executing the heat treatment method or coating and developing treatment method is recorded
JP4444090B2 (en) Heat treatment plate temperature setting method, heat treatment plate temperature setting device, program, and computer-readable recording medium recording the program
TWI524378B (en) A heat treatment method and a recording medium for recording a program for carrying out the processing method and a heat treatment apparatus
JP6382151B2 (en) Substrate heat treatment apparatus, substrate heat treatment method, recording medium, and heat treatment state detection apparatus
TWI501338B (en) A heat treatment method and a recording medium and a heat treatment apparatus for recording a program for carrying out the heat treatment method
US10049905B2 (en) Substrate heat treatment apparatus, substrate heat treatment method, storage medium and heat-treatment-condition detecting apparatus
US20080257495A1 (en) Temperature setting method for thermal processing plate, temperature setting apparatus for thermal processing plate, and computer-readable storage medium
TWI643246B (en) Heat treatment device, abnormality detection method in heat treatment, and readable computer memory medium
JP6391558B2 (en) Heat treatment apparatus, method for heat treatment of substrate, and computer-readable recording medium
CN110021540A (en) Annealing device, hot plate cooling means and computer-readable recording medium
JP5995892B2 (en) Method for heat-treating a substrate, heat treatment apparatus and computer-readable recording medium
US7425689B2 (en) Inline physical shape profiling for predictive temperature correction during baking of wafers in a semiconductor photolithography process
JP4811860B2 (en) Heat treatment method, program thereof, and heat treatment apparatus
JP2008084886A (en) Measuring method and program of substrate, computer readable recording medium recording program and measuring system of substrate
JP2006222354A (en) Method for setting temperature of heat treatment plate, equipment for setting temperature of heat treatment, program, and program-recorded computer-readable recording medium
KR20220144512A (en) substrate processing apparatus, method of temperature measuring, and method of temperature controlling
JP4920317B2 (en) Substrate processing method, program, computer-readable recording medium, and substrate processing system
TW202101531A (en) Heat treatment apparatus and heat treatment method
JP2018195849A (en) Thermal treatment equipment, method for thermal-processing substrate, and computer readable recording medium
JPH07142377A (en) Treatment device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151214

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160803

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160809

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160823

R150 Certificate of patent or registration of utility model

Ref document number: 5995892

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250