JP2014232825A - Plasma processing method - Google Patents

Plasma processing method Download PDF

Info

Publication number
JP2014232825A
JP2014232825A JP2013113593A JP2013113593A JP2014232825A JP 2014232825 A JP2014232825 A JP 2014232825A JP 2013113593 A JP2013113593 A JP 2013113593A JP 2013113593 A JP2013113593 A JP 2013113593A JP 2014232825 A JP2014232825 A JP 2014232825A
Authority
JP
Japan
Prior art keywords
film
etching
thickness
plasma
processing method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013113593A
Other languages
Japanese (ja)
Other versions
JP6072613B2 (en
Inventor
孝則 中司
Takanori Nakatsuka
孝則 中司
小野 哲郎
Tetsuo Ono
哲郎 小野
宏文 永徳
Hirofumi Eitoku
宏文 永徳
臼井 建人
Taketo Usui
建人 臼井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2013113593A priority Critical patent/JP6072613B2/en
Publication of JP2014232825A publication Critical patent/JP2014232825A/en
Application granted granted Critical
Publication of JP6072613B2 publication Critical patent/JP6072613B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a plasma processing method for performing a plasma etching by use of depositing gas such as fluorocarbon gas or SiFgas which makes possible to control the film thickness of a deposit accumulated on a mask.SOLUTION: A plasma processing method for performing a plasma etching a film to be etched by use of depositing gas comprises the steps of: measuring the thickness of a deposit film accumulated on a mask; determining a difference between the measured deposit film thickness and a target value of the deposit film thickness; and if the determined difference is larger than an allowable value, etching the film to be etched so that the determined difference falls within the allowable value while controlling an etching parameter.

Description

本発明は、プラズマを用いて試料をエッチングするプラズマ処理方法に関わるものである。 The present invention relates to a plasma processing method for etching a sample using plasma.

半導体素子の微細化・高集積化に伴い、半導体ウエハ上に形成される各素子は高密度化が進んでいる。現在、半導体素子の微細加工にはプラズマを利用したドライエッチングが使われている。各素子を構成する膜厚の薄膜化に伴い、被エッチング膜上のマスクも薄膜化しており、被エッチング膜のマスクに対する選択比が重要である。被エッチング膜のマスクに対する選択比を上げるため、フルオロカーボンガス、SiF4ガス等のガスを使用するのが一般的である。 With the miniaturization and high integration of semiconductor elements, the density of each element formed on a semiconductor wafer is increasing. Currently, dry etching using plasma is used for microfabrication of semiconductor devices. As the film thickness of each element is reduced, the mask on the film to be etched is also thinned, and the selectivity of the film to be etched with respect to the mask is important. In order to increase the selectivity of the film to be etched with respect to the mask, a gas such as fluorocarbon gas or SiF 4 gas is generally used.

フルオロカーボンガス、SiF4ガス等のガスを使用してエッチング加工をする際には真空容器内にCF系またはSi系の反応生成物が真空容器内壁に付着・堆積する。半導体素子を量産する際に、これらの堆積物がエッチングレート等のプロセス特性の変化をもたらし、特に微細化されたスペースまたはホールにおいて、反応生成物が被エッチング膜上のマスク上に堆積し、スペースまたはホールが塞がる。スペースまたはホールが塞がると被エッチング膜のエッチングが進行しなくなり、微細構造に不良を生じさせ、大きな問題となる。 When etching is performed using a gas such as fluorocarbon gas or SiF 4 gas, a CF-based or Si-based reaction product adheres to and deposits on the inner wall of the vacuum chamber. In mass production of semiconductor devices, these deposits cause changes in process characteristics such as an etching rate, and reaction products are deposited on a mask on a film to be etched, particularly in a miniaturized space or hole. Or the hole is blocked. When the space or the hole is blocked, the etching of the film to be etched does not proceed, causing a defect in the fine structure, which becomes a big problem.

真空容器内壁に堆積したCF系、Si系の反応生成物は、フッ素系を含むガスを使用してドライクリーニングすることにより除去可能であるが、完全に除去することができない。真空処理室の内壁に堆積した反応生成物の影響を低減する手段としてプラズマ発光強度をモニタしてRun−to−Run制御する手段が知られている。   The CF-based and Si-based reaction products deposited on the inner wall of the vacuum vessel can be removed by dry cleaning using a fluorine-containing gas, but cannot be completely removed. As means for reducing the influence of reaction products deposited on the inner wall of the vacuum processing chamber, means for performing Run-to-Run control by monitoring plasma emission intensity is known.

例えば、特許文献1には、プラズマ処理に際して生成されるプロセス量をモニターするモニター装置102と、処理した試料の数に対する前記プロセス量のモニター値の推移を記憶したモニター量変動モデルを備え、該モニター量変動モデルを参照して、次の試料の処理時におけるモニター値を推定するモニター値推定手段104と、前記真空処理装置のプロセス量を制御する際の制御量とモニター値との関連を記憶した制御量計算手段111を備え、該制御量計算手段は、次の試料を処理する際、前記推定されたモニター値と目標値との偏差をもとに前記制御量を計算してプロセス量を制御することが開示されている。   For example, Patent Document 1 includes a monitor device 102 that monitors a process amount generated during plasma processing, and a monitor amount variation model that stores a transition of a monitor value of the process amount with respect to the number of processed samples. Referring to the quantity variation model, the monitor value estimating means 104 for estimating the monitor value at the time of processing the next sample, and the relationship between the control quantity and the monitor value when controlling the process quantity of the vacuum processing apparatus are stored. The control amount calculation unit 111 includes a control amount calculation unit 111, which controls the process amount by calculating the control amount based on the deviation between the estimated monitor value and the target value when the next sample is processed. Is disclosed.

特開2011−82441号公報JP 2011-82441 A 特開2011−258967号公報JP 2011-258967 A

フルオロカーボンガス、SiF4ガス等のガスを用いたエッチングにおいて真空容器内に生成された反応生成物が内壁に付着、堆積するとエッチング性能が変化する。このエッチング性能の変化により、エッチング中に発生した反応生成物が被エッチング膜上のマスク上に堆積し、スペースが塞がり、被エッチング膜のエッチングが進行しなくなる。 In the etching using a gas such as a fluorocarbon gas or SiF 4 gas, the etching performance changes when a reaction product generated in the vacuum vessel adheres to and deposits on the inner wall. Due to this change in etching performance, reaction products generated during etching are deposited on the mask on the film to be etched, the space is closed, and etching of the film to be etched does not proceed.

このようなことから、堆積膜によるマスクの開口部の塞がりを防ぐためには、マスク上に堆積する堆積物の膜厚の制御が必要である。しかし、特許文献2に示すような従来技術では、被エッチング膜上のマスクの残膜を測定することは可能であったが、マスク上の堆積物の膜厚を測定するのが困難であった。   For this reason, in order to prevent the opening of the mask from being blocked by the deposited film, it is necessary to control the thickness of the deposit deposited on the mask. However, in the prior art as shown in Patent Document 2, it was possible to measure the remaining film of the mask on the etching target film, but it was difficult to measure the thickness of the deposit on the mask. .

このため、本発明は、フルオロカーボンガス、SiF4ガス等の堆積性ガスを用いたプラズマエッチングを行うプラズマ処理方法において、マスク上に堆積した堆積物の膜厚を制御することができるプラズマ処理方法を提供する。 For this reason, the present invention provides a plasma processing method for controlling the film thickness of deposits deposited on a mask in a plasma processing method for performing plasma etching using a deposition gas such as fluorocarbon gas or SiF 4 gas. provide.

本発明は、堆積性ガスを用いて被エッチング膜をプラズマエッチングするプラズマ処理方法において、マスク上に堆積した堆積膜の膜厚を測定し、前記測定された堆積膜の膜厚と前記堆積膜の膜厚の目標値との差を求め、前記求められた差が許容値より大きい場合、前記求められた差が許容値内となるようにエッチングパラメータを制御しながら前記被エッチング膜をエッチングすることを特徴とする。 The present invention relates to a plasma processing method in which a film to be etched is plasma-etched using a deposition gas, the film thickness of the deposited film deposited on a mask is measured, and the film thickness of the measured deposited film and the deposited film are measured. The difference between the film thickness and the target value is obtained, and when the obtained difference is larger than the allowable value, the etching target film is etched while controlling the etching parameters so that the obtained difference is within the allowable value. It is characterized by.

本発明により、フルオロカーボンガス、SiF4ガス等の堆積性ガスを用いたプラズマエッチングを行うプラズマ処理方法において、マスク上に堆積した堆積物の膜厚を制御することができる。 According to the present invention, in the plasma processing method in which plasma etching is performed using a deposition gas such as fluorocarbon gas or SiF 4 gas, the film thickness of the deposit deposited on the mask can be controlled.

実施例1に係るプラズマエッチング装置の概略断面図である。1 is a schematic cross-sectional view of a plasma etching apparatus according to Example 1. FIG. 本発明のプラズマ処理方法によるエッチング形状を示す図である。It is a figure which shows the etching shape by the plasma processing method of this invention. 本発明のプラズマ処理方法を示すフローチャートである。It is a flowchart which shows the plasma processing method of this invention. 堆積膜の膜厚の測定方法を説明するための図である。It is a figure for demonstrating the measuring method of the film thickness of a deposited film. 実施例2に係るプラズマエッチング装置の概略断面図である。6 is a schematic cross-sectional view of a plasma etching apparatus according to Example 2. FIG. 堆積膜の吸光度の波長依存性を示す図である。It is a figure which shows the wavelength dependence of the light absorbency of a deposited film. 堆積膜の膜厚の測定方法を説明するための図である。It is a figure for demonstrating the measuring method of the film thickness of a deposited film.

以下、本発明に係る各実施例について図面を参照しながら説明する。   Embodiments according to the present invention will be described below with reference to the drawings.

図1は、本発明を適用するプラズマエッチング装置の一例で、プラズマ生成手段にマイクロ波と磁場を利用したマイクロ波Electron Cyclotron Resonance(以下、ECRと称する)プラズマエッチング装置の概略図である。プラズマ処理装置101は、真空処理室102と、真空処理室102の内部に設けられた試料台103と、真空処理室102の上部にある光学窓106と、光源104と、パージ室105と、分光器108と、膜厚測定器109と、装置コントローラー110とを備えている。 FIG. 1 is an example of a plasma etching apparatus to which the present invention is applied, and is a schematic view of a microwave Electrocyclotron Resonance (hereinafter referred to as ECR) plasma etching apparatus that uses a microwave and a magnetic field as plasma generation means. The plasma processing apparatus 101 includes a vacuum processing chamber 102, a sample stage 103 provided inside the vacuum processing chamber 102, an optical window 106 above the vacuum processing chamber 102, a light source 104, a purge chamber 105, a spectral chamber. Device 108, film thickness measuring device 109, and device controller 110.

そして、真空処理室102の内部にガス導入手段(図示せず)から導入されたエッチングガスがマイクロ波電源111より出力されたマイクロ波とコイル(図示せず)により効率的にプラズマ化され、試料台103の下部にある高周波電源113から供給された高周波電力とプラズマ112により試料台103上に載置された半導体ウエハ等の試料114がエッチングされる。   Then, the etching gas introduced from the gas introduction means (not shown) into the vacuum processing chamber 102 is efficiently converted into plasma by the microwave output from the microwave power source 111 and the coil (not shown), and the sample A sample 114 such as a semiconductor wafer placed on the sample table 103 is etched by the high frequency power supplied from the high frequency power source 113 under the table 103 and the plasma 112.

光源104から出力されたレーザー光は、Arガスによりパージされたパージ室105と光学窓106を介して真空処理室102に入射光115として入射する。入射光115は、試料114で反射される。試料114で反射された反射光116は、真空処理室102から光ファイバー107を介して分光器108に検出される。   The laser beam output from the light source 104 enters the vacuum processing chamber 102 as incident light 115 through the purge chamber 105 purged with Ar gas and the optical window 106. Incident light 115 is reflected by the sample 114. The reflected light 116 reflected by the sample 114 is detected by the spectroscope 108 from the vacuum processing chamber 102 via the optical fiber 107.

分光器108では、検出したプラズマ発光を分光し、分光された光の強度をデジタル信号に変換する。この光強度に対応して変換されたデジタル信号に基づいて膜厚測定器109により試料114のマスクの上に堆積した反応生成物の膜厚を測定する。予め、試料114のマスクの上に堆積した反応生成物の膜厚の目標値を定めておき、堆積した膜厚がその目標値を外れた場合、信号が装置コントローラー110に送られ、堆積した膜厚をコントロールするエッチングパラメータを制御する。   The spectroscope 108 splits the detected plasma emission and converts the intensity of the split light into a digital signal. Based on the digital signal converted corresponding to the light intensity, the film thickness of the reaction product deposited on the mask of the sample 114 is measured by the film thickness measuring device 109. A target value of the thickness of the reaction product deposited on the mask of the sample 114 is determined in advance, and when the deposited film thickness deviates from the target value, a signal is sent to the apparatus controller 110 to deposit the deposited film. Control etch parameters to control thickness.

本実施例で使用する試料114の断面図を図2(a)に示す。Si基板204に下から順にストッパー膜であるSiN膜203と被エッチング膜であるSiO2膜202とマスクであるSi膜201とが積層されている。尚、マスクパターンのスペース205の幅15nmである。例えば、ガス流量200ml/minのArガスとガス流量10ml/minのC4F8ガスとの混合ガスを用い、処理圧力を1.0Pa、マイクロ波電源出力を1000W、試料114へ供給するする高周波電力を50Wとするエッチング条件にて被エッチング膜であるSiO2膜202をエッチングすると図2(b)に示すようにスペース205が塞がったエッチング形状となる。 A cross-sectional view of the sample 114 used in this example is shown in FIG. An SiN film 203 as a stopper film, an SiO 2 film 202 as an etching target film, and an Si film 201 as a mask are laminated on the Si substrate 204 in order from the bottom. The width 205 of the mask pattern space 205 is 15 nm. For example, using a mixed gas of Ar gas having a gas flow rate of 200 ml / min and C 4 F 8 gas having a gas flow rate of 10 ml / min, a processing pressure of 1.0 Pa, a microwave power output of 1000 W, and a high frequency for supplying the sample 114 When the SiO 2 film 202, which is the film to be etched, is etched under an etching condition with an electric power of 50 W, an etching shape is formed in which the space 205 is closed as shown in FIG.

半導体の量産時において、プラズマ112により生成されたCF系、Si系等の反応生成物が真空処理室102の内壁に付着し堆積する。この堆積した反応生成物によりプラズマ112中のフッ素ラジカルと反応し、エッチングレート等のプロセス性能が変化する。真空処理室102への反応生成物の堆積が多いと堆積物が入りにくい溝や孔ではエッチングが進行するが、平坦部であるマスクのSi膜201上に堆積膜206が堆積する。堆積膜206の膜厚が厚くなると図2(b)のようにスペース205が塞がりエッチングが進行しない。本実施例のスペース205の幅は15nmであるため、スペースが塞がりやすい。このため、スペース205が塞がらないようにマスク上の堆積膜206の膜厚を制御しながら、エッチングする必要がある。   During mass production of semiconductors, CF-based, Si-based and other reaction products generated by the plasma 112 adhere to and deposit on the inner wall of the vacuum processing chamber 102. The deposited reaction product reacts with fluorine radicals in the plasma 112, and the process performance such as the etching rate changes. When the reaction product is deposited in the vacuum processing chamber 102 in a large amount, etching proceeds in the grooves and holes where the deposit is difficult to enter, but the deposited film 206 is deposited on the Si film 201 of the mask which is a flat portion. When the thickness of the deposited film 206 is increased, the space 205 is blocked as shown in FIG. Since the width of the space 205 in this embodiment is 15 nm, the space is likely to be blocked. Therefore, it is necessary to perform etching while controlling the film thickness of the deposited film 206 on the mask so that the space 205 is not blocked.

次に、マスク上の堆積膜206の膜厚を制御しながら被エッチング膜をプラズマエッチングするプラズマ処理方法である本発明について以下、図3を参照しながら説明する。   Next, the present invention, which is a plasma processing method for plasma etching the film to be etched while controlling the film thickness of the deposited film 206 on the mask, will be described below with reference to FIG.

ステップ301において、真空処理室102内でフルオロカーボンガス、SiF4ガス等の堆積性ガスを用いて試料114のプラズマエッチングが開始される。次にステップ302において、プラズマエッチングの開始とともに膜厚測定器109がマスクであるSi膜201上の堆積膜206の膜厚を測定開始する。続いてステップ303において、予め設定された堆積膜厚の目標値と膜厚測定器109により測定されている堆積膜206の膜厚と比較する。ここで、堆積膜206の膜厚の目標値を10nm±30%とする。比較の結果、堆積膜206の膜厚が目標値の許容値内の場合は、ステップ305に進み、堆積膜206の膜厚が目標値の許容値から外れると、ステップ304に進む。 In step 301, plasma etching of the sample 114 is started in the vacuum processing chamber 102 using a deposition gas such as fluorocarbon gas or SiF 4 gas. Next, at step 302, the film thickness measuring device 109 starts measuring the film thickness of the deposited film 206 on the Si film 201 as a mask when plasma etching is started. Subsequently, in step 303, the target value of the deposited film thickness set in advance is compared with the film thickness of the deposited film 206 measured by the film thickness measuring device 109. Here, the target value of the film thickness of the deposited film 206 is set to 10 nm ± 30%. As a result of the comparison, if the film thickness of the deposited film 206 is within the allowable value of the target value, the process proceeds to step 305. If the film thickness of the deposited film 206 deviates from the allowable value of the target value, the process proceeds to step 304.

ステップ304では、マスクであるSi膜201上の堆積膜206の膜厚を制御するために装置コントローラー110がエッチングパラメータを変更する。例えば、堆積膜206の膜厚が厚い方向で許容値から外れた場合は、試料114に供給する高周波電力を50Wから55Wに増加させる。または、ガス流量、圧力等の他のエッチングパラメータを堆積性が弱くなるようにエッチングパラメータを調整する。逆に堆積膜206の膜厚が薄い方向で許容値から外れた場合は、試料114に供給する高周波電力を50Wから45Wに減少させる。または、ガス流量、圧力等の他のエッチングパラメータを堆積性が強くなるようにエッチングパラメータを調整する。   In step 304, the apparatus controller 110 changes the etching parameters in order to control the film thickness of the deposited film 206 on the Si film 201 as a mask. For example, when the thickness of the deposited film 206 deviates from the allowable value in the direction of increasing the thickness, the high frequency power supplied to the sample 114 is increased from 50 W to 55 W. Alternatively, other etching parameters such as gas flow rate and pressure are adjusted so that the deposition property becomes weak. On the contrary, when the film thickness of the deposited film 206 deviates from the allowable value in the thin direction, the high frequency power supplied to the sample 114 is reduced from 50 W to 45 W. Alternatively, other etching parameters such as gas flow rate and pressure are adjusted so that the deposition property becomes stronger.

次にステップ303に戻り、エッチングパラメータを調整した後の堆積膜206の膜厚と目標値と比較し、目標値の許容値内であれば、ステップ305に進み、目標値の許容値外であれば、再びステップ304に戻り、エッチングパラメータを調整する。   Next, returning to step 303, the film thickness of the deposited film 206 after adjusting the etching parameters is compared with the target value. If the target value is within the allowable value, the process proceeds to step 305, and the target value is outside the allowable value. If so, the process returns to step 304 again to adjust the etching parameters.

ステップ305では、エッチングの処理時間または、所望の深さに到達するまでSi膜201上の堆積膜206の膜厚を測定し、エッチングの処理時間または、所望の深さに到達した時点でステップ306にて、エッチングを終了する。このようなプラズマ処理を試料114に施すことにより、図2(c)に示すようにスペース205が塞がることなく、所望のエッチング形状を得ることができる。   In step 305, the film thickness of the deposited film 206 on the Si film 201 is measured until the etching processing time or a desired depth is reached, and when the etching processing time or the desired depth is reached, step 306 is performed. Then, the etching is finished. By applying such plasma treatment to the sample 114, a desired etching shape can be obtained without blocking the space 205 as shown in FIG.

次に、反応生成物の膜厚測定の手法を述べる。図4に示すように光源104から出力された入射光115は試料114で反射され、反射光116となる。この反射光116は、堆積膜206表面で反射する反射光R1207と堆積膜206を透過しSi膜201で反射する反射光R2208とSi膜201を透過し、SiO2膜202で反射する反射光R3209が含まれている。このため、各膜の表面で反射された反射光116は干渉波形となる。この干渉波形を膜厚測定器109により計測し、堆積膜206の膜厚を測定する。 Next, a method for measuring the film thickness of the reaction product will be described. As shown in FIG. 4, incident light 115 output from the light source 104 is reflected by the sample 114 and becomes reflected light 116. The reflected light 116 passes through the reflected light R 1 207 reflected from the surface of the deposited film 206, the reflected light R 2 208 reflected from the Si film 201 and reflected from the Si film 201, and reflected from the SiO 2 film 202. The reflected light R 3 209 is included. For this reason, the reflected light 116 reflected on the surface of each film has an interference waveform. The interference waveform is measured by the film thickness measuring device 109, and the film thickness of the deposited film 206 is measured.

また、堆積膜206の膜厚は、数nmから数十nmと薄いため、精度良く堆積膜206の膜厚を測定するために、光源104は、重水素ランプ、水銀ランプを使用する真空紫外光とする。あるいは、プラズマが発生する紫外線を用いてもよい。また、真空紫外光は、大気中の酸素または窒素に吸収されるため、光源104からの入射光115は、Heガス、Neガス、Arガス、Krガス、Xeガス等の希ガスによりパージされるパージ室を通過させ、光学窓は、合成石英、フッ化リチウム、フッ化カルシウム等の真空紫外光を透過する物質を用いる必要がある。   Further, since the film thickness of the deposited film 206 is as thin as several nm to several tens of nm, the light source 104 is a vacuum ultraviolet light using a deuterium lamp or a mercury lamp in order to accurately measure the film thickness of the deposited film 206. And Alternatively, ultraviolet rays that generate plasma may be used. Further, since the vacuum ultraviolet light is absorbed by oxygen or nitrogen in the atmosphere, the incident light 115 from the light source 104 is purged by a rare gas such as He gas, Ne gas, Ar gas, Kr gas, or Xe gas. It is necessary to use a substance that transmits vacuum ultraviolet light, such as synthetic quartz, lithium fluoride, and calcium fluoride, for passing through the purge chamber and for the optical window.

また、本実施例では、試料114の面内の1箇所における堆積膜206の膜厚を用いた例であったが、複数箇所の堆積膜206の膜厚を用いても良い。例えば、試料114の中心部とミドル部と外周部の3箇所の堆積膜206の膜厚を用いる場合について説明する。   In this embodiment, the film thickness of the deposited film 206 at one place in the surface of the sample 114 is used. However, the film thickness of the deposited film 206 at a plurality of places may be used. For example, a case where the film thicknesses of the deposited film 206 at three locations of the center portion, middle portion, and outer peripheral portion of the sample 114 are used will be described.

ステップ303において、試料114の中心部とミドル部と外周部の少なくとも一箇所の堆積膜206の膜厚が目標値の許容値外と判定した場合、ステップ304において、堆積膜206の膜厚の試料114面内均一性を改善するようにエッチングパラメータを調整し、続けて堆積膜206の膜厚が目標値の許容値内となるようにエッチングパラメータを調整する。尚、これ以外のステップは、試料114の面内の1箇所における堆積膜206の膜厚を用いた場合と同様である。   If it is determined in step 303 that the film thickness of the deposited film 206 in at least one of the central portion, middle portion, and outer peripheral portion of the sample 114 is outside the allowable value of the target value, in step 304, the sample having the deposited film 206 thickness The etching parameters are adjusted so as to improve the in-plane uniformity, and the etching parameters are adjusted so that the thickness of the deposited film 206 is within the allowable value of the target value. The other steps are the same as the case where the film thickness of the deposited film 206 at one place in the surface of the sample 114 is used.

次に真空処理室102の内壁に蓄積した反応生成物の影響によるプロセス性能変動を回避する実施例について説明する。本実施例では、真空処理室102のプラズマ112の発光に基づいて実施例1のステップ304におけるエッチングパラメータの調整量を決定するプラズマ処理方法である。 Next, an embodiment for avoiding process performance fluctuations due to the influence of reaction products accumulated on the inner wall of the vacuum processing chamber 102 will be described. In this embodiment, the plasma processing method determines the adjustment amount of the etching parameter in Step 304 of Embodiment 1 based on the light emission of the plasma 112 in the vacuum processing chamber 102.

本実施例にかかるプラズマエッチング装置の概略断面図を図5に示す。本実施例に係るプラズマエッチング装置は、実施例1のプラズマエッチング装置にさらに光ファイバー117と、分光器118と、制御コントローラー119とを備えている。尚、制御コントローラー119は、実施例1のプラズマエッチング装置の装置コントローラー110の代わりに用いられたものである。また、図1と同じ符号の構成は、図1の同符号の構成と同様のものである。   FIG. 5 shows a schematic sectional view of the plasma etching apparatus according to this example. The plasma etching apparatus according to the present embodiment further includes an optical fiber 117, a spectroscope 118, and a control controller 119 in addition to the plasma etching apparatus according to the first embodiment. The control controller 119 is used in place of the apparatus controller 110 of the plasma etching apparatus of the first embodiment. 1 is the same as that of FIG.

真空処理室102内に生成されたプラズマ112からのプラズマ光120は、光ファイバー117を介して分光器118で検出される。分光器118では、検出したプラズマ光120を分光し光強度をデジタル信号に変換して制御コントローラー119に送る。制御コントローラー119は、プラズマ発光強度を用いてエッチングパラメータの制御量を決定する機能を有する。制御コントローラー119は、予め基準となるプラズマトレンドを記憶しておき、分光器118により検出されたプラズマトレンドと基準となるプラズマトレンドとの偏差を求め、この偏差に基づいてエッチングパラメータの調整量を求める。   The plasma light 120 from the plasma 112 generated in the vacuum processing chamber 102 is detected by the spectroscope 118 via the optical fiber 117. The spectroscope 118 splits the detected plasma light 120 to convert the light intensity into a digital signal and send it to the controller 119. The control controller 119 has a function of determining the control amount of the etching parameter using the plasma emission intensity. The controller 119 stores a reference plasma trend in advance, obtains a deviation between the plasma trend detected by the spectrometer 118 and the reference plasma trend, and obtains an etching parameter adjustment amount based on this deviation. .

また、本実施例に係るプラズマ処理方法のフローチャートは、ステップ304以外は、実施例1で説明したフローチャートと同様であり、本実施例のプラズマ処理方法のステップ304は、上述した制御コントローラーの機能を用いて堆積膜206の膜厚が目標値の許容値内となるようにエッチングパラメータの制御量を上記のプラズマ発光の偏差に基づいて求め、この求められた制御量だけエッチングパラメータを調整する。   Further, the flowchart of the plasma processing method according to the present embodiment is the same as the flowchart described in the first embodiment except for step 304, and step 304 of the plasma processing method of the present embodiment has the function of the control controller described above. The etching parameter control amount is obtained based on the deviation of the plasma emission so that the film thickness of the deposited film 206 is within the allowable value of the target value, and the etching parameter is adjusted by the obtained control amount.

本実施例のようにエッチングパラメータの制御量をプラズマ発光を用いて求めることにより、堆積膜206の厚さは、ある程度増加しないと変化が分からないが、プラズマ発光強度はエッチングパラメータを変更すると直ちに変化するため、さらに精度が高く再現性がよい微細加工ができる。   By determining the control amount of the etching parameter using plasma emission as in this embodiment, the thickness of the deposited film 206 does not change unless it increases to some extent, but the plasma emission intensity changes immediately when the etching parameter is changed. Therefore, fine processing with higher accuracy and good reproducibility can be performed.

実施例1または実施例2では、堆積膜206の膜厚の厚さを光の干渉を用いて測定したが、光の吸収を用いた方法でも良い。以下に光の吸収を用いて堆積膜206の膜厚の厚さを測定する例について図6と図7を参照しながら説明する。   In Example 1 or Example 2, the thickness of the deposited film 206 was measured using light interference, but a method using light absorption may be used. Hereinafter, an example of measuring the thickness of the deposited film 206 using light absorption will be described with reference to FIGS.

炭素元素とフッ素元素を含むガスでエッチングする場合、堆積膜206は、Siを含有するCF系ポリマー膜となり、この膜の光の吸収特性は、図6に示すように波長200nm前後に吸収端を持つ。干渉法で膜厚を測定する場合には堆積膜206を透過してかつ数nmの薄い膜厚の変化を感知するために極力波長を短く選ぶ。一方、吸収法では膜に吸収される波長の光を選び、図6の場合には250nm以下の波長を用いる。この領域の波長の光は、例えば、172nmのXeや146nmのKrのエキシマランプで得られる。または、水銀ランプの185nmの光を用いても良い。   When etching with a gas containing carbon element and fluorine element, the deposited film 206 becomes a CF-based polymer film containing Si, and the light absorption characteristic of this film has an absorption edge around a wavelength of 200 nm as shown in FIG. Have. In the case of measuring the film thickness by the interferometry, the wavelength is selected as short as possible in order to sense the change in the thin film thickness of several nanometers that passes through the deposited film 206. On the other hand, in the absorption method, light having a wavelength absorbed by the film is selected, and in the case of FIG. 6, a wavelength of 250 nm or less is used. Light having a wavelength in this region can be obtained, for example, with an excimer lamp of 172 nm Xe or 146 nm Kr. Alternatively, 185 nm light from a mercury lamp may be used.

次に堆積膜206の膜厚の算出方法を図7を参照しながら説明する。例えば、172nmのXeのエキシマランプから入射した光は、Si基板204で反射される。ここで、本実施例で用いられる試料114は、Si基板204上に下から順にSiO2膜902とマスクであるSiN膜903とが積層され、エッチング中に堆積膜206がSiN膜903上に堆積している。 Next, a method for calculating the thickness of the deposited film 206 will be described with reference to FIG. For example, light incident from a 172 nm Xe excimer lamp is reflected by the Si substrate 204. Here, in the sample 114 used in this example, a SiO 2 film 902 and a SiN film 903 as a mask are sequentially stacked on a Si substrate 204 from the bottom, and a deposited film 206 is deposited on the SiN film 903 during etching. doing.

光の入射光115の入射角と反射光901の反射角をθ、SiO2膜902の厚さをLoの場合の吸光係数をEo、SiN膜903の厚さをLnの場合の吸光係数をEn、堆積膜206の厚さをLdの場合の吸光係数をEdとすると、入射光115の強度であるIoと反射光901の強度であるIとの比は(1)式で表される。 When the incident angle of the incident light 115 and the reflection angle of the reflected light 901 are θ, the absorption coefficient when the thickness of the SiO 2 film 902 is Lo is Eo, and the absorption coefficient when the thickness of the SiN film 903 is Ln is En. When the thickness of the deposited film 206 is Ld and the extinction coefficient is Ed, the ratio between Io, which is the intensity of the incident light 115, and I, which is the intensity of the reflected light 901, is expressed by equation (1).

尚、logは常用対数でありAは、吸光度である。 In addition, log is a common logarithm and A is an absorbance.

Ldを求めるには予めEo、En、Edをそれぞれ測定しておき、(1)式より算出してもよいが、SiO2膜のエッチング速度等のエッチングの進行状態と試料の断面を透過電子顕微鏡などで観察して求めた堆積膜206の厚さLdと測定される吸光度Aの関係の校正曲線を作成して、この校正曲線からLdを求めてもよい。 In order to obtain Ld, Eo, En, and Ed may be measured in advance, and may be calculated from equation (1). The progress of etching, such as the etching rate of the SiO 2 film, and the cross section of the sample are measured with a transmission electron microscope. It is also possible to create a calibration curve of the relationship between the thickness Ld of the deposited film 206 obtained by observation or the like and the measured absorbance A, and obtain Ld from this calibration curve.

(1)式において、マスクであるSiN膜903との選択比が高く、SiN膜903の厚さLnがエッチング時間とともに変化しないと仮定した場合、時間とともに変化する値は堆積膜206の厚さLdだけになる。一方、SiN膜903との選択比が低く、SiN膜903の厚さが変わってしまう場合も、予め校正曲線を作ってLdを求める方法は差し支えなく使える。また、さらに精度を上げるためには干渉法と併用してこの方法で算出されるSiN膜903の厚さで(1)式を補正しながら堆積膜206の時間変化を求めればよい。   In equation (1), when it is assumed that the selectivity with respect to the SiN film 903 that is a mask is high and the thickness Ln of the SiN film 903 does not change with the etching time, the value that changes with time is the thickness Ld of the deposited film 206. It becomes only. On the other hand, even when the selectivity with respect to the SiN film 903 is low and the thickness of the SiN film 903 changes, a method for obtaining Ld by creating a calibration curve in advance can be used without any problem. In order to further improve the accuracy, the time change of the deposited film 206 may be obtained while correcting the equation (1) with the thickness of the SiN film 903 calculated by this method in combination with the interference method.

以上、実施例1および実施例2で上述した通り、本発明は、堆積性ガスを用いて被エッチング膜をプラズマエッチングするプラズマ処理方法において、マスク上に堆積した堆積膜の膜厚を測定し、前記測定された堆積膜の膜厚と前記堆積膜の膜厚の目標値との差を求め、前記求められた差が許容値より大きい場合、前記求められた差が許容値内となるようにエッチングパラメータを制御しながら前記被エッチング膜をエッチングすることを特徴とする。   As described above in Example 1 and Example 2, the present invention measures the film thickness of the deposited film deposited on the mask in the plasma processing method of plasma etching the film to be etched using the deposition gas, A difference between the measured film thickness of the deposited film and a target value of the film thickness of the deposited film is obtained, and when the obtained difference is larger than an allowable value, the obtained difference is within the allowable value. The etching target film is etched while controlling etching parameters.

本発明により、フルオロカーボンガス、SiF4ガス等の堆積性ガスを用いたプラズマエッチングを行うプラズマ処理方法において、マスク上に堆積した堆積物の膜厚を制御することができるため、所望のエッチング形状を得ることができる。 According to the present invention, in the plasma processing method for performing plasma etching using a deposition gas such as fluorocarbon gas or SiF 4 gas, the film thickness of the deposit deposited on the mask can be controlled. Can be obtained.

また、実施例1および実施例2では、マイクロ波を用いたECR方式のマイクロ波プラズマエッチング装置での適用例について説明したが、本発明はこれに限定されるものではなく、容量結合型、誘導結合型のプラズマ生成手段を用いたプラズマエッチング装置に適用しても良い。   In the first and second embodiments, the application example in the microwave plasma etching apparatus of the ECR system using the microwave has been described. However, the present invention is not limited to this, and the capacitive coupling type, the induction The present invention may be applied to a plasma etching apparatus using a combined plasma generating means.

さらに、実施例1では、被エッチング膜としてSiO2膜を用いた例で説明したが、本発明はこれに限定されるものではなく、SiN膜、SiC膜、SiOC膜、SiON膜等のフルオロカーボンガス、SiF4ガス等の堆積性ガスを用いてエッチングされる膜でも良い。 Further, in the first embodiment, the example using the SiO 2 film as the film to be etched has been described. However, the present invention is not limited to this, and fluorocarbon gas such as SiN film, SiC film, SiOC film, and SiON film is used. Alternatively, a film etched using a deposition gas such as SiF 4 gas may be used.

101・・・・プラズマ処理装置
102・・・・真空処理室
103・・・・試料台
104・・・・光源
105・・・・パージ室
106・・・・光学窓
107・・・・光ファイバー
108・・・・分光器
109・・・・膜厚測定器
110・・・・装置コントローラー
111・・・・マイクロ波電源
112・・・・プラズマ
113・・・・高周波電源
114・・・・試料
115・・・・入射光
116・・・・反射光
117・・・・光ファイバー
118・・・・分光器
119・・・・制御コントローラー
120・・・・プラズマ光
201・・・・Si膜
202・・・・SiO2
203・・・・SiN膜
204・・・・Si基板
205・・・・スペース
206・・・・堆積膜
207・・・・反射光R1
208・・・・反射光R2
209・・・・反射光R3
901・・・・反射光
902・・・・SiO2
903・・・・SiN膜
DESCRIPTION OF SYMBOLS 101 ... Plasma processing apparatus 102 ... Vacuum processing chamber 103 ... Sample stage 104 ... Light source 105 ... Purge chamber 106 ... Optical window 107 ... Optical fiber 108 ··· Spectrometer 109 ··· Film thickness measuring device 110 ··· Device controller 111 ··· Microwave power source 112 ··· Plasma 113 · · · High frequency power source 114 ··· Sample 115 .... Incident light 116 ... Reflected light 117 ... Optical fiber 118 ... Spectrometer 119 ... Control controller 120 ... Plasma light 201 ... Si film 202 ... ··· SiO 2 film 203 ··· SiN film 204 ··· Si substrate 205 ··· Space 206 ··· Deposited film 207 ··· Reflected light R 1
208 ... Reflected light R 2
209 ... Reflected light R 3
901 .... reflected light 902 .... SiO 2 film 903 .... SiN film

Claims (6)

堆積性ガスを用いて被エッチング膜をプラズマエッチングするプラズマ処理方法において、
マスク上に堆積した堆積膜の膜厚を測定し、
前記測定された堆積膜の膜厚と前記堆積膜の膜厚の目標値との差を求め、
前記求められた差が許容値より大きい場合、前記求められた差が許容値内となるようにエッチングパラメータを制御しながら前記被エッチング膜をエッチングすることを特徴とするプラズマ処理方法。
In a plasma processing method for plasma etching a film to be etched using a deposition gas,
Measure the thickness of the deposited film deposited on the mask,
Find the difference between the measured thickness of the deposited film and the target value of the thickness of the deposited film,
When the obtained difference is larger than an allowable value, the etching target film is etched while controlling an etching parameter so that the obtained difference is within the allowable value.
請求項1に記載のプラズマ処理方法において、
前記被エッチング膜を有する試料の中心部とミドル部と外周部で前記堆積膜の膜厚を測定し、
測定された中心部の堆積膜の膜厚と測定されたミドル部の堆積膜の膜厚と測定された外周部の堆積膜の膜厚のそれぞれと前記目標値との差を求め、
前記それぞれ求められた差の中で少なくとも一つの差が前記許容値より大きい場合、
許容値内となるようにエッチングパラメータを制御するとともに前記試料の面内均一性を改善するようにエッチングパラメータを制御することを特徴とするプラズマ処理方法。
The plasma processing method according to claim 1,
Measure the thickness of the deposited film at the center, middle and outer periphery of the sample having the film to be etched,
Obtain the difference between the measured value of the deposited film thickness of the central portion and the measured thickness of the deposited film of the middle portion and the measured thickness of the deposited film of the outer peripheral portion and the target value,
If at least one of the determined differences is greater than the tolerance,
An etching parameter is controlled so as to be within an allowable value, and the etching parameter is controlled so as to improve in-plane uniformity of the sample.
請求項1に記載のプラズマ処理方法において、
前記被エッチング膜のエッチング中のプラズマ発光を検出し、
前記検出されたプラズマ発光に基づいて前記求められた差が許容値内となるようにエッチングパラメータを制御する際の制御量を求めることを特徴とするプラズマ処理方法。
The plasma processing method according to claim 1,
Detecting plasma emission during etching of the film to be etched;
A plasma processing method characterized in that a control amount for controlling an etching parameter is obtained so that the obtained difference falls within an allowable value based on the detected plasma emission.
請求項2に記載のプラズマ処理方法において、
前記被エッチング膜のエッチング中のプラズマ発光を検出し、
前記検出されたプラズマ発光に基づいて許容値内となるようにエッチングパラメータを制御する際の制御量を求めることを特徴とするプラズマ処理方法。
The plasma processing method according to claim 2,
Detecting plasma emission during etching of the film to be etched;
A plasma processing method comprising: obtaining a control amount for controlling an etching parameter so as to be within an allowable value based on the detected plasma emission.
請求項1ないし請求項4のいずれか1項に記載のプラズマ処理方法において、
光の吸収法を用いて前記堆積膜の膜厚を測定することを特徴とするプラズマ処理方法。
In the plasma processing method according to any one of claims 1 to 4,
A plasma processing method, wherein the film thickness of the deposited film is measured using a light absorption method.
請求項1ないし請求項4のいずれか1項に記載のプラズマ処理方法において、
光の干渉法と光の吸収法を併用して前記堆積膜の膜厚を測定することを特徴とするプラズマ処理方法。
In the plasma processing method according to any one of claims 1 to 4,
A plasma processing method, wherein the film thickness of the deposited film is measured by using a light interference method and a light absorption method in combination.
JP2013113593A 2013-05-30 2013-05-30 Plasma processing method Active JP6072613B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2013113593A JP6072613B2 (en) 2013-05-30 2013-05-30 Plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013113593A JP6072613B2 (en) 2013-05-30 2013-05-30 Plasma processing method

Publications (2)

Publication Number Publication Date
JP2014232825A true JP2014232825A (en) 2014-12-11
JP6072613B2 JP6072613B2 (en) 2017-02-01

Family

ID=52126036

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013113593A Active JP6072613B2 (en) 2013-05-30 2013-05-30 Plasma processing method

Country Status (1)

Country Link
JP (1) JP6072613B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017136306A1 (en) * 2016-02-01 2017-08-10 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
JP2018157048A (en) * 2017-03-17 2018-10-04 株式会社日立ハイテクノロジーズ Etching method and plasma processing apparatus
WO2019003483A1 (en) * 2018-01-31 2019-01-03 株式会社日立ハイテクノロジーズ Plasma treatment method and plasma treatment device
KR20190025772A (en) * 2017-09-01 2019-03-12 세메스 주식회사 Substrate treating apparatus and substrate treating method
US10290553B2 (en) 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
WO2020122259A1 (en) * 2019-02-04 2020-06-18 株式会社日立ハイテク Plasma processing method and plasma processing device

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04355916A (en) * 1990-10-12 1992-12-09 Seiko Epson Corp Dry etching device
JPH05190505A (en) * 1992-01-10 1993-07-30 Mitsubishi Electric Corp Semiconductor manufacturing device
JPH08298259A (en) * 1995-04-27 1996-11-12 Nec Corp Method and device for dry etching
JPH09306822A (en) * 1996-05-20 1997-11-28 Toshiba Corp Plasma etching and manufacture of photomask
JPH10242120A (en) * 1997-02-25 1998-09-11 Hitachi Ltd Plasma etching method and apparatus therefor
JPH1197414A (en) * 1997-09-25 1999-04-09 Sony Corp Plasma etching method for silicon-oxide based insulating film
JP2001332534A (en) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd Plasma processing method and plasma processing apparatus
JP2010161124A (en) * 2009-01-06 2010-07-22 Showa Shinku:Kk Etching device, etching method, etching program, and film forming apparatus

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04355916A (en) * 1990-10-12 1992-12-09 Seiko Epson Corp Dry etching device
JPH05190505A (en) * 1992-01-10 1993-07-30 Mitsubishi Electric Corp Semiconductor manufacturing device
JPH08298259A (en) * 1995-04-27 1996-11-12 Nec Corp Method and device for dry etching
JPH09306822A (en) * 1996-05-20 1997-11-28 Toshiba Corp Plasma etching and manufacture of photomask
JPH10242120A (en) * 1997-02-25 1998-09-11 Hitachi Ltd Plasma etching method and apparatus therefor
JPH1197414A (en) * 1997-09-25 1999-04-09 Sony Corp Plasma etching method for silicon-oxide based insulating film
JP2001332534A (en) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd Plasma processing method and plasma processing apparatus
JP2010161124A (en) * 2009-01-06 2010-07-22 Showa Shinku:Kk Etching device, etching method, etching program, and film forming apparatus

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10290553B2 (en) 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
CN108701612A (en) * 2016-02-01 2018-10-23 东京毅力科创株式会社 Determine the system and method that the rear process of thermal treatment of dry method etch technology is completed
WO2017136306A1 (en) * 2016-02-01 2017-08-10 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
JP2018157048A (en) * 2017-03-17 2018-10-04 株式会社日立ハイテクノロジーズ Etching method and plasma processing apparatus
KR20190025772A (en) * 2017-09-01 2019-03-12 세메스 주식회사 Substrate treating apparatus and substrate treating method
KR101966806B1 (en) * 2017-09-01 2019-04-09 세메스 주식회사 Substrate treating apparatus and substrate treating method
KR20190093497A (en) 2018-01-31 2019-08-09 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing method, and plasma processing apparatus
JPWO2019003483A1 (en) * 2018-01-31 2019-07-04 株式会社日立ハイテクノロジーズ Plasma processing method and plasma processing apparatus
WO2019003483A1 (en) * 2018-01-31 2019-01-03 株式会社日立ハイテクノロジーズ Plasma treatment method and plasma treatment device
TWI711800B (en) * 2018-01-31 2020-12-01 日商日立全球先端科技股份有限公司 Plasma processing method and plasma processing device
US10971369B2 (en) 2018-01-31 2021-04-06 Hitachi High-Tech Corporation Plasma processing method and plasma processing apparatus
WO2020122259A1 (en) * 2019-02-04 2020-06-18 株式会社日立ハイテク Plasma processing method and plasma processing device
WO2020121540A1 (en) * 2019-02-04 2020-06-18 株式会社日立ハイテク Plasma processing method and plasma processing device
KR20200096753A (en) 2019-02-04 2020-08-13 주식회사 히타치하이테크 Plasma treatment method and plasma treatment device
JPWO2020122259A1 (en) * 2019-02-04 2021-02-15 株式会社日立ハイテク Plasma processing method and plasma processing equipment
TWI732440B (en) * 2019-02-04 2021-07-01 日商日立全球先端科技股份有限公司 Plasma processing method and plasma processing device
US11462416B2 (en) 2019-02-04 2022-10-04 Hitachi High-Tech Corporation Plasma processing method and plasma processing apparatus
KR102520892B1 (en) 2019-02-04 2023-04-13 주식회사 히타치하이테크 Plasma treatment method and plasma treatment device

Also Published As

Publication number Publication date
JP6072613B2 (en) 2017-02-01

Similar Documents

Publication Publication Date Title
JP6072613B2 (en) Plasma processing method
US10665516B2 (en) Etching method and plasma processing apparatus
US6297064B1 (en) End point detecting method for semiconductor plasma processing
US7993937B2 (en) DC and RF hybrid processing system
US11056322B2 (en) Method and apparatus for determining process rate
US20050202575A1 (en) Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
JP6318007B2 (en) Data processing method, data processing apparatus, and processing apparatus
US20150011027A1 (en) 3d nand staircase cd control by using interferometric endpoint detection
JP2005012218A (en) Method and system for monitoring etch process
JPWO2008026531A1 (en) Plasma oxidation method
JP6705023B2 (en) Plasma processing method and plasma processing apparatus
US20130273237A1 (en) Method to Determine the Thickness of a Thin Film During Plasma Deposition
CN111801775B (en) Plasma processing method and plasma processing apparatus
US20140024143A1 (en) System for in-situ film stack measurement during etching and etch control method
JP3713426B2 (en) Etching depth measuring method and apparatus, etching method
US20210142991A1 (en) Apparatus with optical cavity for determining process rate
JP6874182B2 (en) Data processing method, data processing device and processing device
JP7110492B2 (en) Plasma processing apparatus and plasma processing method
US20240047239A1 (en) Plasma processing device and plasma processing method
JPH0766173A (en) Plasma etching method
JP2005303088A (en) Plasma processing apparatus and resist trimming method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151102

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151102

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160809

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160923

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161228

R150 Certificate of patent or registration of utility model

Ref document number: 6072613

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350