JP2014220300A - Etching liquid, etching method using the same, etching liquid kit, and method for manufacturing semiconductor substrate product - Google Patents

Etching liquid, etching method using the same, etching liquid kit, and method for manufacturing semiconductor substrate product Download PDF

Info

Publication number
JP2014220300A
JP2014220300A JP2013097154A JP2013097154A JP2014220300A JP 2014220300 A JP2014220300 A JP 2014220300A JP 2013097154 A JP2013097154 A JP 2013097154A JP 2013097154 A JP2013097154 A JP 2013097154A JP 2014220300 A JP2014220300 A JP 2014220300A
Authority
JP
Japan
Prior art keywords
etching solution
etching
compound
metal
nickel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013097154A
Other languages
Japanese (ja)
Other versions
JP5980717B2 (en
Inventor
智美 高橋
Tomomi Takahashi
智美 高橋
上村 哲也
Tetsuya Kamimura
上村  哲也
稲葉 正
Tadashi Inaba
正 稲葉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujifilm Corp
Original Assignee
Fujifilm Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Corp filed Critical Fujifilm Corp
Priority to JP2013097154A priority Critical patent/JP5980717B2/en
Priority to PCT/JP2014/062070 priority patent/WO2014178425A1/en
Priority to TW103115808A priority patent/TWI602952B/en
Publication of JP2014220300A publication Critical patent/JP2014220300A/en
Application granted granted Critical
Publication of JP5980717B2 publication Critical patent/JP5980717B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/28Acidic compositions for etching iron group metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/30Acidic compositions for etching other metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Abstract

PROBLEM TO BE SOLVED: To provide: an etching liquid suppressing a silicide layer from being damaged and capable of selectively and appropriately removing a metallic layer disposed on the upper side of the silicide layer, even when germanium is included in the silicide layer; an etching method using the etching liquid; an etching liquid kit; and a method for manufacturing a semiconductor substrate product.SOLUTION: An etching liquid for removing a metallic layer disposed on an upper side of a silicide layer contains: hydrochloric acid; nitric acid; a sulfonic acid compound; a metal corrosion inhibitor that comprises a compound including a heteroatom; and water. The metal corrosion inhibitor is such that a specific nickel solubility ratio of a chemical solution including the metal corrosion inhibitor, to a chemical solution not including the metal corrosion inhibitor is 20% or more.

Description

本発明は、エッチング液、これを用いたエッチング方法、エッチング液のキット、および半導体基板製品の製造方法に関する。   The present invention relates to an etching solution, an etching method using the same, an etching solution kit, and a method for manufacturing a semiconductor substrate product.

集積回路の製造は多段階の様々な加工工程で構成されている。具体的にその製造過程では、様々な材料の堆積、必要な部分または全体的に露出した層のリソグラフィ、あるいはその層のエッチング等が幾度も繰り返される。なかでも、金属や金属化合物の層のエッチングは重要なプロセスとなる。金属等を選択的にエッチングし、その他の層については腐食させることなく残存させなければならない。場合によっては、類似した金属種からなる層どうしや、より腐食性の高い層を残す形態で所定の層のみを除去することが求められる。半導体基板内の配線や集積回路のサイズはますます小さくなり、正確に腐食することなくエッチングを行う重要性は益々高まっている。   Integrated circuit manufacturing is composed of various processing steps in multiple stages. Specifically, in the manufacturing process, deposition of various materials, lithography of a necessary or partially exposed layer, etching of the layer, and the like are repeated many times. Among them, etching of a metal or metal compound layer is an important process. Metal or the like must be selectively etched, and other layers must remain without being corroded. In some cases, it is required to remove only a predetermined layer in a form that leaves layers made of similar metal species or a more highly corrosive layer. The size of wiring and integrated circuits in a semiconductor substrate is becoming increasingly smaller, and the importance of performing etching without being corroded accurately is increasing.

電界効果トランジスタを例にとってみると、その急速な微細化に伴い、ソース・ドレイン領域の上面に形成されるシリサイド層の薄膜化や、新規材料の開発が強く求められてきている。このシリサイド層を形成するサリサイドプロセスでは、半導体基板上に形成したシリコン等からなるソース領域およびドレイン領域の一部とその上面に付した金属層とをアニールする。金属層としては、これまで、タングステン(W)、チタン(Ti)、コバルト(Co)などが適用され、最近ではニッケル(Ni)が採用されている。これにより、ソース・ドレイン電極等の上側に低抵抗のシリサイド層を形成することができる。最近では、さらなる微細化に応え、貴金属である白金(Pt)を加えたNiPtシリサイド層を形成することも提案されている。   Taking a field effect transistor as an example, with the rapid miniaturization, there is a strong demand for thinning a silicide layer formed on the upper surface of a source / drain region and for developing a new material. In the salicide process for forming the silicide layer, a part of the source region and the drain region made of silicon or the like formed on the semiconductor substrate and the metal layer attached to the upper surface thereof are annealed. As the metal layer, tungsten (W), titanium (Ti), cobalt (Co), and the like have been applied so far, and recently nickel (Ni) has been adopted. Thereby, a low-resistance silicide layer can be formed on the upper side of the source / drain electrodes and the like. Recently, in response to further miniaturization, it has been proposed to form a NiPt silicide layer to which platinum (Pt), which is a noble metal, is added.

サリサイド工程の後においては、そこに残された金属層をエッチングにより除去する。このエッチングは通常ウエットエッチングにより行われ、その薬液として塩酸と硝酸の混合液(王水)が適用されている。特許文献1は、硝酸および塩酸に加え、トルエンスルホン酸を加えた薬液を用いる例を開示している。   After the salicide process, the metal layer left there is removed by etching. This etching is usually performed by wet etching, and a mixed solution of hydrochloric acid and nitric acid (aqua regia) is applied as the chemical solution. Patent Document 1 discloses an example using a chemical solution in which toluenesulfonic acid is added in addition to nitric acid and hydrochloric acid.

国際公開第2012/125401号パンフレットInternational Publication No. 2012/125401 Pamphlet

ところで、最近になってソース・ドレイン電極等の構成材料についてさらに検討が進み、SiGeエピタキシャル層の適用が提案されている。そうすると、その上側で形成されるシリサイド層にもゲルマニウム(Ge)が含まれることとなる。例えば、上記NiPtシリサイド層は、そこにゲルマニウムが含まれるNiPtGeシリサイド層として構成されることとなる。本発明者らの実験および解析を通じ、上記のようにゲルマニウム(Ge)を含むシリサイド層においては、エッチングの際に、これを含まないものとは異なる挙動を示すことが分かってきた。   By the way, recently, further studies have been made on constituent materials such as source / drain electrodes, and application of SiGe epitaxial layers has been proposed. Then, germanium (Ge) is also contained in the silicide layer formed on the upper side. For example, the NiPt silicide layer is configured as a NiPtGe silicide layer containing germanium. Through experiments and analyzes by the present inventors, it has been found that a silicide layer containing germanium (Ge) exhibits a behavior different from that which does not contain a silicide layer, as described above.

そこで本発明は、ゲルマニウムを含む場合であっても、シリサイド層の損傷を抑制し、その上側に配置された金属層を選択的かつ的確に除去することができるエッチング液、これを用いたエッチング方法、エッチング液のキット、および半導体基板製品の製造方法の提供を目的とする。また、上記の良好なエッチングを達成しつつ、一方で必要により露出する他の部材の損傷を防ぐことができるエッチング液、これを用いたエッチング方法、エッチング液のキット、および半導体基板製品の製造方法の提供を目的とする。   Accordingly, the present invention provides an etching solution capable of suppressing damage to the silicide layer and selectively removing the metal layer disposed on the upper side even when germanium is contained, and an etching method using the same An object is to provide an etching solution kit and a method for manufacturing a semiconductor substrate product. Moreover, while achieving the above-mentioned good etching, on the other hand, an etching solution capable of preventing damage to other members exposed if necessary, an etching method using the same, an etching solution kit, and a method for manufacturing a semiconductor substrate product The purpose is to provide.

上記の課題は以下の手段により解決された。
〔1〕シリサイド層の上側に配置された金属層を除去するエッチング液であって、
塩酸と硝酸とスルホン酸化合物とヘテロ原子を含む化合物からなる金属防食剤と水とを含有し、
金属防食剤は、これを含有する薬液と、これを含まない薬液の下記ニッケル溶解度比が20%以上であるエッチング液。
(ニッケル溶解度:ニッケル粉末をエッチング液に添加して10分間60℃で処理する。そのろ液中に含まれるニッケルの溶解濃度。)
ニッケル溶解度比(%)
={1−Sb/Sa}×100
Sa:防食剤を含まないニッケル溶解度
Sb:防食剤を含むニッケル溶解度
〔2〕金属防食剤がカルボン酸化合物、アゾール化合物、およびベタイン化合物から選ばれる〔1〕に記載のエッチング液。
〔3〕カルボン酸化合物がポリカルボン酸化合物である〔2〕に記載のエッチング液。
〔4〕アゾール化合物がチアゾール化合物である〔2〕に記載のエッチング液。
〔5〕ベタイン化合物が、第四級アンモニウム基とカルボキシル基またはスルホン酸基とを分子内に持つ化合物である〔2〕に記載のエッチング液。
〔6〕スルホン酸化合物がメタンスルホン酸またはトルエンスルホン酸である〔1〕〜〔5〕のいずれか1項に記載のエッチング液。
〔7〕シリサイド層が、NiPtシリサイドまたはNiPtGeシリサイドである〔1〕〜〔6〕のいずれか1項に記載のエッチング液。
〔8〕金属層がNi、Ptまたはこれらの組合せを含有する〔1〕〜〔7〕のいずれか1項に記載のエッチング液。
〔9〕塩酸を0.01質量%以上0.5質量%以下で含有する〔1〕〜〔8〕のいずれか1項に記載のエッチング液。
〔10〕硝酸を0.1質量%以上20質量%以下で含有する〔1〕〜〔9〕のいずれか1項に記載のエッチング液。
〔11〕スルホン酸化合物を25質量%以上95質量%以下で含有する〔1〕〜〔10〕のいずれか1項に記載のエッチング液。
〔12〕金属防食剤を0.01質量%以上5質量%以下で含有する〔1〕〜〔11〕のいずれか1項に記載のエッチング液。
〔13〕エッチング液によりシリサイド層の上側に配置された未反応金属層を除去するエッチング方法であって、エッチング液が、塩酸と硝酸とスルホン酸化合物とヘテロ原子を含む化合物からなる金属防食剤と水とを含有し、金属防食剤は、これを含有する薬液と、これ含まない薬液の下記ニッケル溶解度比が20%以上であるエッチング方法。
(ニッケル溶解度:ニッケル粉末をエッチング液に添加して10分間60℃で処理する。そのろ液中に含まれるニッケルの溶解濃度。)
ニッケル溶解度比(%)
={防食剤を含むニッケル溶解度/防食剤を含まないニッケル溶解度}×100
〔14〕金属防食剤がカルボン酸化合物、アゾール化合物、およびベタイン化合物から選ばれる〔13〕に記載のエッチング方法。
〔15〕シリサイド層が、NiPtシリサイドまたはNiPtGeシリサイドである〔13〕または〔14〕に記載のエッチング方法。
〔16〕金属防食剤を0.05質量%以上10質量%以下で含有する〔13〕〜〔15〕のいずれか1項に記載のエッチング方法。
〔17〕シリサイド層の上側に配置された金属層を除去するエッチング液のキットであって、
塩酸および水を含む第1液と硝酸および水を含む第2液とを有し、
第1液、第2液、および必要により採用されるそれ以外の第3液の少なくともいずれかが、スルホン酸化合物とヘテロ原子を含む化合物からなる金属防食剤とをそれぞれまたは両者をともに含有し、金属防食剤は、これを含有する薬液のニッケル溶解度と、これ含まない薬液の下記ニッケル溶解度比が20%以上であるエッチング液のキット。
(ニッケル溶解度:ニッケル粉末をエッチング液に添加して10分間60℃で処理する。そのろ液中に含まれるニッケルの溶解濃度。)
ニッケル溶解度比(%)
={1−Sb/Sa}×100
Sa:防食剤を含まないニッケル溶解度
Sb:防食剤を含むニッケル溶解度
〔18〕シリコンウエハ上側にシリコン層と金属層とをその順に形成した半導体基板とする工程と、前記半導体基板をアニールする工程と、当該半導体基板に請求項1〜12のいずれか1項に記載のエッチング液を付与し、当該エッチング液と前記金属層とを接触させ、当該金属層を選択的に除去する工程とを有する半導体基板製品の製造方法。
The above problem has been solved by the following means.
[1] An etching solution for removing the metal layer disposed on the upper side of the silicide layer,
Containing a metal anticorrosive consisting of hydrochloric acid, nitric acid, a sulfonic acid compound and a compound containing a heteroatom and water;
The metal anticorrosive is an etching solution in which the following nickel solubility ratio of a chemical solution containing this and a chemical solution not containing this is 20% or more.
(Nickel solubility: Nickel powder is added to the etching solution and treated at 60 ° C. for 10 minutes. Dissolution concentration of nickel contained in the filtrate.)
Nickel solubility ratio (%)
= {1-Sb / Sa} × 100
Sa: Nickel solubility not including an anticorrosive agent Sb: Nickel solubility including an anticorrosive agent [2] The etching solution according to [1], wherein the metal anticorrosive agent is selected from a carboxylic acid compound, an azole compound, and a betaine compound.
[3] The etching solution according to [2], wherein the carboxylic acid compound is a polycarboxylic acid compound.
[4] The etching solution according to [2], wherein the azole compound is a thiazole compound.
[5] The etching solution according to [2], wherein the betaine compound is a compound having a quaternary ammonium group and a carboxyl group or a sulfonic acid group in the molecule.
[6] The etching solution according to any one of [1] to [5], wherein the sulfonic acid compound is methanesulfonic acid or toluenesulfonic acid.
[7] The etching solution according to any one of [1] to [6], wherein the silicide layer is NiPt silicide or NiPtGe silicide.
[8] The etching solution according to any one of [1] to [7], wherein the metal layer contains Ni, Pt, or a combination thereof.
[9] The etching solution according to any one of [1] to [8], which contains hydrochloric acid in an amount of 0.01% by mass to 0.5% by mass.
[10] The etching solution according to any one of [1] to [9], containing nitric acid in an amount of 0.1% by mass to 20% by mass.
[11] The etching solution according to any one of [1] to [10], which contains a sulfonic acid compound in an amount of 25% by mass to 95% by mass.
[12] The etching solution according to any one of [1] to [11], which contains a metal anticorrosive agent in an amount of 0.01% by mass to 5% by mass.
[13] An etching method for removing an unreacted metal layer disposed on the upper side of a silicide layer with an etchant, wherein the etchant comprises a metal anticorrosive comprising a compound containing hydrochloric acid, nitric acid, a sulfonic acid compound, and a heteroatom. Etching method that contains water and the metal anticorrosive has a following nickel solubility ratio of 20% or more between a chemical solution containing this and a chemical solution not containing this.
(Nickel solubility: Nickel powder is added to the etching solution and treated at 60 ° C. for 10 minutes. Dissolution concentration of nickel contained in the filtrate.)
Nickel solubility ratio (%)
= {Nickel solubility with anticorrosive agent / Nickel solubility without anticorrosive agent} × 100
[14] The etching method according to [13], wherein the metal anticorrosive is selected from a carboxylic acid compound, an azole compound, and a betaine compound.
[15] The etching method according to [13] or [14], wherein the silicide layer is NiPt silicide or NiPtGe silicide.
[16] The etching method according to any one of [13] to [15], which contains 0.05 to 10% by mass of a metal anticorrosive.
[17] An etching solution kit for removing the metal layer disposed on the upper side of the silicide layer,
A first liquid containing hydrochloric acid and water and a second liquid containing nitric acid and water;
At least one of the first liquid, the second liquid, and the third liquid other than that, which is adopted as necessary, contains a sulfonic acid compound and a metal anticorrosive composed of a compound containing a heteroatom, or both together, The metal anticorrosive is an etching solution kit in which the nickel solubility of a chemical solution containing the metal anticorrosive and the following nickel solubility ratio of the chemical solution not containing the metal anticorrosive is 20% or more.
(Nickel solubility: Nickel powder is added to the etching solution and treated at 60 ° C. for 10 minutes. Dissolution concentration of nickel contained in the filtrate.)
Nickel solubility ratio (%)
= {1-Sb / Sa} × 100
Sa: Nickel solubility not containing an anticorrosive agent Sb: Nickel solubility containing an anticorrosive agent [18] A step of forming a semiconductor substrate in which a silicon layer and a metal layer are formed in that order on the upper side of the silicon wafer, and a step of annealing the semiconductor substrate The semiconductor which has the process of providing the etching liquid of any one of Claims 1-12 to the said semiconductor substrate, making the said etching liquid and the said metal layer contact, and selectively removing the said metal layer A method for manufacturing a substrate product.

本発明によれば、ゲルマニウムを含む場合であっても、シリサイド層の損傷を抑制し、その上側に配置された金属層を選択的かつ的確に除去することができる。また、上記の良好なエッチングを達成しつつ、一方で隣接するアルミニウム等の金属電極層やSiO等の絶縁層の損傷を好適に防ぐことができる。 According to the present invention, even when germanium is included, damage to the silicide layer can be suppressed, and the metal layer disposed on the upper side thereof can be selectively and accurately removed. Further, while achieving a good etching described above, whereas it is possible to preferably prevent damage to the adjacent metal electrode layer such as aluminum or SiO 2 or the like of the insulating layer.

本発明の一実施形態における半導体基板の作製工程例(エッチング前)を模式的に示す断面図である。It is sectional drawing which shows typically the manufacturing process example (before an etching) of the semiconductor substrate in one Embodiment of this invention. 本発明の一実施形態におけるMOSトランジスタの製造例を示す工程図である。It is process drawing which shows the manufacture example of the MOS transistor in one Embodiment of this invention. 本発明の好ましい実施形態に係るウエットエッチング装置の一部を示す装置構成図である。It is an apparatus block diagram which shows a part of wet etching apparatus which concerns on preferable embodiment of this invention. 本発明の一実施形態における半導体基板に対するノズルの移動軌跡線を模式的に示す平面図である。It is a top view which shows typically the movement locus line of the nozzle with respect to the semiconductor substrate in one Embodiment of this invention.

まず、本発明のエッチング方法に係るエッチング工程の好ましい実施形態について、図1、図2に基づき説明する。   First, a preferred embodiment of an etching process according to the etching method of the present invention will be described with reference to FIGS.

[エッチング工程]
図1はエッチング前後の半導体基板を示した図である。本実施形態の製造例においては、シリコン層2の上面に金属層1が配置されている。シリコン層としてはソース電極、ドレイン電極を構成するSiGeエピタキシャル層が適用されている。本発明においては、SiGeエピタキシャル層であることが、そのエッチング液の顕著な効果が発揮されるため好ましい。
[Etching process]
FIG. 1 shows the semiconductor substrate before and after etching. In the manufacturing example of the present embodiment, the metal layer 1 is disposed on the upper surface of the silicon layer 2. As the silicon layer, a SiGe epitaxial layer constituting a source electrode and a drain electrode is applied. In the present invention, the SiGe epitaxial layer is preferable because the remarkable effect of the etching solution is exhibited.

金属層1の構成材料としては、タングステン(W)、チタン(Ti)、コバルト(Co)、ニッケル(Ni)、NiPtなどが挙げられる。金属層の形成は通常この種の金属膜の形成に適用される方法を用いることができ、具体的には.CVD(Chemical Vapor Deposition)による成膜が挙げられる。このときの金属層の厚さは特に限定されないが、5nm以上50nm以下の膜の例が挙げられる。本発明においては、金属層がNiPt層(Pt含有率0質量%超20質量%以下が好ましい),Ni層(Pt含有率0質量%)であることが、そのエッチング液の顕著な効果が発揮されるため好ましい。   Examples of the constituent material of the metal layer 1 include tungsten (W), titanium (Ti), cobalt (Co), nickel (Ni), NiPt, and the like. For the formation of the metal layer, a method usually applied to the formation of this kind of metal film can be used. Examples thereof include film formation by CVD (Chemical Vapor Deposition). The thickness of the metal layer at this time is not particularly limited, but examples include a film having a thickness of 5 nm to 50 nm. In the present invention, the metal layer is a NiPt layer (Pt content of more than 0% by mass and preferably 20% by mass or less) and a Ni layer (Pt content of 0% by mass), and the etching solution exhibits a remarkable effect. Therefore, it is preferable.

上記の工程(a)においてシリコン層2の上側に金属層1が形成された後、アニール(焼結)が行われ、その界面に金属−Si反応膜(シリサイド層)3が形成される(工程(b))。アニールは通常この種の素子の製造に適用される条件によればよいが、例えば、200〜1000℃で処理することが挙げられる。このときのシリサイド層3の厚さは特に限定されないが、50nm以下の層とされている例が挙げられ、さらに10nm以下の層とされている例が挙げられる。下限値は特にないが、1nm以上が実際的である。このシリサイド層は低抵抗膜として適用され、その下部に位置するソース電極、ドレイン電極と、その上部に配置される配線とを電気的に接続する導電部として機能する。したがって、シリサイド層に欠損や腐食が生じるとこの導通が阻害され、素子誤作動等の品質低下につながることがある。特に、昨今、基板内部の集積回路構造は微細化されてきており、微小な損傷であっても素子の性能にとって大きな影響を与えうる。そのため、そのような欠損や腐食は可及的に防止されることが望ましい。   After the metal layer 1 is formed above the silicon layer 2 in the above step (a), annealing (sintering) is performed, and a metal-Si reaction film (silicide layer) 3 is formed at the interface (step). (B)). Annealing may be performed under conditions normally applied to the production of this type of device, and for example, treatment at 200 to 1000 ° C. may be mentioned. The thickness of the silicide layer 3 at this time is not particularly limited, but examples include a layer of 50 nm or less, and an example of a layer of 10 nm or less. Although there is no lower limit, 1 nm or more is practical. This silicide layer is applied as a low-resistance film, and functions as a conductive portion that electrically connects a source electrode and a drain electrode located below the silicide layer and a wiring disposed thereon. Accordingly, when defects or corrosion occur in the silicide layer, this conduction is hindered, which may lead to quality degradation such as device malfunction. In particular, recently, the integrated circuit structure inside the substrate has been miniaturized, and even a minute damage can have a great influence on the performance of the element. Therefore, it is desirable to prevent such defects and corrosion as much as possible.

次いで、残存した金属層1のエッチングが行われる(工程(b)−>工程(c))。本実施形態においては、このときエッチング液が適用され、金属層1の上側からエッチング液を付与し接触させることで、金属層1を除去する。エッチング液の付与の形態については後述する。   Next, the remaining metal layer 1 is etched (step (b)-> step (c)). In the present embodiment, an etching solution is applied at this time, and the metal layer 1 is removed by applying and contacting the etching solution from the upper side of the metal layer 1. The form of application of the etchant will be described later.

本発明の好ましい実施形態に係るエッチング液によれば、上記エッチング工程(工程(c))において、金属層1を選択的にエッチングしながら、その下部にあるシリサイド層の損傷を効果的に抑制・防止することができる。この効果は、さらに下部に位置するシリコン層にゲルマニウムが含まれるゲルマニウム含有NiPtシリサイド層(NiPtGeSi層ないしNiPtGeシリサイド層などと称する)に対して特に顕著となる。   According to the etching solution according to a preferred embodiment of the present invention, in the etching step (step (c)), while the metal layer 1 is selectively etched, damage to the silicide layer underneath is effectively suppressed. Can be prevented. This effect is particularly remarkable for a germanium-containing NiPt silicide layer (referred to as a NiPtGeSi layer or a NiPtGe silicide layer) in which germanium is contained in a silicon layer located further below.

その効果の理由は未だ解明しきれていない点を含むが以下のように推定される。一例としてNiPtシリサイド基板について言うと、そのシリサイド層の内部にはNi、Pt、Si、Geからなる複合金属組織が存在する。このとき、希王水系の薬液では、シリサイド層中のSiはその酸化膜(保護膜)を形成するため溶解速度が遅いのに対し、卑な金属であるNiは溶解速度が速く表面のシリコン酸化膜層を越え内部で溶出が進行する。そのためシリサイド内部の酸化が進行すると解される。また、NiPt合金では、より卑なNi溶出が優先的に進むことによりその孔食が加速される。ここで、NiPt未反応層の除去性を保持するためには、添加剤にはPtの溶解性を落とさないことが望まれる。   The reason for the effect includes the points that have not been clarified yet, but is estimated as follows. As an example, regarding a NiPt silicide substrate, a composite metal structure composed of Ni, Pt, Si, and Ge exists in the silicide layer. At this time, in the dilute aqua chemical, Si in the silicide layer forms its oxide film (protective film), so the dissolution rate is slow, whereas Ni, which is a base metal, has a fast dissolution rate and silicon oxide on the surface. Elution progresses across the membrane layer. Therefore, it is understood that oxidation inside the silicide proceeds. Further, in the NiPt alloy, the pitting corrosion is accelerated by the preferential advancement of the more basic Ni elution. Here, in order to maintain the removability of the NiPt unreacted layer, it is desirable that the additive does not deteriorate the solubility of Pt.

これに対して、本発明のエッチング液によれば、特定の添加剤(金属防食剤)が選択されたため、シリサイド層上側のPt/Niの良好なエッチング性を維持しつつ、Niの溶出を抑え、シリサイド層表面の孔食を抑制・防止できる。言わば、相反する性能をバランスよく高いレベルで両立したものと言える。ここで説明した反応機構には推定を含む部分があり、また、この説明によって本発明が何ら限定して解釈されるものではない。なお、上記ではNiPt金属を用いた例を示したが、シリサイド金属を変更してもよく、本発明に係るエッチング液は、特定の金属と基板の選択比が高い場合等に広く適用することができ有効である。   On the other hand, according to the etching solution of the present invention, since a specific additive (metal anticorrosive) is selected, the elution of Ni is suppressed while maintaining good etching properties of Pt / Ni on the upper side of the silicide layer. In addition, pitting corrosion on the surface of the silicide layer can be suppressed / prevented. In other words, it can be said that the contradictory performance is balanced and at a high level. The reaction mechanism described here includes a part including estimation, and the present invention is not construed as being limited in any way by this description. Although an example using NiPt metal has been described above, the silicide metal may be changed, and the etching solution according to the present invention can be widely applied to a case where the selectivity between a specific metal and the substrate is high. It is possible and effective.

シリコン層2は、SiGeエピタキシャル層からなり、化学的気相成長(CVD)法により、特定の結晶性を有するシリコン基板上に結晶成長させて形成するとことができる。あるいは、電子線エピタキシ(MBE)法等により、所望の結晶性で形成したエピタキシャル層としてもよい。   The silicon layer 2 is made of a SiGe epitaxial layer, and can be formed by crystal growth on a silicon substrate having specific crystallinity by a chemical vapor deposition (CVD) method. Alternatively, an epitaxial layer formed with desired crystallinity may be formed by an electron beam epitaxy (MBE) method or the like.

シリコン層をP型の層とするには、濃度が1×1014cm−3〜1×1021cm−3程度のボロン(B)がドープされることが好ましい。N型の層とするには、リン(P)が1×1014cm−3〜1×1021cm−3の濃度でドープされることが好ましい。 In order to make the silicon layer a P-type layer, it is preferable that boron (B) having a concentration of about 1 × 10 14 cm −3 to 1 × 10 21 cm −3 is doped. In order to form an N-type layer, phosphorus (P) is preferably doped at a concentration of 1 × 10 14 cm −3 to 1 × 10 21 cm −3 .

SiGeエピタキシャル層におけるGe濃度は、3〜15質量%であることが好ましく、5〜10質量%であることがより好ましい。なお、本明細書においてGeの濃度は、以下のようにして測定した値を言う。
(Ge濃度)
ゲルマニウム(Ge)を含む第一層の基盤をエッチングESCA(アルバックファイ製 Quantera)にて0〜30nmまでの深さ方向を分析し、3〜15nm分析結果におけるGe濃度の平均値をGe濃度(質量%)とする。
The Ge concentration in the SiGe epitaxial layer is preferably 3 to 15% by mass, and more preferably 5 to 10% by mass. In this specification, the concentration of Ge is a value measured as follows.
(Ge concentration)
The substrate of the first layer containing germanium (Ge) is analyzed in the depth direction from 0 to 30 nm by etching ESCA (Quanta, manufactured by ULVAC-PHI), and the average value of Ge concentration in the analysis result of 3 to 15 nm is calculated as the Ge concentration (mass %).

(MOSトランジスタの加工)
図2は、MOSトランジスタの製造例を示す工程図である。(A)はMOSトランジスタ構造の形成工程、(B)は金属膜のスパッタ工程、(C)は1回目のアニール工程、(D)は金属膜の選択除去工程、(E)は2回目のアニール工程である。
図に示すように、シリコン基板21の表面に形成されたゲート絶縁膜22を介してゲート電極23が形成されている。シリコン基板21のゲート電極23の両側にエクステンション領域が別途形成されていてもよい。本実施形態では、ゲート電極23の上側に、NiPt層との接触を防ぐ保護層24が形成されている。さらに、シリコン酸化膜又はシリコン窒化膜からなるサイドウォール25が形成され、イオン注入によりソース領域26及びドレイン領域27が形成されている。
次いで、図に示すように、NiPt膜28が形成され、急速アニール処理が施される。これによって、NiPt膜28中の元素をシリコン基板中に拡散させてシリサイド化させる。この結果、ソース電極26及びドレイン電極27の上部がシリサイド化されて、NiPtGeSiソース電極部26A及びNiPtGeSiドレイン電極部27Aが形成される。このとき、必要により、図2(E)に示したように2回目のアニールをすることにより電極部材を所望の状態に変化させることができる。前記1回目と2回目のアニール温度は特に限定されないが、例えば、400〜1100℃で行うことができる。
(Processing of MOS transistors)
FIG. 2 is a process diagram showing an example of manufacturing a MOS transistor. (A) is a MOS transistor structure formation process, (B) is a metal film sputtering process, (C) is a first annealing process, (D) is a metal film selective removal process, and (E) is a second annealing process. It is a process.
As shown in the figure, a gate electrode 23 is formed through a gate insulating film 22 formed on the surface of the silicon substrate 21. Extension regions may be separately formed on both sides of the gate electrode 23 of the silicon substrate 21. In the present embodiment, a protective layer 24 that prevents contact with the NiPt layer is formed on the upper side of the gate electrode 23. Further, a sidewall 25 made of a silicon oxide film or a silicon nitride film is formed, and a source region 26 and a drain region 27 are formed by ion implantation.
Next, as shown in the figure, a NiPt film 28 is formed and subjected to a rapid annealing process. As a result, the elements in the NiPt film 28 are diffused into the silicon substrate to be silicided. As a result, the upper portions of the source electrode 26 and the drain electrode 27 are silicided to form the NiPtGeSi source electrode portion 26A and the NiPtGeSi drain electrode portion 27A. At this time, if necessary, the electrode member can be changed to a desired state by performing the second annealing as shown in FIG. The first and second annealing temperatures are not particularly limited, and can be performed at 400 to 1100 ° C., for example.

シリサイド化に寄与せずに残ったNiPt膜28は、本発明のエッチング液を用いることによって除去することができる(図2(C)(D))。このとき、図示したものは大幅に模式化して示しており、シリサイド化された層(26A,27A)の上部に堆積して残るNiPt膜があってもなくてもよい。半導体基板ないしその製品の構造も極めて簡略化して図示しており、必要に応じて、必要な部材があるものとして解釈すればよい。   The NiPt film 28 remaining without contributing to silicidation can be removed by using the etching solution of the present invention (FIGS. 2C and 2D). At this time, what is shown in the figure is schematically shown, and there may or may not be a NiPt film deposited and left on top of the silicided layers (26A, 27A). The structure of the semiconductor substrate or its product is also shown in a very simplified manner, and may be interpreted as having necessary members if necessary.

半導体基板内部にはさらにアルミニウムや銅などで形成された他の電極が露出していることがある。アルミニウムは比較的安価な材料であり、今日その適用が広がっている。一方で腐食性の高い材料であるためにその保護が求められる。特に、上記のようなウエットエッチング工程において露出されている場合には、その損傷が激しくなる場合があり、これをできるだけ防止し良好な状態を維持することが望まれる。本発明の好ましい実施形態によれば、このようなアルミニウム等からなる電極の損傷を効果的に抑制ないし防止することができ好ましい。   In some cases, another electrode formed of aluminum, copper, or the like is exposed inside the semiconductor substrate. Aluminum is a relatively inexpensive material and its application is spreading today. On the other hand, since it is a highly corrosive material, its protection is required. In particular, when exposed in the wet etching process as described above, the damage may become severe, and it is desired to prevent this as much as possible and maintain a good state. According to a preferred embodiment of the present invention, it is possible to effectively suppress or prevent such damage to an electrode made of aluminum or the like.

[エッチング液]
次に、本発明のエッチング液の好ましい実施形態について説明する。本実施形態のエッチング液は塩酸と硝酸とスルホン酸化合物と金属防食剤と水とを含有する。以下、任意のものを含め、各成分について説明する。
[Etching solution]
Next, a preferred embodiment of the etching solution of the present invention will be described. The etching liquid of this embodiment contains hydrochloric acid, nitric acid, a sulfonic acid compound, a metal anticorrosive, and water. Hereinafter, each component including an arbitrary one will be described.

(塩酸)
本発明に係るエッチング液には塩酸が含まれる。その濃度は、エッチング液中、0.01質量%以上であることが好ましく、0.02質量%以上がより好ましく、0.03質量%以上含有させることが特に好ましい。上限としては、0.5質量%以下が好ましく、0.1質量%以下がより好ましく、0.05質量%以下が特に好ましい。上記上限値以下とすることで、シリサイド層の過度の損傷を防ぐ点で好ましい。特に、ニッケルの溶解には塩酸(塩素イオン)が寄与するので、この量を制限することが好ましい。一方、上記下限値以上とすることが、十分な速度で金属層をエッチングする観点で好ましい。エッチング液の成分の同定に関しては、塩酸として確認される必要まではなく、水溶液中で塩素イオン(Cl)が同定されることにより、その存在および量が把握されるものである。
本発明においては、第7族の元素の酸として塩酸のみを含むことが好ましく、逆にシュウ酸を含まないことが好ましい。なお、ゲルマニウムを含むシリサイド層においては、塩化ニトロシル(NOCl)が関与しているものと解される。
(hydrochloric acid)
The etching solution according to the present invention contains hydrochloric acid. The concentration is preferably 0.01% by mass or more, more preferably 0.02% by mass or more, and particularly preferably 0.03% by mass or more in the etching solution. As an upper limit, 0.5 mass% or less is preferable, 0.1 mass% or less is more preferable, and 0.05 mass% or less is especially preferable. By setting it to the above upper limit or less, it is preferable in that excessive damage to the silicide layer is prevented. In particular, since hydrochloric acid (chlorine ions) contributes to the dissolution of nickel, it is preferable to limit this amount. On the other hand, it is preferable to set it more than the said lower limit from a viewpoint of etching a metal layer at sufficient speed | rate. The components of the etching solution need not be confirmed as hydrochloric acid. Chlorine ions (Cl ) are identified in an aqueous solution, and the presence and amount thereof are grasped.
In the present invention, it is preferable to include only hydrochloric acid as the acid of the Group 7 element, and conversely, it is preferable not to include oxalic acid. It is understood that nitrosyl chloride (NOCl) is involved in the silicide layer containing germanium.

(硝酸)
本発明に係るエッチング液には硝酸が含まれる。その濃度は、エッチング液中、0.1質量%以上であることが好ましく、1質量%以上がより好ましく、2質量%以上含有させることが特に好ましい。上限としては、20質量%以下が好ましく、5質量%以下がより好ましく、3質量%以下が特に好ましい。上記上限値以下とすることで、シリサイド層の過度の損傷を防ぐ点で好ましい。一方、上記下限値以上とすることが、十分な速度で金属層をエッチングする観点で好ましい。なお、エッチング液の成分の同定に関しては、硝酸として確認される必要まではなく、水溶液中で硝酸イオン(NO )が同定されることにより、その存在および量が把握されるものである。
(nitric acid)
The etching solution according to the present invention contains nitric acid. The concentration is preferably 0.1% by mass or more in the etching solution, more preferably 1% by mass or more, and particularly preferably 2% by mass or more. As an upper limit, 20 mass% or less is preferable, 5 mass% or less is more preferable, and 3 mass% or less is especially preferable. By setting it to the above upper limit or less, it is preferable in that excessive damage to the silicide layer is prevented. On the other hand, it is preferable to set it more than the said lower limit from a viewpoint of etching a metal layer at sufficient speed | rate. The components of the etching solution need not be confirmed as nitric acid, but the presence and amount thereof can be grasped by identifying nitrate ions (NO 3 ) in the aqueous solution.

(スルホン酸化合物)
本発明に係るエッチング液にはスルホン酸化合物が含まれる。このスルホン酸化合物はその作用からスルホン酸化合物(A)とスルホン酸化合物(B)とに大別される。
(Sulfonic acid compound)
The etching solution according to the present invention contains a sulfonic acid compound. This sulfonic acid compound is roughly classified into a sulfonic acid compound (A) and a sulfonic acid compound (B) because of its action.

・スルホン酸化合物(A)
スルホン酸化合物(A)は金属層をエッチングする作用をもたらす物質であり、メタンスルホン酸が挙げられる。
スルホン酸化合物(A)の濃度は、エッチング液中、25質量%以上であることが好ましく、50質量%以上がより好ましく、60質量%以上含有させることが特に好ましい。上限としては、95質量%以下が好ましく、80質量%以下がより好ましく、70質量%以下が特に好ましい。
・ Sulphonic acid compound (A)
The sulfonic acid compound (A) is a substance that has an effect of etching the metal layer, and examples thereof include methanesulfonic acid.
The concentration of the sulfonic acid compound (A) is preferably 25% by mass or more, more preferably 50% by mass or more, and particularly preferably 60% by mass or more in the etching solution. As an upper limit, 95 mass% or less is preferable, 80 mass% or less is more preferable, and 70 mass% or less is especially preferable.

・スルホン酸化合物(B)
スルホン酸化合物(B)はNiPtシリサイドを酸化抑制する作用をもたらす物質であり、任意の添加剤である。スルホン酸化合物は、後記金属防食剤(必須成分)には含めない。スルホン酸化合物(B)としては、下記式(1)で表される化合物が好ましい。

Figure 2014220300
・ Sulphonic acid compound (B)
The sulfonic acid compound (B) is a substance that has an action of suppressing oxidation of NiPt silicide, and is an optional additive. The sulfonic acid compound is not included in the metal corrosion inhibitor (essential component) described later. As the sulfonic acid compound (B), a compound represented by the following formula (1) is preferable.
Figure 2014220300

Rは、複数であるときそれぞれ独立して、直鎖または分岐鎖のアルキル基(炭素数1〜12が好ましく、1〜6がより好ましく、1〜3が特に好ましい)、環状アルキル基(炭素数3〜12が好ましく、3〜6がより好ましい)、Cl、Br、F、OH、NO、またはSOH、COHである。前記アルキル基はさらに置換基を有していてもよく、例えば、Cl、Br、F、OH、NO、SOH、COHを有していてもよい。
nは0〜5の整数である。mは1〜6の整数である。
Rは、なかでも、少なくともSOH基に対して4位に置換基を有することが好ましく、パラトルエンスルホン酸化合物であることがより好ましく、パラトルエンスルホン酸であることが特に好ましい。
スルホン酸化合物(B)の濃度は、エッチング液中、任意成分であり含まれなくてもよいが、適用する場合には、0質量%超であることが好ましく、0.5質量%以上がより好ましく、1質量%以上含有させることが特に好ましい。上限としては、10質量%以下が好ましく、5質量%以下がより好ましく、3質量%以下が特に好ましい。
When R is plural, each independently represents a linear or branched alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms), and cyclic alkyl group (carbon number). 3-12 are preferred, 3 to 6 and more preferably) a Cl, Br, F, OH, NO 2, or SO 3 H, CO 2 H. The alkyl group may further have a substituent, for example, Cl, Br, F, OH, NO 2 , SO 3 H, and CO 2 H.
n is an integer of 0-5. m is an integer of 1-6.
Among these, R preferably has a substituent at least at the 4-position with respect to the SO 3 H group, more preferably a paratoluenesulfonic acid compound, and particularly preferably paratoluenesulfonic acid.
The concentration of the sulfonic acid compound (B) is an optional component in the etching solution and may not be included. However, when applied, it is preferably more than 0% by mass, more preferably 0.5% by mass or more. It is preferable to contain 1% by mass or more. As an upper limit, 10 mass% or less is preferable, 5 mass% or less is more preferable, and 3 mass% or less is especially preferable.

(金属防食剤)
本発明に係るエッチング液には金属防食剤が含まれる。この金属防食剤は、卑な金属の防食性を有するものであり、ヘテロ原子(好ましくは窒素原子、酸素原子、または硫黄原子)を含む化合物からなる。具体的には実施例で採用した測定方法により測定したニッケル粉末溶解度の比(ニッケル溶解度比)で20質量%以上を示す化合物である。ニッケル溶解度比は、さらに25%以上であることが好ましく、30%以上であることがより好ましく、35%以上であることがさらに好ましく、40%以上であることが特に好ましい。上限は特に限定されないが、70%以下であることが実際的である。
(Metal anticorrosive)
The etchant according to the present invention contains a metal anticorrosive. The metal anticorrosive has a base metal anticorrosive property and is composed of a compound containing a hetero atom (preferably a nitrogen atom, an oxygen atom, or a sulfur atom). Specifically, it is a compound having a nickel powder solubility ratio (nickel solubility ratio) measured by the measurement method employed in the examples of 20% by mass or more. The nickel solubility ratio is further preferably 25% or more, more preferably 30% or more, further preferably 35% or more, and particularly preferably 40% or more. The upper limit is not particularly limited, but is practically 70% or less.

前記金属防食剤は、前記スルホン酸化合物(B)、カルボン酸化合物(分子内に1つ以上のカルボン酸を有する化合物)、アゾール化合物(分子内に1つ以上の窒素原子を有する複素芳香族化合物)、およびベタイン化合物(分子内に塩基性基と酸性基とを有する化合物)から選ばれることが好ましい。   The metal anticorrosive includes the sulfonic acid compound (B), a carboxylic acid compound (a compound having one or more carboxylic acids in the molecule), an azole compound (a heteroaromatic compound having one or more nitrogen atoms in the molecule). ) And a betaine compound (a compound having a basic group and an acidic group in the molecule).

・カルボン酸化合物
前記カルボン酸化合物はポリカルボン酸化合物であることが好ましい。特に、ジカルボン酸化合物、トリカルボン酸化合物、テトラカルボン酸化合物が好ましい。具体的には、マロン酸、シュウ酸、クエン酸、酒石酸、フタル酸、グルタル酸が好ましい。その中でも特にマロン酸、シュウ酸、クエン酸が好ましい。
-Carboxylic acid compound The carboxylic acid compound is preferably a polycarboxylic acid compound. Particularly preferred are dicarboxylic acid compounds, tricarboxylic acid compounds, and tetracarboxylic acid compounds. Specifically, malonic acid, oxalic acid, citric acid, tartaric acid, phthalic acid, and glutaric acid are preferable. Of these, malonic acid, oxalic acid, and citric acid are particularly preferable.

・アゾール化合物
前記アゾール化合物はチアゾール化合物であることが好ましい。チアゾール化合物はさらに置換基を有していてもよく、当該置換基としては、アルキル基(炭素数1〜12が好ましく、1〜6がより好ましく、1〜3が特に好ましい)、アルケニル基(炭素数6〜22が好ましく、6〜14がより好ましい)、アルキニル基(炭素数6〜22が好ましく、6〜14がより好ましい)、アルコキシ基(炭素数1〜12が好ましく、1〜6がより好ましく、1〜3が特に好ましい)、アシル基(炭素数1〜12が好ましく、1〜6がより好ましく、1〜3が特に好ましい)、アミノ基(炭素数0〜6が好ましく、0〜3がより好ましい)、Cl、Br、F、OH、NO、SOH、COHが挙げられる。
-Azole compound It is preferable that the said azole compound is a thiazole compound. The thiazole compound may further have a substituent. Examples of the substituent include an alkyl group (preferably having 1 to 12 carbons, more preferably 1 to 6 and particularly preferably 1 to 3), and an alkenyl group (carbon). Number 6-22 is preferable, 6-14 are more preferable, Alkynyl group (C6-C22 is preferable, 6-14 are more preferable), Alkoxy group (C1-C12 is preferable, 1-6 are more) Preferably, 1 to 3 are particularly preferred), an acyl group (preferably 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an amino group (preferably 0 to 6 carbon atoms, preferably 0 to 3 carbon atoms). Are more preferred), Cl, Br, F, OH, NO 2 , SO 3 H, CO 2 H.

・ベタイン化合物
前記ベタイン化合物は酸性基と塩基性基を分子内にもつ化合物であり、第四級アンモニウム基とカルボキシル基又はスルホン酸基とを分子内にもつ化合物であることが好ましい。ベタイン化合物の炭素数は特に限定されないが、炭素数1以上24以下が好ましく、1以上16以下がより好ましく、1以上8以下が特に好ましい。具体的には、ベタイン[N(CH −CH−COO]またはスルホベタイン[N(CH −CH−SO ]が好ましい。
Betaine compound The betaine compound is a compound having an acidic group and a basic group in the molecule, and preferably a compound having a quaternary ammonium group and a carboxyl group or a sulfonic acid group in the molecule. The carbon number of the betaine compound is not particularly limited, but is preferably 1 to 24, more preferably 1 to 16, and particularly preferably 1 to 8. Specifically, betaine [N (CH 3 ) 3 + —CH 2 —COO ] or sulfobetaine [N (CH 3 ) 3 + —CH 2 —SO 3 ] is preferable.

金属防食剤の濃度は、エッチング液中、0.01質量%以上であることが好ましく、0.05質量%以上であることがより好ましく、0.1質量%以上がさらに好ましく、0.5質量%以上含有させることが特に好ましい。上限としては、10質量%以下が好ましく、5質量%以下がより好ましく、2質量%以下が特に好ましい。この添加量を規定することにより、良好なエッチング性を維持しながら、シリサイド層の孔食を効果的に抑制できるため好ましい。   The concentration of the metal anticorrosive is preferably 0.01% by mass or more, more preferably 0.05% by mass or more, further preferably 0.1% by mass or more, and 0.5% by mass in the etching solution. It is particularly preferable to contain at least%. As an upper limit, 10 mass% or less is preferable, 5 mass% or less is more preferable, and 2 mass% or less is especially preferable. By defining this addition amount, pitting corrosion of the silicide layer can be effectively suppressed while maintaining good etching properties, which is preferable.

(水媒体)
本発明のエッチング液には、その媒体として水(水媒体)が適用される。水(水媒体)としては、本発明の効果を損ねない範囲で溶解成分を含む水性媒体であってもよく、あるいは不可避的な微量混合成分を含んでいてもよい。なかでも、蒸留水やイオン交換水、あるいは超純水といった浄化処理を施された水が好ましく、半導体製造に使用される超純水を用いることが特に好ましい。
(Aqueous medium)
Water (aqueous medium) is applied as the medium to the etching solution of the present invention. The water (aqueous medium) may be an aqueous medium containing a dissolved component as long as the effects of the present invention are not impaired, or may contain an unavoidable trace mixed component. Among these, water that has been subjected to purification treatment such as distilled water, ion-exchanged water, or ultrapure water is preferable, and ultrapure water that is used for semiconductor manufacturing is particularly preferable.

本発明のエッチング液は上記の成分を含む(comprise)ことが好ましく、実質的に上記の各成分からなる(consist essentially of)ことがより好ましい。実質的に上記の各成分からなるとは、基本的かつ新規な性質に本質的な影響を与えないものを含有していてもよいことを意味する。また、有する(have)、含有する(contain)等というときには、含む(comprise)と同義であり、他のいかなる成分等の含有も許容する意味である。   The etching solution of the present invention preferably contains the above components, and more preferably consists essentially of each of the above components (consistently of). The phrase “consisting essentially of each of the above-mentioned components” means that it may contain a substance that does not substantially affect basic and novel properties. Moreover, when it has (have), contains (contain), etc., it is synonymous with (comprise), and it is the meaning which accept | permits inclusion of any other components.

(pH)
本発明においては、エッチング液のpHを3以下とすることが好ましく、2以下とすることがより好ましく、1以下とすることが特に好ましい。上記上限値以下とすることがNiPt膜の十分なエッチング速度を確保する観点で好ましい。
(PH)
In the present invention, the pH of the etching solution is preferably 3 or less, more preferably 2 or less, and particularly preferably 1 or less. The upper limit value or less is preferable from the viewpoint of securing a sufficient etching rate of the NiPt film.

(キット)
本発明におけるエッチング液は、その原料を複数に分割したキットとしてもよい。例えば、第1液として前記塩酸を水に含有する液組成物を準備し、第2液として前記硝酸を水媒体に含有する液組成物を準備する態様が挙げられる。このときその他の必須成分である、スルホン酸化合物や金属防食剤はそれぞれ別にあるいはともに第1液、第2液、またはその他の第3液に含有させておく。なかでも、スルホン酸化合物(B)を第2液に含有させ、金属防食剤を第1液に含有させることが好ましい。スルホン酸化合物(A)は第1液及び第2液の両液に含有させることが好ましい。
その使用例としては、両液を混合してエッチング液を調液し、その後適時に前記エッチング処理に適用する態様が好ましい。このようにすることで、各成分の分解による液性能の劣化を招かずにすみ、所望のエッチング作用を効果的に発揮させることができる。ここで、混合後「適時」とは、混合ののち所望の作用を失うまでの時期を指し、具体的には60分以内であることが好ましく、30分以内であることがより好ましく、10分以内であることがさらに好ましく、1分以内であることが特に好ましい。下限は特にないが、1秒以上であることが実際的である。
(kit)
The etching solution in the present invention may be a kit in which the raw material is divided into a plurality. For example, the liquid composition which contains the said hydrochloric acid in water as a 1st liquid is prepared, and the aspect which prepares the liquid composition which contains the said nitric acid in an aqueous medium as a 2nd liquid is mentioned. At this time, the sulfonic acid compound and the metal anticorrosive agent, which are other essential components, are contained in the first liquid, the second liquid, or other third liquid separately or together. Especially, it is preferable to make a 2nd liquid contain a sulfonic acid compound (B) and to make a 1st liquid contain a metal anticorrosive. The sulfonic acid compound (A) is preferably contained in both the first liquid and the second liquid.
As an example of its use, a mode in which both solutions are mixed to prepare an etching solution, and then applied to the etching process at an appropriate time is preferable. By doing in this way, it does not cause deterioration of the liquid performance by decomposition | disassembly of each component, and a desired etching effect | action can be exhibited effectively. Here, “timely” after mixing refers to the time period after mixing until the desired action is lost, specifically within 60 minutes, more preferably within 30 minutes, and more preferably within 10 minutes. Is more preferably within 1 minute, and particularly preferably within 1 minute. Although there is no lower limit in particular, it is practical that it is 1 second or more.

第1液と第2液との混合の仕方は特に限定されないが、第1液と第2液とをそれぞれの流路に流通させ、両者をその合流点で合流させて混合することが好ましい。その後、さらに流路を流通させ、合流して得られたエッチング液を吐出口から吐出ないし噴射し、半導体基板と接触させることが好ましい。この実施形態でいうと、前記合流点での合流混合から半導体基板への接触までの過程が、前記「適時」に行われることが好ましい。これを、図3を用いて説明すると、調製されたエッチング液が吐出口13から噴射され、処理容器(処理槽)11内の半導体基板Sの上面に適用される。同図に示した実施形態では、A及びBの2液が供給され、合流点14で合流し、その後流路fcを介して吐出口13に移行するようにされている。流路fdは薬液を再利用するための返戻経路を示している。半導体基板Sは回転テーブル12上にあり、回転駆動部Mによって回転テーブルとともに回転されることが好ましい。なお、このような基板回転式の装置を用いる実施態様は、キットにしないエッチング液を用いた処理においても同様に適用することができる。
なお、本発明のエッチング液は、その使用用途に鑑み、液中の不純物、例えば金属分などは少ないことが好ましい。
The method of mixing the first liquid and the second liquid is not particularly limited, but it is preferable that the first liquid and the second liquid are circulated through the respective flow paths, and both are merged at the merging point and mixed. After that, it is preferable that the flow path is further circulated, and the etching solution obtained by joining is discharged or jetted from the discharge port and brought into contact with the semiconductor substrate. In this embodiment, it is preferable that the process from the merging and mixing at the merging point to the contact with the semiconductor substrate is performed at the “timely”. This will be described with reference to FIG. 3. The prepared etching solution is sprayed from the discharge port 13 and applied to the upper surface of the semiconductor substrate S in the processing container (processing tank) 11. In the embodiment shown in the figure, the two liquids A and B are supplied, merge at the junction 14, and then move to the discharge port 13 via the flow path fc. A flow path fd indicates a return path for reusing the chemical solution. The semiconductor substrate S is on the turntable 12 and is preferably rotated together with the turntable by the rotation drive unit M. Note that an embodiment using such a substrate rotation type apparatus can be similarly applied to a process using an etching solution that is not used as a kit.
In addition, the etching liquid of this invention has few impurities, for example, a metal content, etc. in a liquid in view of the use use.

(容器)
本発明のエッチング液は、(キットであるか否かに関わらず)対腐食性等が問題とならない限り、任意の容器に充填して保管、運搬、そして使用することができる。また、半導体用途向けに、容器のクリーン度が高く、不純物の溶出が少ないものが好ましい。使用可能な容器としては、アイセロ化学(株)製の「クリーンボトル」シリーズ、コダマ樹脂工業(株)製の「ピュアボトル」などが挙げられるが、これらに限定されるものではない。
(container)
The etching solution of the present invention can be stored, transported and used in any container as long as corrosion resistance or the like does not matter (whether or not it is a kit). For semiconductor applications, a container having a high cleanliness and a low impurity elution is preferable. Examples of the containers that can be used include, but are not limited to, “Clean Bottle” series manufactured by Aicero Chemical Co., Ltd., “Pure Bottle” manufactured by Kodama Resin Co., Ltd., and the like.

[エッチング条件]
本発明のエッチング方法においては、枚葉式装置を用いることが好ましい。具体的に枚葉式装置は、処理槽を有し、該処理槽で前記半導体基板を搬送もしくは回転させ、その処理槽内に前記エッチング液を付与して、当該半導体基板に前記エッチング液を接触させるものであることが好ましい。
枚葉式装置のメリットとしては、(i)常に新鮮なエッチング液が供給されるので、再現性がよい、(ii)面内均一性が高いといったことが挙げられる。さらに、エッチング液を複数に分けたキットを利用しやすく、例えば、前記第1液と第2液をインラインで混合し、吐出する方法が好適に採用される。このとき、前記の第1液と第2液とを共に温度調節するか、どちらか一方だけ温調し、インラインで混合して吐出する方法が好ましい。なかでも、共に温調する実施態様がより好ましい。ラインの温度調節を行うときの管理温度は、後記処理温度と同じ範囲とすることが好ましい。
枚葉式装置はその処理槽にノズルを具備することが好ましく、このノズルを半導体基板の面方向にスイングさせてエッチング液を半導体基板に吐出する方法が好ましい。このようにすることにより、液の劣化が防止でき好ましい。また、キットにして2液以上に分けることで有害なガス等を発生させにくくすることができ好ましい。
[Etching conditions]
In the etching method of the present invention, it is preferable to use a single wafer type apparatus. Specifically, the single wafer type apparatus has a processing tank, and the semiconductor substrate is conveyed or rotated in the processing tank, the etching solution is applied to the processing tank, and the etching solution is brought into contact with the semiconductor substrate. It is preferable that the
Advantages of the single wafer type apparatus include (i) a fresh etching solution is always supplied, so that reproducibility is good, and (ii) in-plane uniformity is high. Furthermore, it is easy to use a kit in which the etching liquid is divided into a plurality of parts. For example, a method in which the first liquid and the second liquid are mixed in-line and discharged is suitably employed. At this time, it is preferable to adjust the temperature of both the first liquid and the second liquid, or adjust the temperature of only one of them and mix and discharge them in-line. Among these, an embodiment in which the temperature is controlled together is more preferable. The management temperature when adjusting the line temperature is preferably in the same range as the processing temperature described later.
The single wafer type apparatus is preferably provided with a nozzle in its processing tank, and a method of discharging the etching liquid onto the semiconductor substrate by swinging the nozzle in the surface direction of the semiconductor substrate is preferable. By doing so, the deterioration of the liquid can be prevented, which is preferable. Further, it is preferable that a kit is divided into two or more liquids so that harmful gases and the like are hardly generated.

さらに詳細な条件について説明すると、本発明の好ましい実施形態では、枚葉式装置において、半導体基板を所定の方向に搬送もしくは回転させ、その空間にエッチング液を付与(吐出、噴射、流下、滴下等)して前記半導体基板に前記エッチング液を接触させる。   More detailed conditions will be described. In a preferred embodiment of the present invention, in a single wafer type apparatus, a semiconductor substrate is transported or rotated in a predetermined direction, and an etching solution is applied to the space (discharge, jetting, flowing down, dropping, etc.) And the etching solution is brought into contact with the semiconductor substrate.

エッチングを行う処理温度は、後記実施例で示す温度測定方法において、40℃以上であることが好ましく、50℃以上であることがより好ましく、55℃以上であることが特に好ましい。上限としては、80℃以下であることが好ましく、70℃以下であることがより好ましい。上記下限値以上とすることにより、シリサイド層に対する十分なエッチング速度を確保することができ好ましい。上記上限値以下とすることにより、エッチング処理速度の経時安定性を維持することができ好ましい。エッチング液の供給速度は特に限定されないが、0.05〜5L/minとすることが好ましく、0.1〜3L/minとすることがより好ましい。上記下限値以上とすることにより、エッチングの面内の均一性を一層良好に確保することができ好ましい。上記上限値以下とすることにより、連続処理時に安定した性能を確保でき好ましい。半導体基板を回転させるときには、その大きさ等にもよるが、上記と同様の観点から、50〜1000rpmで回転させることが好ましい。   The processing temperature at which etching is performed is preferably 40 ° C. or higher, more preferably 50 ° C. or higher, and particularly preferably 55 ° C. or higher in the temperature measurement method shown in the examples described later. As an upper limit, it is preferable that it is 80 degrees C or less, and it is more preferable that it is 70 degrees C or less. By setting it to the above lower limit value or more, a sufficient etching rate for the silicide layer can be secured, which is preferable. By setting it to the upper limit value or less, it is preferable because the temporal stability of the etching processing rate can be maintained. The supply rate of the etching solution is not particularly limited, but is preferably 0.05 to 5 L / min, and more preferably 0.1 to 3 L / min. By setting it to the above lower limit value or more, it is preferable because uniformity in the etching plane can be ensured. By setting it to the upper limit value or less, it is preferable because stable performance can be secured during continuous processing. When the semiconductor substrate is rotated, although it depends on its size and the like, it is preferably rotated at 50 to 1000 rpm from the same viewpoint as described above.

本発明の好ましい実施形態に係る枚葉式のエッチングにおいては、半導体基板を所定の方向に搬送もしくは回転させ、その空間にエッチング液を噴射して前記半導体基板に前記エッチング液を接触させることが好ましい。エッチング液の供給速度や基板の回転速度についてはすでに述べたことと同様である。   In the single-wafer etching according to a preferred embodiment of the present invention, it is preferable that the semiconductor substrate is transported or rotated in a predetermined direction, an etching solution is sprayed into the space, and the etching solution is brought into contact with the semiconductor substrate. . The supply rate of the etching solution and the rotation speed of the substrate are the same as those already described.

本発明の好ましい実施形態に係る枚葉式の装置構成においては、図4に示すように、吐出口(ノズル)を移動させながら、エッチング液を付与することが好ましい。具体的に、本実施形態においては、シリサイド層を有する半導体基板Sに対してエッチング液を適用する際に、基板がr方向に回転させられている。他方、該半導体基板の中心部から端部に延びる移動軌跡線tに沿って、吐出口が移動するようにされている。このように本実施形態においては、基板の回転方向と吐出口の移動方向とが異なる方向に設定されており、これにより両者が互いに相対運動するようにされている。その結果、半導体基板の全面にまんべんなくエッチング液を付与することができ、エッチングの均一性が好適に確保される構成とされている。
吐出口(ノズル)の移動速度は特に限定されないが、0.1cm/s以上であることが好ましく、1cm/s以上であることがより好ましい。一方、その上限としては、30cm/s以下であることが好ましく、15cm/s以下であることがより好ましい。移動軌跡線は直線でも曲線(例えば円弧状)でもよい。いずれの場合にも移動速度は実際の軌跡線の距離とその移動に費やされた時間から算出することができる。
In the single wafer type apparatus configuration according to a preferred embodiment of the present invention, as shown in FIG. 4, it is preferable to apply the etching solution while moving the discharge port (nozzle). Specifically, in the present embodiment, when the etching solution is applied to the semiconductor substrate S having a silicide layer, the substrate is rotated in the r direction. On the other hand, the discharge port moves along a movement trajectory line t extending from the center to the end of the semiconductor substrate. As described above, in this embodiment, the direction of rotation of the substrate and the direction of movement of the discharge port are set to be different from each other. As a result, the etching solution can be applied evenly over the entire surface of the semiconductor substrate, and the etching uniformity is suitably ensured.
The moving speed of the discharge port (nozzle) is not particularly limited, but is preferably 0.1 cm / s or more, and more preferably 1 cm / s or more. On the other hand, the upper limit is preferably 30 cm / s or less, and more preferably 15 cm / s or less. The movement trajectory line may be a straight line or a curved line (for example, an arc shape). In either case, the moving speed can be calculated from the actual distance of the trajectory line and the time spent for the movement.

前記金属層は高いエッチングレートでエッチングされることが好ましい。金属層のエッチングレート[R1]は、特に限定されないが、生産効率を考慮し、20Å/min以上であることが好ましく、50Å/min以上がより好ましく、70Å/min以上であることが特に好ましい。上限は特にないが、1200Å/min以下であることが実際的である。   The metal layer is preferably etched at a high etching rate. The etching rate [R1] of the metal layer is not particularly limited, but is preferably 20 Å / min or more, more preferably 50 Å / min or more, and particularly preferably 70 Å / min or more in consideration of production efficiency. Although there is no upper limit in particular, it is practical that it is 1200 kg / min or less.

金属層の露出幅は特に限定されないが、本発明の利点がより顕著になる観点から、2nm以上であることが好ましく、4nm以上であることがより好ましい。同様に効果の顕著性の観点から、上限値は1000nm以下であることが実際的であり、100nm以下であることが好ましく、20nm以下であることがより好ましい。   Although the exposed width of the metal layer is not particularly limited, it is preferably 2 nm or more, more preferably 4 nm or more, from the viewpoint that the advantages of the present invention become more prominent. Similarly, from the viewpoint of conspicuous effect, the upper limit is practically 1000 nm or less, preferably 100 nm or less, and more preferably 20 nm or less.

さらに、本発明のエッチング液は、Al、Cu、Ti、W等の金属電極層、HfO、SiO、SiOC、SiON等の絶縁膜層を含む半導体基板に適用されることも好ましい。これに対し、本発明の好ましい実施形態に係るエッチング液は効果的な腐食抑制効果を発揮しうる。なお、本明細書において、金属化合物の組成をその元素の組合せにより表記した場合には、任意の組成のものを広く包含する意味である。例えば、SiOC(SiON)とは、SiとOとC(N)とが共存することを意味し、その量の比率が1:1:1であることを意味するものではない。このことは、本明細書において共通し、別の金属化合物についても同様である。 Furthermore, the etching solution of the present invention is preferably applied to a semiconductor substrate including a metal electrode layer such as Al, Cu, Ti, and W and an insulating film layer such as HfO 2 , SiO 2 , SiOC, and SiON. On the other hand, the etching solution according to a preferred embodiment of the present invention can exhibit an effective corrosion inhibiting effect. In addition, in this specification, when the composition of a metal compound is expressed by a combination of elements, it means that a composition having an arbitrary composition is widely included. For example, SiOC (SiON) means that Si, O, and C (N) coexist, and does not mean that the ratio of the amounts is 1: 1: 1. This is common in this specification, and the same applies to other metal compounds.

[半導体基板製品の製造]
本実施形態においては、シリコンウエハ上に、前記シリコン層と金属層とを形成した半導体基板とする工程と、前記半導体基板をアニールする工程、当該半導体基板にエッチング液を付与し、エッチング液と金属層とを接触させて、前記金属層を選択的に除去する工程とを介して、所望の構造を有する半導体基板製品を製造することが好ましい。このとき、エッチングには前記特定のエッチング液を用いる。上記の工程の順序は制限されて解釈されるものではなく、それぞれの工程間にさらに別の工程を含んでいてもよい。
ウェハサイズは特に限定されないが、直径8インチ、直径12インチ、または直径14インチのものを好適に使用することができる。
[Manufacture of semiconductor substrate products]
In the present embodiment, a step of forming a semiconductor substrate on which a silicon layer and a metal layer are formed on a silicon wafer, a step of annealing the semiconductor substrate, an etchant is applied to the semiconductor substrate, and the etchant and the metal It is preferable to manufacture a semiconductor substrate product having a desired structure through a step of contacting the layer and selectively removing the metal layer. At this time, the specific etching solution is used for etching. The order of the above steps is not construed as being limited, and further steps may be included between the steps.
The wafer size is not particularly limited, but a wafer having a diameter of 8 inches, a diameter of 12 inches, or a diameter of 14 inches can be suitably used.

以下、実施例を挙げて本発明をより詳細に説明するが、本発明は、以下の実施例に限定されるものではない。   EXAMPLES Hereinafter, although an Example is given and this invention is demonstrated in detail, this invention is not limited to a following example.

金属防食剤について、下記の試験を行いその、シート抵抗、ニッケルの溶解度、白金の溶解度、シリサイド層に対するダメージを測定した。結果を表1に示す。下表Aの処方に従って測定した結果を「標準ニッケル溶解度」として下表1に示した。
1.下記Ni粉末を0.1895g準備した。
2.各金属防食剤試料を2質量%加えた第1液(HCI含有)と、第2液(HNO含有)とを下表Aの処方で準備した。
3.第1液100gと第2液100gとをそれぞれ60℃に昇温して混合した。
4.Ni粉末を添加して、60℃で10分間処理した。
5.ろ過で未反応のNi粉末を除去した。
6.下記ICP装置にてろ液中に含まれるNi溶解濃度を測定した。
The metal anticorrosive was subjected to the following tests, and the sheet resistance, nickel solubility, platinum solubility, and damage to the silicide layer were measured. The results are shown in Table 1. The results measured according to the formulation in Table A below are shown in Table 1 as “Standard Nickel Solubility”.
1. 0.1895 g of the following Ni powder was prepared.
2. First liquid plus 2 wt% each metal corrosion inhibitor sample and (HCI containing) and second solution and (HNO 3 containing) prepared in formulation of table A.
3. The first liquid 100 g and the second liquid 100 g were heated to 60 ° C. and mixed.
4). Ni powder was added and treated at 60 ° C. for 10 minutes.
5. Unreacted Ni powder was removed by filtration.
6). The Ni dissolution concentration contained in the filtrate was measured with the following ICP apparatus.

Figure 2014220300
Ni粉末:株式会社 高純度化学研究所nickel、粉末、還元粉末
CAS7740−02−0 :53マイクロメートル以下
ICP分析装置:製造元 Perkin Elmer
型番 Optima 7300PV
Figure 2014220300
Ni powder: high purity chemical laboratory nickel, powder, reduced powder
CAS7740-02-0: 53 micrometers or less ICP analyzer: manufacturer Perkin Elmer
Model number Optima 7300PV

(シート抵抗の測定方法)
シート抵抗の測定方法としては四端子法を用いて行い、JIS K7194に準拠した方法で実施した。
シート抵抗測定器 :
製造元 日立国際電気エンジニアリング(株)
型番 本体 VR−120S
四探針プローブ KS−TC−200−MT−200g
電流を30 mA 流したときの電圧を測定
(Measuring method of sheet resistance)
The sheet resistance was measured using a four-terminal method, and a method based on JIS K7194.
Sheet resistance measuring instrument:
Manufacturer Hitachi Kokusai Electric Engineering Co., Ltd.
Model Number Body VR-120S
Four probe KS-TC-200-MT-200g
Measure the voltage when a current of 30 mA is applied

(サリサイド加工基板の作製)
市販のシリコン基板(直径:20cm)上に、SiGeをエピタキシャル成長させ、Pt/Ni金属層(厚さ20nm、Pt/Niの比率:10/90[質量基準])をその順で形成した。このとき、SiGeエピタキシャル層は、ゲルマニウムを5〜10質量%含有していた。この半導体基板を、800℃で10秒アニールし、シリサイド層を形成して試験基板とした。アニール後のシリサイド層の厚さは15nmであり、金属層の厚さは5nmであった。
(Production of salicide processed substrate)
SiGe was epitaxially grown on a commercially available silicon substrate (diameter: 20 cm), and a Pt / Ni metal layer (thickness 20 nm, Pt / Ni ratio: 10/90 [mass basis]) was formed in that order. At this time, the SiGe epitaxial layer contained 5 to 10% by mass of germanium. This semiconductor substrate was annealed at 800 ° C. for 10 seconds, and a silicide layer was formed as a test substrate. The thickness of the silicide layer after annealing was 15 nm, and the thickness of the metal layer was 5 nm.

(エッチング試験)
上記の試験用基板に対して、枚葉式装置(SPS−Europe B.V.社製、POLOS(商品名)))にて下記の条件でエッチングを行い、評価試験を実施した。
・処理温度:60℃
・吐出量:1L/min.
・ウェハ回転数500rpm
・ノズル移動速度 7cm/s
なお、エッチング液の供給は、下記のように2液に分けライン混合により行った(図3参照)。供給ラインfcは加熱により60℃で温度調節した。
第1液(A):塩酸 + メタンスルホン酸 および水
第2液(B):硝酸 + メタンスルホン酸 および水
第1液と第2液との比率は質量で等量となるようにした。メタンスルホン酸の量は第1液と第2液とで質量でほぼ等量となるように両液に配合した。金属防食剤は第1液に添加した。パラトルエンスルホン酸は、第2液に添加した。
(Etching test)
The above-mentioned test substrate was etched under the following conditions with a single wafer apparatus (SPS-Europe BV, POLOS (trade name)), and an evaluation test was performed.
・ Processing temperature: 60 ℃
・ Discharge rate: 1 L / min.
・ Wafer rotation speed: 500rpm
・ Nozzle moving speed 7cm / s
The etching solution was supplied into two solutions by line mixing as described below (see FIG. 3). The temperature of the supply line fc was adjusted at 60 ° C. by heating.
First liquid (A): hydrochloric acid + methanesulfonic acid and water Second liquid (B): nitric acid + methanesulfonic acid and water The ratio of the first liquid to the second liquid was set to be equal in mass. The amount of methanesulfonic acid was blended in both liquids so that the first liquid and the second liquid were approximately equal in mass. The metal anticorrosive was added to the first liquid. Paratoluenesulfonic acid was added to the second liquid.

(処理温度の測定方法)
株式会社堀場製作所製の放射温度計IT−550F(商品名)を前記枚葉式装置内のウェハ上30cmの高さに固定した。ウェハ中心から2cm外側のウェハ表面上に温度計を向け、薬液を流しながら温度を計測した。温度は、放射温度計からデジタル出力し、パソコンで連続的に記録した。このうち温度が安定した10秒間の温度を平均した値をウェハ上の温度とした。
(Measurement method of processing temperature)
A radiation thermometer IT-550F (trade name) manufactured by HORIBA, Ltd. was fixed at a height of 30 cm above the wafer in the single wafer type apparatus. A thermometer was directed onto the wafer surface 2 cm outside from the wafer center, and the temperature was measured while flowing a chemical solution. The temperature was digitally output from the radiation thermometer and recorded continuously with a personal computer. Among these, the value obtained by averaging the temperature for 10 seconds at which the temperature was stabilized was defined as the temperature on the wafer.

(pH)
pHは、室温(25℃)においてHORIBA社製、F−51(商品名)で測定した。
(PH)
The pH was measured with F-51 (trade name) manufactured by HORIBA at room temperature (25 ° C.).

(金属層の除去性)
金属層(Pt/Ni層)が除去されていることは、後記シリサイド層ダメージで行われるESCA測定で、PtおよびNiが検出されないことにより確認した。その結果、実施例および比較例のいずれの試料についても、金属層の顕著な残りは確認されなかった。
(Removability of metal layer)
The removal of the metal layer (Pt / Ni layer) was confirmed by the fact that Pt and Ni were not detected by ESCA measurement performed by silicide layer damage described later. As a result, the remarkable remainder of the metal layer was not confirmed in any of the samples of Examples and Comparative Examples.

(シリサイド層のダメージ:D(Si))
シリサイド層のダメージは前記シート抵抗の変化量及びエッチングESCAによる酸素プロファイル測定により行った。結果を下記のように区分して序列付けた。
NiPtを除去した部分の
C:シート抵抗が4.0(Ω/□)以上上昇した
B:シート抵抗に4.0(Ω/□)以上の上昇はみられず
ESCA測定により2.5nmの深さの酸素濃度が
20質量%以上と見積もられた
A:シート抵抗に3.5(Ω/□)以上の上昇はみられず
ESCA測定により2.5nmの深さの酸素濃度が
20質量%未満と見積もられた
(Silicon layer damage: D (Si))
The damage to the silicide layer was measured by the amount of change in the sheet resistance and the oxygen profile measurement by etching ESCA. The results were ranked as follows.
C where NiPt was removed C: Sheet resistance increased by 4.0 (Ω / □) or more B: No increase of 4.0 (Ω / □) or more was observed in sheet resistance, a depth of 2.5 nm by ESCA measurement The oxygen concentration was estimated to be 20% by mass or more. A: The sheet resistance was not increased by 3.5 (Ω / □) or more, and the oxygen concentration at a depth of 2.5 nm was 20% by ESCA measurement. Estimated less than

(Al層のダメージ:D(Al))
Al層のダメージは前記シート抵抗の変化量により行った。結果を下記のように区分して序列付けた。
Alを付した部分の
C:シート抵抗が1.50(mΩ/□)以上上昇した
B:シート抵抗が1.0(mΩ/□)以上1.5(mΩ/□)未満上昇した
A:シート抵抗の上昇が1.0(mΩ/□)未満であった
(Al layer damage: D (Al))
The Al layer was damaged by the amount of change in the sheet resistance. The results were ranked as follows.
C of the part to which Al is attached: Sheet resistance increases by 1.50 (mΩ / □) or more B: Sheet resistance increases by 1.0 (mΩ / □) or more and less than 1.5 (mΩ / □) A: Sheet The increase in resistance was less than 1.0 (mΩ / □)

Figure 2014220300
cから始まる番号の試験は比較例
MSA、HCl、HNO、PTSAの数値は濃度(質量%)を意味する。金属防食剤の配合量(質量%)は( )内に示した。残部は水である。
NiPtGeSi Rs:薬液処理前後のシート抵抗の差分
(薬液処理前シート抵抗 − 処理後シート抵抗)
MSA:メタンスルホン酸
PTSA:p−トルエンスルホン酸
D(Si):シリサイド層のダメージ
D(Al):Alのダメージ
Figure 2014220300
In the tests starting with c, the numerical values of Comparative Examples MSA, HCl, HNO 3 and PTSA mean the concentration (mass%). The amount (% by mass) of the metal anticorrosive is shown in parentheses. The balance is water.
NiPtGeSi Rs: Difference in sheet resistance before and after chemical treatment
(Sheet resistance before chemical treatment-sheet resistance after treatment)
MSA: methanesulfonic acid PTSA: p-toluenesulfonic acid D (Si): silicide layer damage D (Al): Al damage

ICP(Ni):金属防食剤のニッケル溶解度
表中の下線は各防食剤の「標準ニッケル溶解度」(表A参照)に該当するものを示す
ニッケル溶解度比=
(1−Sb/Sa)×100
Sa:c01の標準ニッケル溶解度
Sb:各防食剤の標準ニッケル溶解度
ICP (Ni): Nickel Solubility of Metal Anticorrosive The underline in the table indicates the “standard nickel solubility” of each anticorrosive (see Table A). Nickel Solubility Ratio =
(1-Sb * / Sa * ) × 100
Sa * : Standard nickel solubility of c01
Sb * : Standard nickel solubility of each anticorrosive

上記の結果から、本発明のエッチング液によれば、NiPt未反応層の良好なエッチングと、NiPtGeSi層(シリサイド層)の酸化抑制とを両立することができることが分かる。また、アルミニウムにダメージを与えない端子材料の耐腐食性にも優れている。   From the above results, it can be seen that the etching solution of the present invention can achieve both good etching of the NiPt unreacted layer and suppression of oxidation of the NiPtGeSi layer (silicide layer). Moreover, the corrosion resistance of the terminal material which does not damage aluminum is also excellent.

1 金属層
2 シリコン層
3 シリサイド層
11 処理容器(処理槽)
12 回転テーブル
13 吐出口
14 合流点
S 基板
21 シリコン基板
22 ゲート絶縁膜
23 ゲート電極
24 保護膜
25 サイドウォール
26 ソース電極
27 ドレイン電極
28 NiPt膜
1 Metal layer 2 Silicon layer 3 Silicide layer 11 Processing vessel (processing tank)
12 rotary table 13 discharge port 14 junction S substrate 21 silicon substrate 22 gate insulating film 23 gate electrode 24 protective film 25 sidewall 26 source electrode 27 drain electrode 28 NiPt film

Claims (18)

シリサイド層の上側に配置された金属層を除去するエッチング液であって、
塩酸と硝酸とスルホン酸化合物とヘテロ原子を含む化合物からなる金属防食剤と水とを含有し、
前記金属防食剤は、これを含有する薬液と、これを含まない薬液の下記ニッケル溶解度比が20%以上であるエッチング液。
(ニッケル溶解度:ニッケル粉末をエッチング液に添加して10分間60℃で処理する。そのろ液中に含まれるニッケルの溶解濃度。)
ニッケル溶解度比(%)
={1−Sb/Sa}×100
Sa:防食剤を含まないニッケル溶解度
Sb:防食剤を含むニッケル溶解度
An etching solution for removing the metal layer disposed on the upper side of the silicide layer,
Containing a metal anticorrosive consisting of hydrochloric acid, nitric acid, a sulfonic acid compound and a compound containing a heteroatom and water;
The metal anticorrosive is an etching solution in which the following nickel solubility ratio of a chemical solution containing the metal anticorrosive and a chemical solution not containing the metal anticorrosive is 20% or more.
(Nickel solubility: Nickel powder is added to the etching solution and treated at 60 ° C. for 10 minutes. Dissolution concentration of nickel contained in the filtrate.)
Nickel solubility ratio (%)
= {1-Sb / Sa} × 100
Sa: Nickel solubility without anticorrosive agent Sb: Nickel solubility with anticorrosive agent
前記金属防食剤がカルボン酸化合物、アゾール化合物、およびベタイン化合物から選ばれる請求項1に記載のエッチング液。   The etching solution according to claim 1, wherein the metal anticorrosive is selected from a carboxylic acid compound, an azole compound, and a betaine compound. 前記カルボン酸化合物がポリカルボン酸化合物である請求項2に記載のエッチング液。   The etching solution according to claim 2, wherein the carboxylic acid compound is a polycarboxylic acid compound. 前記アゾール化合物がチアゾール化合物である請求項2に記載のエッチング液。   The etching solution according to claim 2, wherein the azole compound is a thiazole compound. 前記ベタイン化合物が、第四級アンモニウム基とカルボキシル基またはスルホン酸基とを分子内に持つ化合物である請求項2に記載のエッチング液。   The etching solution according to claim 2, wherein the betaine compound is a compound having a quaternary ammonium group and a carboxyl group or a sulfonic acid group in the molecule. 前記スルホン酸化合物がメタンスルホン酸またはトルエンスルホン酸である請求項1〜5のいずれか1項に記載のエッチング液。   The etching solution according to claim 1, wherein the sulfonic acid compound is methanesulfonic acid or toluenesulfonic acid. 前記シリサイド層が、NiPtシリサイドまたはNiPtGeシリサイドである請求項1〜6のいずれか1項に記載のエッチング液。   The etching solution according to claim 1, wherein the silicide layer is NiPt silicide or NiPtGe silicide. 前記金属層がNi、Ptまたはこれらの組合せを含有する請求項1〜7のいずれか1項に記載のエッチング液。   The etching solution according to claim 1, wherein the metal layer contains Ni, Pt, or a combination thereof. 塩酸を0.01質量%以上0.5質量%以下で含有する請求項1〜8のいずれか1項に記載のエッチング液。   The etching solution according to any one of claims 1 to 8, comprising hydrochloric acid in an amount of 0.01% by mass to 0.5% by mass. 硝酸を0.1質量%以上20質量%以下で含有する請求項1〜9のいずれか1項に記載のエッチング液。   The etching solution according to any one of claims 1 to 9, comprising nitric acid in an amount of 0.1% by mass to 20% by mass. スルホン酸化合物を25質量%以上95質量%以下で含有する請求項1〜10のいずれか1項に記載のエッチング液。   The etching liquid of any one of Claims 1-10 which contains a sulfonic acid compound in 25 mass% or more and 95 mass% or less. 金属防食剤を0.01質量%以上5質量%以下で含有する請求項1〜11のいずれか1項に記載のエッチング液。   The etching solution according to any one of claims 1 to 11, comprising a metal anticorrosive agent in an amount of 0.01 mass% to 5 mass%. エッチング液によりシリサイド層の上側に配置された未反応金属層を除去するエッチング方法であって、前記エッチング液が、塩酸と硝酸とスルホン酸化合物とヘテロ原子を含む化合物からなる金属防食剤と水とを含有し、前記金属防食剤は、これを含有する薬液と、これ含まない薬液の下記ニッケル溶解度比が20%以上であるエッチング方法。
(ニッケル溶解度:ニッケル粉末をエッチング液に添加して10分間60℃で処理する。そのろ液中に含まれるニッケルの溶解濃度。)
ニッケル溶解度比(%)
={防食剤を含むニッケル溶解度/防食剤を含まないニッケル溶解度}×100
An etching method for removing an unreacted metal layer disposed on an upper side of a silicide layer with an etchant, wherein the etchant comprises a metal anticorrosive comprising a compound containing hydrochloric acid, nitric acid, a sulfonic acid compound, and a heteroatom, and water. The metal anticorrosive is an etching method in which the following nickel solubility ratio of a chemical solution containing the metal anticorrosive and a chemical solution not containing the metal anticorrosive is 20% or more.
(Nickel solubility: Nickel powder is added to the etching solution and treated at 60 ° C. for 10 minutes. Dissolution concentration of nickel contained in the filtrate.)
Nickel solubility ratio (%)
= {Nickel solubility with anticorrosive agent / Nickel solubility without anticorrosive agent} × 100
前記金属防食剤がカルボン酸化合物、アゾール化合物、およびベタイン化合物から選ばれる請求項13に記載のエッチング方法。   The etching method according to claim 13, wherein the metal anticorrosive is selected from a carboxylic acid compound, an azole compound, and a betaine compound. 前記シリサイド層が、NiPtシリサイドまたはNiPtGeシリサイドである請求項13または14に記載のエッチング方法。   The etching method according to claim 13 or 14, wherein the silicide layer is NiPt silicide or NiPtGe silicide. 金属防食剤を0.05質量%以上10質量%以下で含有する請求項13〜15のいずれか1項に記載のエッチング方法。   The etching method of any one of Claims 13-15 which contains a metal anticorrosive agent in 0.05 mass% or more and 10 mass% or less. シリサイド層の上側に配置された金属層を除去するエッチング液のキットであって、
塩酸および水を含む第1液と硝酸および水を含む第2液とを有し、
前記第1液、第2液、および必要により採用されるそれ以外の第3液の少なくともいずれかが、スルホン酸化合物とヘテロ原子を含む化合物からなる金属防食剤とをそれぞれまたは両者をともに含有し、前記金属防食剤は、これを含有する薬液のニッケル溶解度と、これ含まない薬液の下記ニッケル溶解度比が20%以上であるエッチング液のキット。
(ニッケル溶解度:ニッケル粉末をエッチング液に添加して10分間60℃で処理する。そのろ液中に含まれるニッケルの溶解濃度。)
ニッケル溶解度比(%)
={1−Sb/Sa}×100
Sa:防食剤を含まないニッケル溶解度
Sb:防食剤を含むニッケル溶解度
An etching solution kit for removing a metal layer disposed on the upper side of a silicide layer,
A first liquid containing hydrochloric acid and water and a second liquid containing nitric acid and water;
At least one of the first liquid, the second liquid, and the third liquid other than that adopted as necessary contains a sulfonic acid compound and a metal anticorrosive composed of a compound containing a hetero atom, or both. The metal anticorrosive is an etching solution kit in which the nickel solubility of a chemical solution containing the metal anticorrosive and the following nickel solubility ratio of the chemical solution not containing the metal anticorrosive is 20% or more.
(Nickel solubility: Nickel powder is added to the etching solution and treated at 60 ° C. for 10 minutes. Dissolution concentration of nickel contained in the filtrate.)
Nickel solubility ratio (%)
= {1-Sb / Sa} × 100
Sa: Nickel solubility without anticorrosive agent Sb: Nickel solubility with anticorrosive agent
シリコンウエハ上側にシリコン層と金属層とをその順に形成した半導体基板とする工程と、前記半導体基板をアニールする工程と、当該半導体基板に請求項1〜12のいずれか1項に記載のエッチング液を付与し、当該エッチング液と前記金属層とを接触させ、当該金属層を選択的に除去する工程とを有する半導体基板製品の製造方法。   A step of forming a semiconductor substrate in which a silicon layer and a metal layer are formed in that order on the upper side of the silicon wafer, a step of annealing the semiconductor substrate, and the etching solution according to any one of claims 1 to 12 And a step of bringing the etching solution into contact with the metal layer and selectively removing the metal layer.
JP2013097154A 2013-05-02 2013-05-02 Etching solution, etching method using the same, etching solution kit, and method for manufacturing semiconductor substrate product Active JP5980717B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2013097154A JP5980717B2 (en) 2013-05-02 2013-05-02 Etching solution, etching method using the same, etching solution kit, and method for manufacturing semiconductor substrate product
PCT/JP2014/062070 WO2014178425A1 (en) 2013-05-02 2014-05-01 Etching liquid, etching method using same, etching liquid kit, and semiconductor substrate product manufacturing method
TW103115808A TWI602952B (en) 2013-05-02 2014-05-02 Etching solution, etching method using thereof, etching solution kit, and manufacturing method of semiconductor substrate product

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013097154A JP5980717B2 (en) 2013-05-02 2013-05-02 Etching solution, etching method using the same, etching solution kit, and method for manufacturing semiconductor substrate product

Publications (2)

Publication Number Publication Date
JP2014220300A true JP2014220300A (en) 2014-11-20
JP5980717B2 JP5980717B2 (en) 2016-08-31

Family

ID=51843549

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013097154A Active JP5980717B2 (en) 2013-05-02 2013-05-02 Etching solution, etching method using the same, etching solution kit, and method for manufacturing semiconductor substrate product

Country Status (3)

Country Link
JP (1) JP5980717B2 (en)
TW (1) TWI602952B (en)
WO (1) WO2014178425A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020017283A1 (en) * 2018-07-20 2020-01-23 富士フイルム株式会社 Processing solution and processing method
WO2020017329A1 (en) * 2018-07-20 2020-01-23 富士フイルム株式会社 Processing solution and processing method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012017819A1 (en) * 2010-08-05 2012-02-09 昭和電工株式会社 Composition for removal of nickel-platinum alloy metal
WO2012125401A1 (en) * 2011-03-11 2012-09-20 Fujifilm Electronic Materials U.S.A., Inc. Novel etching composition
JP2012253374A (en) * 2006-10-11 2012-12-20 Fujitsu Semiconductor Ltd Semiconductor device manufacturing method
JP2013021065A (en) * 2011-07-08 2013-01-31 Fujifilm Corp Manufacturing method of semiconductor substrate product and thin film remover used for the same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1895577A4 (en) * 2005-06-24 2009-10-28 Mitsubishi Gas Chemical Co Etching composition for metal material and method for manufacturing semiconductor device by using same
WO2009058274A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc. Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012253374A (en) * 2006-10-11 2012-12-20 Fujitsu Semiconductor Ltd Semiconductor device manufacturing method
WO2012017819A1 (en) * 2010-08-05 2012-02-09 昭和電工株式会社 Composition for removal of nickel-platinum alloy metal
WO2012125401A1 (en) * 2011-03-11 2012-09-20 Fujifilm Electronic Materials U.S.A., Inc. Novel etching composition
JP2013021065A (en) * 2011-07-08 2013-01-31 Fujifilm Corp Manufacturing method of semiconductor substrate product and thin film remover used for the same

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020017283A1 (en) * 2018-07-20 2020-01-23 富士フイルム株式会社 Processing solution and processing method
WO2020017329A1 (en) * 2018-07-20 2020-01-23 富士フイルム株式会社 Processing solution and processing method
JPWO2020017329A1 (en) * 2018-07-20 2021-05-20 富士フイルム株式会社 Treatment liquid and treatment method
JPWO2020017283A1 (en) * 2018-07-20 2021-06-10 富士フイルム株式会社 Treatment liquid and treatment method
JP7039706B2 (en) 2018-07-20 2022-03-22 富士フイルム株式会社 Treatment liquid and treatment method
JP7196177B2 (en) 2018-07-20 2022-12-26 富士フイルム株式会社 Treatment liquid and treatment method

Also Published As

Publication number Publication date
JP5980717B2 (en) 2016-08-31
WO2014178425A1 (en) 2014-11-06
TW201510282A (en) 2015-03-16
TWI602952B (en) 2017-10-21

Similar Documents

Publication Publication Date Title
JP6130810B2 (en) Etching solution and etching solution kit, etching method using the same, and method for manufacturing semiconductor substrate product
JP6425342B2 (en) Etching solution, etching method using the same, and method of manufacturing semiconductor substrate product
US10340150B2 (en) Ni:NiGe:Ge selective etch formulations and method of using same
WO2014115805A1 (en) Method for etching semiconductor substrate, etching liquid, method for manufacturing semiconductor element, and etching liquid kit
JP2013004871A (en) Metal etching composition, and method of manufacturing semiconductor device using metal etching composition
JP5980717B2 (en) Etching solution, etching method using the same, etching solution kit, and method for manufacturing semiconductor substrate product
JP6198671B2 (en) Etching method, etching solution used therefor, and method for manufacturing semiconductor substrate product
WO2014115758A1 (en) Etching solution
JP5960099B2 (en) Etching method, semiconductor substrate product using the same, and semiconductor device manufacturing method
JP6378271B2 (en) Tungsten film etchant composition, method for producing electronic device using the same, and electronic device
JP6369989B2 (en) Etching solution, etching method, and method for manufacturing semiconductor substrate product
JP5399308B2 (en) Pretreatment liquid for electroless plating on semiconductor wafer, electroless plating method, and semiconductor device
KR101878238B1 (en) Etchant, etching method using same, and method for manufacturing semiconductor substrate product
KR101985167B1 (en) Compositions for etching and methods for etching metal using the same
EP3850123B1 (en) Etching compositions
KR20170065251A (en) Etchant composition for etching nickel layer
KR102487249B1 (en) Etching solution composition for a tungsten layer
WO2015129552A1 (en) Etching composition, etching method employing same, and production method for semiconductor substrate product

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151008

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160719

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160727

R150 Certificate of patent or registration of utility model

Ref document number: 5980717

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250