JP2014123684A - Laminate for fine pattern formation - Google Patents

Laminate for fine pattern formation Download PDF

Info

Publication number
JP2014123684A
JP2014123684A JP2012280224A JP2012280224A JP2014123684A JP 2014123684 A JP2014123684 A JP 2014123684A JP 2012280224 A JP2012280224 A JP 2012280224A JP 2012280224 A JP2012280224 A JP 2012280224A JP 2014123684 A JP2014123684 A JP 2014123684A
Authority
JP
Japan
Prior art keywords
nanoparticles
mask layer
protective layer
layer
laminate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012280224A
Other languages
Japanese (ja)
Other versions
JP6132545B2 (en
Inventor
Jun Furuike
潤 古池
Fujito Yamaguchi
布士人 山口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Asahi Kasei Corp
Original Assignee
Asahi Kasei E Materials Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asahi Kasei E Materials Corp filed Critical Asahi Kasei E Materials Corp
Priority to JP2012280224A priority Critical patent/JP6132545B2/en
Publication of JP2014123684A publication Critical patent/JP2014123684A/en
Application granted granted Critical
Publication of JP6132545B2 publication Critical patent/JP6132545B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

PROBLEM TO BE SOLVED: To easily form a fine pattern with a thin residual film or without the residual film for forming the fine pattern having a high aspect ratio on an object to be processed.SOLUTION: A laminate (2) for fine pattern formation includes a first surface (2a) and a second surface (2b) which are substantially in parallel with each other, is used for forming a fine pattern on an object to be processed via a first mask layer (103) provided at a side of the first surface (2a), and comprises a protection layer (101), a plurality of nano particles (102) provided on the protection layer (101) to be functioned as a mask when processing the first mask layer (103) and the first mask layer (103) provided to cover the plurality of nano particles (102). The nano particles (102) are disposed in such a manner that the number of nano particles (102) crossing a virtual surface substantially parallel to a center surface (2c) decreases as it is separated away from the center surface (2c).

Description

本発明は、被処理体に微細パタンを形成するために用いられる微細パタン形成用積層体に関する。   The present invention relates to a laminate for forming a fine pattern used for forming a fine pattern on an object to be processed.

従来、LSI製造における微細パタン加工技術として、フォトリソグラフィ技術が多く用いられてきた。しかし、フォトリソグラフィ技術では、露光に用いる光の波長よりも小さなサイズのパタンとする加工が困難という問題がある。また、他の微細パタン加工技術としては、電子線描画装置によるマスクパタン描画技術(EB法)がある。しかし、EB法では、電子線により直接マスクパタンを描画するため、描画パタンが多いほど描画時間が増加し、パタン形成までのスループットが大幅に低下するという問題がある。また、フォトリソグラフィ用露光装置におけるマスク位置の高精度制御や、EB法用露光装置における電子線描画装置の大型化等により、これらの方法では、装置コストが高くなるという問題もあった。   Conventionally, a photolithography technique has been often used as a fine pattern processing technique in LSI manufacturing. However, the photolithography technique has a problem that it is difficult to process a pattern having a size smaller than the wavelength of light used for exposure. Another fine pattern processing technique is a mask pattern drawing technique (EB method) using an electron beam drawing apparatus. However, in the EB method, since the mask pattern is directly drawn by the electron beam, there is a problem that the drawing time increases as the drawing pattern increases, and the throughput until the pattern formation is significantly reduced. In addition, these methods also have a problem that the apparatus cost increases due to high-precision control of the mask position in the exposure apparatus for photolithography and the enlargement of the electron beam drawing apparatus in the exposure apparatus for the EB method.

これらの問題点を解消し得る微細パタン加工技術として、ナノインプリント技術が知られている。ナノインプリント技術は、ナノスケールの微細パタンが形成された保護層を、被転写基板表面に形成されたレジスト膜に押圧することで、保護層に形成された微細パタンを、被転写基板表面に転写形成する。   Nanoimprint technology is known as a fine pattern processing technology that can eliminate these problems. In nanoimprint technology, a protective layer on which nano-scale fine patterns are formed is pressed against a resist film formed on the surface of the substrate to be transferred, so that the fine pattern formed on the protective layer is transferred to the surface of the substrate to be transferred. To do.

図1A及び図1Bは、ナノインプリント法の一例を示す説明図である。図1Aにおいては、所望の基材(被処理体)1001の表面に微細パタンを形成するため、ナノインプリント法を適用し、モールド1002に形成された凹凸構造を被処理体1001に押圧している。   1A and 1B are explanatory diagrams illustrating an example of a nanoimprint method. In FIG. 1A, in order to form a fine pattern on the surface of a desired base material (object to be processed) 1001, a nanoimprint method is applied to press the uneven structure formed on the mold 1002 against the object to be processed 1001.

微細パタン1003を被処理体1001の加工に用いるマスクとして使用する場合には、微細パタン1003は、被処理体1001を加工する際のマスクとなる転写材で構成されている。転写の際は、残膜Tを薄くする必要がある。残膜Tを薄くするためには、転写材の塗工膜厚を薄くし、かつ、大きな圧力で長時間押圧する等の必要がある。しかし、塗工膜厚を薄くすると、被処理体1001表面に存在する不陸やパーティクルの影響を受けやすくなるばかりでなく、モールド1002の凹凸構造への転写材の充填不良や、気泡の混入といった問題が生じる。また、長時間押圧すると、スループット性が低下する。更に、薄い残膜を均等に形成するために、押圧分布の小さな特殊な装置を使用する必要もある。特に、大面積で均質な薄い残膜を形成することは、非常に困難であることが知られている。このように課題が多くあるため、産業上優位とされるナノインプリント法の大面積の転写、簡便さやスループット性というメリットを活かしきれていない。   In the case where the fine pattern 1003 is used as a mask used for processing the object to be processed 1001, the fine pattern 1003 is made of a transfer material that serves as a mask when the object 1001 is processed. At the time of transfer, it is necessary to make the remaining film T thin. In order to reduce the remaining film T, it is necessary to reduce the coating film thickness of the transfer material and to press it with a large pressure for a long time. However, when the coating film thickness is reduced, not only is it easily affected by unevenness and particles existing on the surface of the object to be processed 1001, but the transfer material is poorly filled into the concavo-convex structure of the mold 1002 and air bubbles are mixed. Problems arise. Moreover, when it presses for a long time, throughput property will fall. Furthermore, in order to uniformly form a thin residual film, it is necessary to use a special device having a small pressure distribution. In particular, it is known that it is very difficult to form a uniform thin residual film with a large area. Since there are many problems in this way, it has not been able to take advantage of the advantages of the nanoimprint method, which is regarded as industrially superior, such as large area transfer, simplicity and throughput.

一方で、被処理体1001上に、高いアスペクト比を有する微細パタンを形成する場合は、モールド1002の表面に形成する凹凸構造のアスペクト比を高くする必要がある。しかし、モールド1002の表面に形成する凹凸構造のアスペクト比を高くすると、充填不良が生じやすく、また、モールド1002を剥離する際に、微細パタン1003の破壊に代表される離型不良が生じやすい。図1Bに示すように、被処理体1001上に高いアスペクト比を有する微細パタンを形成するため、被処理体1001上に有機層1004を設け、この有機層1004上に微細パタン1003(マスク層)を形成し、微細パタン1003をマスクと見立てて有機層1004を加工する方法が提案されている。しかしながら、微細パタン1003を有機層1004の加工に用いるマスクとして使用する場合にも、上述した問題と同様の問題が存在する。   On the other hand, when a fine pattern having a high aspect ratio is formed on the object 1001 to be processed, it is necessary to increase the aspect ratio of the concavo-convex structure formed on the surface of the mold 1002. However, when the aspect ratio of the concavo-convex structure formed on the surface of the mold 1002 is increased, filling defects are likely to occur, and when the mold 1002 is peeled off, mold release defects typified by destruction of the fine pattern 1003 are likely to occur. As shown in FIG. 1B, in order to form a fine pattern having a high aspect ratio on the object 1001, an organic layer 1004 is provided on the object 1001, and a fine pattern 1003 (mask layer) is formed on the organic layer 1004. And a method of processing the organic layer 1004 using the fine pattern 1003 as a mask has been proposed. However, when the fine pattern 1003 is used as a mask used for processing the organic layer 1004, the same problem as described above exists.

このような中で、残膜Tが薄い又は残膜Tが無い微細マスクパタンの形成方法が提案されている(特許文献1参照)。特許文献1においては、まず、凹凸構造を表面に具備した型の凹凸構造上にマスク材料の膜を直接製膜する。続いて、マスク材料膜に対し、エッチバックをかけることにより、残膜Tを薄く又はゼロにする(型の凹凸構造上に配置されたマスク材料膜の膜厚を薄くする)。その後、マスク材料上に基材を貼り合わせ、最後に、型側をアッシング処理することで、型の微細構造を排除して残膜Tの無い微細マスクパタンを得ている。   Under such circumstances, a method of forming a fine mask pattern with a thin residual film T or no residual film T has been proposed (see Patent Document 1). In Patent Document 1, first, a film of a mask material is directly formed on a concavo-convex structure of a type having a concavo-convex structure on the surface. Subsequently, the residual film T is made thin or zero by applying etch back to the mask material film (the film thickness of the mask material film disposed on the uneven structure of the mold is made thin). Thereafter, a base material is bonded onto the mask material, and finally, the mold side is subjected to an ashing process, thereby eliminating a fine structure of the mold and obtaining a fine mask pattern having no residual film T.

特開2011−66273号公報JP 2011-66273 A

しかしながら、特許文献1に記載の微細マスク形成方法においては、残膜の薄い又は残膜の無い微細マスクパタンを得るまでの総工程数が多く、複雑である。また、加工対象となる無機基材表面に、残膜の薄い又は残膜の無いマスク層を形成するまでのスループット性が良好ではないこと、マスク材料膜全体をエッチバックする必要があるため大面積でのマスク形成が困難であることは、想像に難くない。   However, the fine mask forming method described in Patent Document 1 is complicated because the total number of steps required to obtain a fine mask pattern with a thin residual film or no residual film is large. In addition, the surface area of the inorganic base material to be processed is not good in throughput until a mask layer having a thin residual film or no residual film is formed, and the entire mask material film needs to be etched back, resulting in a large area. It is not difficult to imagine that it is difficult to form a mask.

本発明は、かかる点に鑑みてなされたものであり、高いアスペクト比を有する微細パタンを被処理体に形成するために、残膜の薄い又は残膜の無い微細パタンを容易に形成できる微細パタン形成用積層体を提供することを目的とする。   The present invention has been made in view of the above points, and in order to form a fine pattern having a high aspect ratio on the object to be processed, a fine pattern that can easily form a fine pattern having a thin residual film or no residual film. It aims at providing the laminated body for formation.

本発明の微細パタン形成用積層体は、互いに略平行な第1面及び第2面を有し、被処理体に、前記第1面側に設けられた第1のマスク層を介して微細パタンを形成するために用いられる微細パタン形成用積層体であって、保護層と、前記保護層上に設けられた前記第1のマスク層の加工時にマスクとして機能する複数のナノ粒子と、前記複数のナノ粒子を覆うように設けられた前記第1のマスク層と、を具備し、前記ナノ粒子は、前記第1面及び前記第2面に略平行な面を横切る前記ナノ粒子の数が最大となる仮想面を中心面とした場合に、前記中心面に略平行な仮想面を横切る前記ナノ粒子の数が、前記中心面から離れるにつれて減少するように配置されていることを特徴とする。   The laminate for forming a fine pattern of the present invention has a first surface and a second surface substantially parallel to each other, and the fine pattern is formed on the object to be processed through a first mask layer provided on the first surface side. And a plurality of nanoparticles functioning as a mask during processing of the first mask layer provided on the protective layer, and A first mask layer provided so as to cover the nanoparticles, wherein the nanoparticles have a maximum number of nanoparticles crossing a plane substantially parallel to the first surface and the second surface. When the virtual plane is a central plane, the number of nanoparticles crossing the virtual plane substantially parallel to the central plane is arranged so as to decrease as the distance from the central plane increases.

この構成によれば、複数のナノ粒子が分散されると共に、この複数のナノ粒子の数が中心面から離れるにつれて減少するように配置されるので、ナノ粒子が微細パタン形成用積層体膜内にランダムに分散されることがない。これにより、被処理体へと第1のマスク層を介し転写付与されるナノ粒子は、互いに重なり合うことが少なくなるため、第1のマスク層の加工精度が向上する。即ち、後の工程でナノ粒子を部分的に除去する残膜処理工程を省くことができる。これにより、互いに重なり合うことのないナノ粒子/第1のマスク層/被処理体からなる積層体を得ることが可能となり、第1のマスク層の加工精度が向上する。このため、高いアスペクト比を有する微細マスクパタンを被処理体上に容易に形成可能となる。   According to this configuration, since the plurality of nanoparticles are dispersed and the number of the plurality of nanoparticles decreases as the distance from the central plane decreases, the nanoparticles are placed in the multilayer film for forming a fine pattern. It is not randomly distributed. Thereby, the nanoparticles transferred and applied to the object to be processed via the first mask layer are less likely to overlap with each other, so that the processing accuracy of the first mask layer is improved. That is, it is possible to omit a remaining film processing step of partially removing the nanoparticles in a later step. Thereby, it becomes possible to obtain a laminate composed of nanoparticles / first mask layer / object to be processed that do not overlap each other, and the processing accuracy of the first mask layer is improved. Therefore, a fine mask pattern having a high aspect ratio can be easily formed on the object to be processed.

本発明の微細パタン形成用積層体において、前記複数のナノ粒子の前記中心面内における平均ピッチ(Pav)と、前記複数のナノ粒子の前記第1面側の平均端部位置(Spt)と前記第1面との間の距離(lor)と、の比率(lor/Pav)が下記式(1)を満たすことが好ましい。
式(1)
0.05≦lor/Pav≦10
In the laminate for forming a fine pattern of the present invention, the average pitch (Pav) in the central plane of the plurality of nanoparticles, the average end position (Spt) on the first surface side of the plurality of nanoparticles, and the The ratio (lor / Pav) to the distance (lor) between the first surface preferably satisfies the following formula (1).
Formula (1)
0.05 ≦ lor / Pav ≦ 10

この構成によれば、第1のマスク層の膜厚がナノ粒子の密度に応じ決定される。第1のマスク層の厚みが上記式(1)を満たすことにより、微細パタン形成用積層体を被処理体に貼合する際の、第1のマスク層の貼合性を向上させることができる。更に、上記範囲を満たすことで、微細パタン形成用積層体の製造や搬送、及び微細パタン形成用積層体を被処理体に貼合する際に生じるナノ粒子の配列及び形状の乱れを抑制することができるため、ナノ粒子の形状及び配列精度高く、被処理体へとナノ粒子を第1のマスク層を介し転写付与することができる。また、第1のマスク層の膜厚精度を向上させることができる。即ち、被処理体に転写付与される第1のマスク層の膜厚精度をより高めることが可能となる。   According to this configuration, the film thickness of the first mask layer is determined according to the density of the nanoparticles. When the thickness of the first mask layer satisfies the above formula (1), the bonding property of the first mask layer when the laminated body for forming a fine pattern is bonded to an object to be processed can be improved. . Furthermore, by satisfying the above-mentioned range, it is possible to suppress the disorder of the arrangement and shape of the nanoparticles that occur when the fine pattern-forming laminate is manufactured and transported, and the fine pattern-forming laminate is bonded to the object. Therefore, the nanoparticles can be transferred and applied to the object to be processed through the first mask layer with high shape and arrangement accuracy of the nanoparticles. In addition, the film thickness accuracy of the first mask layer can be improved. That is, it is possible to further increase the film thickness accuracy of the first mask layer transferred and applied to the object to be processed.

また、本発明の微細パタン形成用積層体において、ドライエッチングによる前記ナノ粒子のエッチングレート(Vm1)と前記第1のマスク層のエッチングレート(Vo1)との比率(Vo1/Vm1)が、下記式(2)を満たすことが好ましい。
式(2)
3≦Vo1/Vm1
In the laminate for forming a fine pattern according to the present invention, the ratio (Vo1 / Vm1) between the etching rate (Vm1) of the nanoparticles by dry etching and the etching rate (Vo1) of the first mask layer is expressed by the following formula. It is preferable to satisfy (2).
Formula (2)
3 ≦ Vo1 / Vm1

この構成によれば、ナノ粒子をマスクとして第1のマスク層を良好にエッチングすることが可能となるため、被処理体上に設けられるナノ粒子及び第1のマスク層から成る微細マスクパタンの均等性が向上する。   According to this configuration, since the first mask layer can be satisfactorily etched using the nanoparticles as a mask, the fine mask pattern composed of the nanoparticles and the first mask layer provided on the object to be processed is evenly distributed. Improves.

また、本発明の微細パタン形成用積層体において、ドライエッチングによる前記被処理体のエッチングレート(Vi2)と前記第1のマスク層のエッチングレート(Vo2)との比率(Vo2/Vi2)が、下記式(3)を満たすことが好ましい。
式(3)
Vo2/Vi2≦3
In the laminate for forming a fine pattern according to the present invention, the ratio (Vo2 / Vi2) between the etching rate (Vi2) of the object to be processed by dry etching and the etching rate (Vo2) of the first mask layer is as follows. It is preferable to satisfy Formula (3).
Formula (3)
Vo2 / Vi2 ≦ 3

この構成によれば、第1のマスク層を加工マスクとして使用した際の、被処理体の加工精度を向上させることができるため、複数のナノ粒子の配列精度を反映させ、且つ、高さ精度の高い微細パタンを被処理体上に設けることができる。   According to this configuration, since the processing accuracy of the object to be processed can be improved when the first mask layer is used as a processing mask, the alignment accuracy of a plurality of nanoparticles is reflected and the height accuracy is reflected. High fine pattern can be provided on the object to be processed.

また、本発明の微細パタン形成用積層体において、前記複数のナノ粒子の形状が非点対称であり、前記中心面に対する垂直方向の断面視において、前記中心面に平行な方向の線分に対して非線対称であり、且つ、前記中心面に対する垂直方向の線分に対して線対称であることが好ましい。   In the laminate for forming a fine pattern according to the present invention, the shape of the plurality of nanoparticles is asymmetrical with respect to a line segment in a direction parallel to the center plane in a cross-sectional view perpendicular to the center plane. It is preferably non-axisymmetric and symmetrical with respect to a line segment perpendicular to the center plane.

この構成によれば、ナノ粒子の配列安定性が向上する。即ち、第1のマスク層を成膜した際や、微細パタン形成用積層体を運搬した際、また、微細パタン形成用積層体の第1のマスク層を被処理体に貼合した際に生じるナノ粒子の配列の乱れを抑制することができる。   According to this configuration, the array stability of the nanoparticles is improved. That is, it occurs when the first mask layer is formed, when the fine pattern forming laminate is transported, or when the first mask layer of the fine pattern forming laminate is bonded to the object to be processed. The disorder of the arrangement of nanoparticles can be suppressed.

また、本発明の微細パタン形成用積層体において、前記保護層は表面に凹凸構造を具備し、前記複数のナノ粒子は前記凹凸構造の凹部内部に配置されることが好ましい。   In the laminate for forming a fine pattern according to the present invention, it is preferable that the protective layer has a concavo-convex structure on a surface thereof, and the plurality of nanoparticles are disposed inside the concavo-convex structure of the concavo-convex structure.

この構成によれば、複数のナノ粒子の配列を、保護層の凹凸構造により制御することが可能となる。また、ナノ粒子の形状安定性も向上する。このため、被処理体上に設けられる高いアスペクト比を有す微細マスクパタンの配列精度及び加工精度を向上させることができる。   According to this configuration, the arrangement of the plurality of nanoparticles can be controlled by the uneven structure of the protective layer. In addition, the shape stability of the nanoparticles is improved. For this reason, it is possible to improve the alignment accuracy and processing accuracy of the fine mask pattern having a high aspect ratio provided on the object to be processed.

また、本発明の微細パタン形成用積層体において、前記第1のマスク層上に更に被処理体を設けることが好ましい。   In the laminate for forming a fine pattern according to the present invention, it is preferable to further provide an object to be processed on the first mask layer.

また、本発明の微細パタン形成用積層体において、前記被処理体が、サファイア、シリコン、ITO、ZnO、SiC、窒化物半導体又はスピネルのいずれかであることが好ましい。   In the laminate for forming a fine pattern of the present invention, it is preferable that the object to be processed is any one of sapphire, silicon, ITO, ZnO, SiC, a nitride semiconductor, or spinel.

これらの被処理体を採用することにより、高い外部量子効率を有す半導体発光素子を、半導体発光素子の製造に好適な施設にて製造することが可能となる。   By employing these objects to be processed, it is possible to manufacture a semiconductor light emitting device having a high external quantum efficiency at a facility suitable for manufacturing the semiconductor light emitting device.

本発明によれば、高いアスペクト比を有する微細パタンを被処理体に形成するために、残膜の薄い又は残膜の無い微細パタンを容易に形成できる微細パタン形成用積層体を実現できる。   ADVANTAGE OF THE INVENTION According to this invention, in order to form the fine pattern which has a high aspect ratio in a to-be-processed object, the laminated body for fine pattern formation which can form easily the fine pattern with a thin residual film or without a residual film is realizable.

ナノインプリント法の一例を示す説明図である。It is explanatory drawing which shows an example of the nanoimprint method. 本実施の形態に係る被処理体に対する微細パタン形成方法を説明するための工程図である。It is process drawing for demonstrating the fine pattern formation method with respect to the to-be-processed object which concerns on this Embodiment. 本実施の形態に係る被処理体に対する微細パタン形成方法を説明するための工程図である。It is process drawing for demonstrating the fine pattern formation method with respect to the to-be-processed object which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の一例を示す断面模式図である。It is a cross-sectional schematic diagram which shows an example of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体における中心面における断面模式図である。It is a cross-sectional schematic diagram in the center plane in the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体におけるナノ粒子の形状の一例を示す断面模式図である。It is a cross-sectional schematic diagram which shows an example of the shape of the nanoparticle in the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体を用いた微細パタン形成方法の説明図である。It is explanatory drawing of the fine pattern formation method using the laminated body for fine pattern formation concerning this Embodiment. 本実施の形態に係る微細パタン形成用積層体の一例を示す断面模式図である。It is a cross-sectional schematic diagram which shows an example of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の保護層における凹凸構造の一例を示す図である。It is a figure which shows an example of the uneven structure in the protective layer of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の保護層における凹凸構造の配列の一例を示す図である。It is a figure which shows an example of the arrangement | sequence of the uneven structure in the protective layer of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体における保護層のドット形状の凹凸構造を示す断面模式図である。It is a cross-sectional schematic diagram which shows the dot-shaped uneven structure of the protective layer in the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体における保護層のホール形状の凹凸構造を示す平面模式図である。It is a plane schematic diagram which shows the hole-shaped uneven structure of the protective layer in the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体における凹凸構造の開口率の説明図である。It is explanatory drawing of the aperture ratio of the uneven structure in the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体における凹凸構造の開口率の説明図である。It is explanatory drawing of the aperture ratio of the uneven structure in the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の製造方法におけるリール状の保護層の作製工程の説明図である。It is explanatory drawing of the manufacturing process of the reel-shaped protective layer in the manufacturing method of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の製造方法におけるリール状の保護層の作製工程の説明図である。It is explanatory drawing of the manufacturing process of the reel-shaped protective layer in the manufacturing method of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の製造方法におけるリール状の保護層の作製工程の説明図である。It is explanatory drawing of the manufacturing process of the reel-shaped protective layer in the manufacturing method of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の製造方法における微細パタン形成用積層体の作製工程の説明図である。It is explanatory drawing of the manufacturing process of the laminated body for fine pattern formation in the manufacturing method of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の製造方法における微細パタン形成用積層体の作製工程の説明図である。It is explanatory drawing of the manufacturing process of the laminated body for fine pattern formation in the manufacturing method of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の製造方法における微細パタン形成用積層体の作製工程の説明図である。It is explanatory drawing of the manufacturing process of the laminated body for fine pattern formation in the manufacturing method of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の凹凸構造と単位面積との関係を示す説明図である。It is explanatory drawing which shows the relationship between the uneven structure and unit area of the laminated body for fine pattern formation concerning this Embodiment. 本実施の形態に係る微細パタン形成用積層体の凹凸構造の配列の説明図である。It is explanatory drawing of the arrangement | sequence of the uneven structure of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体における微細パタン、単位面積及び凹部体積の関係を示す説明図である。It is explanatory drawing which shows the relationship between the fine pattern in the laminated body for fine pattern formation which concerns on this Embodiment, a unit area, and a recessed part volume. 本実施の形態に係る微細パタン形成用積層体における微細パタン、単位面積及び凹部体積の関係を示す説明図である。It is explanatory drawing which shows the relationship between the fine pattern in the laminated body for fine pattern formation which concerns on this Embodiment, a unit area, and a recessed part volume. 本実施の形態に係る微細パタン形成用積層体の凹凸構造に対する塗工工程条件を示す図である。It is a figure which shows the coating process conditions with respect to the uneven structure of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の凹凸構造に対する塗工工程条件を示す図である。It is a figure which shows the coating process conditions with respect to the uneven structure of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体の凹凸構造に対する塗工工程条件を示す図である。It is a figure which shows the coating process conditions with respect to the uneven structure of the laminated body for fine pattern formation which concerns on this Embodiment. 本実施の形態に係る微細パタン形成用積層体を使用して作成された被処理体を用いた半導体発光素子の断面模式図である。It is a cross-sectional schematic diagram of the semiconductor light-emitting device using the to-be-processed object created using the laminated body for fine pattern formation which concerns on this Embodiment.

以下、本発明の一実施の形態(以下、「実施の形態」と略記する。)について、図面を参照して詳細に説明する。なお、本発明は、以下の実施の形態に限定されるものではなく、その要旨の範囲内で種々変形して実施することができる。   Hereinafter, an embodiment of the present invention (hereinafter abbreviated as “embodiment”) will be described in detail with reference to the drawings. In addition, this invention is not limited to the following embodiment, It can implement by changing variously within the range of the summary.

まず、本実施の形態に係る微細パタン形成用積層体を用いた被処理体の微細パタン形成方法の概要について説明する。図2A〜図2C及び図3A〜図3Fは、本実施の形態に係る被処理体の微細パタン形成方法を説明するための工程図である。図2Aに示すように、保護層10は、その主面上に凹凸構造11が形成されていると好ましい。凹凸構造11は、複数の凹部11aと凸部11bで構成されている。保護層10は、例えば、フィルム状又はシート状の樹脂保護層である。また、保護層10は、ナノ粒子12の形状及び配列を保護する機能を果たす。   First, an outline of a method for forming a fine pattern of an object to be processed using the laminate for forming a fine pattern according to the present embodiment will be described. 2A to 2C and FIGS. 3A to 3F are process diagrams for explaining a method for forming a fine pattern of an object to be processed according to the present embodiment. As shown in FIG. 2A, the protective layer 10 preferably has a concavo-convex structure 11 formed on its main surface. The concavo-convex structure 11 includes a plurality of concave portions 11a and convex portions 11b. The protective layer 10 is, for example, a film-shaped or sheet-shaped resin protective layer. The protective layer 10 functions to protect the shape and arrangement of the nanoparticles 12.

まず、図2Bに示すように、保護層10の凹凸構造11の凹部11aの内部に、後述の第1のマスク層をパターニングするためのナノ粒子12を充填する。ナノ粒子12は、例えば、ゾルゲル材料、金属酸化物粒子或いは金属粒子からなる。ここで、保護層10、及びナノ粒子12を備えた積層体を、第1の微細パタン形成用積層体1、又は単に第1の積層体1と呼ぶ。   First, as shown in FIG. 2B, nanoparticles 12 for patterning a first mask layer to be described later are filled into the recesses 11 a of the concavo-convex structure 11 of the protective layer 10. The nanoparticles 12 are made of, for example, a sol-gel material, metal oxide particles, or metal particles. Here, the laminate including the protective layer 10 and the nanoparticles 12 is referred to as a first fine pattern forming laminate 1 or simply as a first laminate 1.

次に、図2Cに示すように、第1の積層体1のナノ粒子12を含む凹凸構造11の上に、第1のマスク層13を形成する。この第1のマスク層13は、後述する被処理体20(図3A〜図3F参照)のパターニングに用いられる。第1のマスク層13は、例えば、光硬化性樹脂、熱硬化性樹脂又は熱可塑性樹脂からなる。   Next, as shown in FIG. 2C, a first mask layer 13 is formed on the concavo-convex structure 11 including the nanoparticles 12 of the first laminate 1. The first mask layer 13 is used for patterning a target object 20 (see FIGS. 3A to 3F) described later. The first mask layer 13 is made of, for example, a photocurable resin, a thermosetting resin, or a thermoplastic resin.

更に、図2Cに示すように、第1のマスク層13の上側には、カバーフィルム14を設けることができる。カバーフィルム14は、第1のマスク層13を保護するものであり、必須ではない。ここで、保護層10、ナノ粒子12及び第1のマスク層13からなる積層体を、第2の微細パタン形成用積層体2、又は、単に第2の積層体2と呼ぶ。この第2の積層体2は、第1のマスク層13を被処理体20に貼合させることにより、被処理体20のパターンニングに用いることができる。   Furthermore, as shown in FIG. 2C, a cover film 14 can be provided on the upper side of the first mask layer 13. The cover film 14 protects the first mask layer 13 and is not essential. Here, the laminate composed of the protective layer 10, the nanoparticles 12, and the first mask layer 13 is referred to as the second fine pattern forming laminate 2 or simply as the second laminate 2. This 2nd laminated body 2 can be used for the patterning of the to-be-processed object 20 by bonding the 1st mask layer 13 to the to-be-processed object 20. FIG.

ここで、複数のナノ粒子12は、保護層10と第1のマスク層13と、の間に、ナノ粒子12の数が中心面から離れるにつれて減少するように配置されるので、ナノ粒子12が第2の積層体2内にランダムに分散されることがない。これにより、以下に説明するように、第2の積層体2の第1のマスク層13を被処理体20に貼合し、被処理体20上にナノ粒子12及び第1のマスク層13を転写付与した際に、ナノ粒子12は互いに重なり合うことがなくなるため、第1のマスク層13の加工精度が大きく向上する。   Here, the plurality of nanoparticles 12 are disposed between the protective layer 10 and the first mask layer 13 so that the number of nanoparticles 12 decreases as the distance from the center plane decreases. There is no random dispersion in the second laminate 2. Thereby, the 1st mask layer 13 of the 2nd laminated body 2 is bonded to the to-be-processed object 20, and the nanoparticle 12 and the 1st mask layer 13 on the to-be-processed body 20 so that it may demonstrate below. Since the nanoparticles 12 do not overlap each other when transferred, the processing accuracy of the first mask layer 13 is greatly improved.

また、複数のナノ粒子12の中心面内における平均ピッチ(Pav)と、複数のナノ粒子12の第1面側の平均端部位置(Spt)と第1面との間の距離(lor)と、の比率(lor/Pav)が下記式(1)を満たすことで、被処理体20に対する第1のマスク層13の貼合性が向上するため、被処理体20の面内に渡り互いに重なり合うことのないナノ粒子12を転写付与することが可能となる。
式(1)
0.05≦lor/Pav≦10
Further, the average pitch (Pav) in the center plane of the plurality of nanoparticles 12 and the distance (lor) between the average end position (Spt) on the first surface side of the plurality of nanoparticles 12 and the first surface When the ratio (lor / Pav) satisfies the following formula (1), the bonding property of the first mask layer 13 to the object to be processed 20 is improved, so that they overlap each other over the surface of the object to be processed 20. It is possible to transfer and impart the nanoparticles 12 without any problems.
Formula (1)
0.05 ≦ lor / Pav ≦ 10

次に、図3Aに示すような被処理体20を用意する。被処理体20は、例えば、サファイア基板、Si(シリコン)基板、ITO基板、ZnO基板、SiC(炭化ケイ素)基板、窒化物半導体基板又はスピネル基板である。まず、図3Bに示すように、被処理体20の主面上に、第1のマスク層13を保護するカバーフィルム14を取り除いた後の第2の積層体2の第1のマスク層13の露出面を、被処理体20の主面に対面させてラミネート(熱圧着)する。   Next, a workpiece 20 as shown in FIG. 3A is prepared. The object 20 is, for example, a sapphire substrate, a Si (silicon) substrate, an ITO substrate, a ZnO substrate, a SiC (silicon carbide) substrate, a nitride semiconductor substrate, or a spinel substrate. First, as shown in FIG. 3B, the first mask layer 13 of the second laminate 2 after removing the cover film 14 protecting the first mask layer 13 on the main surface of the object 20 to be processed. Lamination (thermocompression bonding) is performed with the exposed surface facing the main surface of the object 20 to be processed.

次に、図3Cに示すように、ナノ粒子12の形状及び配列を保護する保護層10を、第1のマスク層13及びナノ粒子12から剥離する。この結果、被処理体20、第1のマスク層13及びナノ粒子12からなる中間体21が得られる。   Next, as shown in FIG. 3C, the protective layer 10 that protects the shape and arrangement of the nanoparticles 12 is peeled from the first mask layer 13 and the nanoparticles 12. As a result, an intermediate body 21 including the object to be processed 20, the first mask layer 13, and the nanoparticles 12 is obtained.

なお、上述したラミネートと剥離の間において、第2の積層体2に対してエネルギー線を照射してナノ粒子12と第1のマスク層13の少なくともいずれか一方を硬化又は固化させてもよい。また、ラミネート(熱圧着)時に加える熱により、ナノ粒子12と第1のマスク層13の少なくともいずれか一方を硬化又は固化させてもよい。更に、剥離後のエネルギー線照射或いは加熱処理により、ナノ粒子12と第1のマスク層13の少なくともいずれか一方を硬化又は固化させてもよい。   In addition, between the above-described lamination and peeling, the second laminate 2 may be irradiated with energy rays to cure or solidify at least one of the nanoparticles 12 and the first mask layer 13. In addition, at least one of the nanoparticles 12 and the first mask layer 13 may be cured or solidified by heat applied during lamination (thermocompression bonding). Furthermore, at least one of the nanoparticles 12 and the first mask layer 13 may be cured or solidified by energy beam irradiation or heat treatment after peeling.

次に、ナノ粒子12をマスクとして、第1のマスク層13を、例えば酸素アッシングにより、図3Dに示すようにパターニングする。この結果、第1のマスク層13及びナノ粒子12により構成された高いアスペクト比を有する微細マスクパタン16aが設けられた微細パタン構造体16を得る。即ち、微細マスクパタン16aの凸部頂部上にナノ粒子12を配列させることが可能となる。このようにナノ粒子12が互いに重なり合わないことで、微細マスクパタン16aを良好に得ることが可能となる。パターニングされた第1のマスク層13をマスクとして、被処理体20に、例えば、反応性イオンエッチングを施して、図3Eに示すように、被処理体20の主面に微細パタン22を形成する。最後に、図3Fに示すように、被処理体20の主面に残った第1のマスク層13を除去して、微細パタン22を有する被処理体20を得る。   Next, using the nanoparticles 12 as a mask, the first mask layer 13 is patterned by oxygen ashing, for example, as shown in FIG. 3D. As a result, the fine pattern structure 16 provided with the fine mask pattern 16a having the high aspect ratio and composed of the first mask layer 13 and the nanoparticles 12 is obtained. That is, the nanoparticles 12 can be arranged on the top of the convex portion of the fine mask pattern 16a. Thus, since the nanoparticles 12 do not overlap each other, the fine mask pattern 16a can be favorably obtained. Using the patterned first mask layer 13 as a mask, for example, reactive ion etching is performed on the target object 20 to form a fine pattern 22 on the main surface of the target object 20 as shown in FIG. 3E. . Finally, as shown in FIG. 3F, the first mask layer 13 remaining on the main surface of the object to be processed 20 is removed to obtain the object to be processed 20 having the fine pattern 22.

本実施の形態では、図2A〜図2Cに示す保護層10から第2の積層体2を得るところまでを一つのライン(以下、第1のラインという)で行う。それ以降の、図3A〜図3Fまでを別のライン(以下、第2のラインという)で行う。より好ましい態様においては、第1のラインと、第2のラインとは、別の施設で行われる。このため、第2の積層体2は、例えば、ナノ粒子12の形状及び配列を保護する保護層10がフィルム状であり、可撓性を有する場合に、第2の積層体2を巻物状(ロール状)にして梱包し、保管又は運搬される。また、第2の積層体2は、保護層10がシート状である場合に、複数の第2の積層体2を積み重ねて梱包し、保管又は運搬される。   In the present embodiment, the process from obtaining the second stacked body 2 from the protective layer 10 shown in FIGS. 2A to 2C is performed in one line (hereinafter referred to as the first line). The subsequent steps from FIG. 3A to FIG. 3F are performed on another line (hereinafter referred to as a second line). In a more preferred embodiment, the first line and the second line are performed in separate facilities. For this reason, for example, when the protective layer 10 that protects the shape and arrangement of the nanoparticles 12 is in the form of a film and has flexibility, the second stacked body 2 is formed in a scroll shape ( Packed in a roll) and stored or transported. Moreover, when the protective layer 10 is a sheet form, the 2nd laminated body 2 stacks and packs the several 2nd laminated body 2, and is stored or conveyed.

本発明のさらに好ましい態様においては、第1のラインは、第2の積層体2のサプライヤーのラインであり、第2のラインは、第2の積層体2のユーザのラインである。このように、サプライヤーにおいて第2の積層体2を予め量産し、ユーザに提供することで、以下ような利点がある。   In a further preferred aspect of the present invention, the first line is a supplier line of the second laminate 2 and the second line is a user line of the second laminate 2. Thus, the following advantages are obtained by mass-producing the second laminate 2 in advance at the supplier and providing it to the user.

(1)第2の積層体2を構成するナノ粒子12の配列及び形状の精度を保護層10により維持することができるため、ナノ粒子12の配列精度及び形状精度を反映させ、被処理体20に微細加工を行うことができる。更に、第1のマスク層13の膜厚精度を第2の積層体2において担保することが可能となるため、被処理体20上に転写形成された第1のマスク層13の膜厚分布精度を高く保つことが可能となる。すなわち、第2の積層体2を使用することで、被処理体20面内にナノ粒子12及び第1のマスク層13を、第1のマスク層13の膜厚分布精度高く、且つナノ粒子12の転写精度高く転写形成することが可能となる。このため、ナノ粒子12を使用し第1のマスク層13を微細加工することで、被処理体20面内にナノ粒子12のパタン精度(パタン配列精度)を反映させ、且つ、膜厚分布精度高くナノ粒子12及び第1のマスク層13から構成される高いアスペクト比を有する微細マスクパタン16aが設けられた微細パタン構造体16を形成することが可能となる。精度の高い微細パタン構造体16を使用することで、被処理体20を精度高く加工することが可能となり、被処理体20面内にナノ粒子12の配列精度を反映させた微細パタン22を作製することができる。   (1) Since the protective layer 10 can maintain the alignment and shape accuracy of the nanoparticles 12 constituting the second laminate 2, the alignment accuracy and shape accuracy of the nanoparticles 12 are reflected, and the object 20 to be processed Fine processing can be performed. Furthermore, since the film thickness accuracy of the first mask layer 13 can be ensured in the second stacked body 2, the film thickness distribution accuracy of the first mask layer 13 transferred and formed on the object to be processed 20. Can be kept high. That is, by using the second stacked body 2, the nanoparticles 12 and the first mask layer 13 are formed in the surface of the object 20 to be processed with high film thickness distribution accuracy of the first mask layer 13 and the nanoparticles 12. It is possible to transfer and form with high transfer accuracy. For this reason, by finely processing the first mask layer 13 using the nanoparticles 12, the pattern accuracy (pattern alignment accuracy) of the nanoparticles 12 is reflected in the surface of the workpiece 20, and the film thickness distribution accuracy is reflected. It is possible to form the fine pattern structure 16 provided with the fine mask pattern 16 a having a high aspect ratio and composed of the nanoparticles 12 and the first mask layer 13. By using the fine pattern structure 16 with high accuracy, the object 20 can be processed with high accuracy, and the fine pattern 22 reflecting the alignment accuracy of the nanoparticles 12 in the surface of the object 20 is manufactured. can do.

(2)微細パタンの精度を第2の積層体2にて担保することが可能となるため、煩雑なプロセスや装置を使用することなく、被処理体20を加工するのに好適な施設において被処理体20を微細加工することができる。同時に、ナノ粒子12の周囲を保護層10及び第1のマスク層13により取り囲む形状であることから、ナノ粒子12の飛散を抑制することができる。   (2) Since the precision of the fine pattern can be ensured by the second laminate 2, the accuracy of the fine pattern can be secured in a facility suitable for processing the workpiece 20 without using a complicated process or apparatus. The processing body 20 can be finely processed. At the same time, since the periphery of the nanoparticles 12 is surrounded by the protective layer 10 and the first mask layer 13, scattering of the nanoparticles 12 can be suppressed.

(3)微細パタン22の精度を第2の積層体2にて担保することが可能となるため、加工された被処理体20を使用してデバイスを製造するのに最適な場所において第2の積層体2を使用することができる。すなわち、安定的な機能を有すデバイスを製造できる。   (3) Since the accuracy of the fine pattern 22 can be ensured by the second stacked body 2, the second pattern is used in a place optimal for manufacturing a device using the processed object 20 to be processed. The laminate 2 can be used. That is, a device having a stable function can be manufactured.

上述したように、第1のラインを第2の積層体2のサプライヤーのラインに、第2のラインを第2の積層体2のユーザのラインにすることで、被処理体20の加工に最適な、そして、加工された被処理体20を使用しデバイスを製造するのに最適な環境にて第2の積層体2を使用することができる。このため、被処理体20の加工及びデバイス組み立てのスループットを向上させることができる。更に、第2の積層体2は保護層10と保護層10上に設けられた機能層(ナノ粒子12及び第1のマスク層13)から構成される積層体である。すなわち、被処理体20の加工精度を支配する第1のマスク層13及びナノ粒子12の配置精度を、第2の積層体2の保護層10の凹凸構造11の精度にて担保すると共に、第1のマスク層13の膜厚精度を第2の積層体2として担保することが可能となる。以上より、第1のラインを第2の積層体2のサプライヤーのラインに、第2のラインを第2の積層体2のユーザのラインにすることで、加工された被処理体20を使用しデバイスを製造するのに最適な環境にて、第2の積層体2を使用し精度高く被処理体20を加工し使用することができる。   As described above, the first line is the supplier line of the second laminated body 2 and the second line is the user line of the second laminated body 2, which is optimal for processing the workpiece 20. In addition, the second laminate 2 can be used in an optimum environment for manufacturing a device using the processed object 20 to be processed. For this reason, the throughput of the processing of the object 20 and the device assembly can be improved. Further, the second laminate 2 is a laminate composed of a protective layer 10 and functional layers (nanoparticles 12 and first mask layer 13) provided on the protective layer 10. That is, the arrangement accuracy of the first mask layer 13 and the nanoparticles 12 that govern the processing accuracy of the workpiece 20 is ensured by the accuracy of the concavo-convex structure 11 of the protective layer 10 of the second stacked body 2, and the first The film thickness accuracy of one mask layer 13 can be secured as the second stacked body 2. As described above, the processed object 20 is used by changing the first line to the supplier line of the second laminate 2 and the second line to the user line of the second laminate 2. In the optimum environment for manufacturing a device, the object 20 can be processed and used with high accuracy using the second laminate 2.

ところで、被処理体20上にアスペクト比の高い微細マスクパタン16aを設ける場合、微細マスクパタン16aを作製する際の残膜が問題となる。上記した微細パタン形成方法においては、ナノ粒子12が互いに重なり合う、より具体的には、微細パタン形成用積層体の厚み方向に重なり合うナノ粒子12が存在する場合、第1のマスク層13のアッシングが困難となるため、別途重なり合うナノ粒子12を部分的に除去する工程(以下、残膜処理工程と呼ぶ)を設ける必要がある。このような工程を経ることで、本来マスクとして機能させたいナノ粒子12の体積が減少すると共に、体積分布が大きくなる。このため、製造工程が煩雑となり、微細パタン22を有する被処理体20の製造効率が低下すると共に、被処理体20の加工精度が低下する問題がある。   By the way, when providing the fine mask pattern 16a with a high aspect ratio on the to-be-processed object 20, the remaining film at the time of producing the fine mask pattern 16a becomes a problem. In the fine pattern formation method described above, the ashing of the first mask layer 13 is performed when the nanoparticles 12 overlap each other, more specifically, when there are nanoparticles 12 overlapping in the thickness direction of the laminate for forming a fine pattern. Since it becomes difficult, it is necessary to provide a process of removing the overlapping nanoparticles 12 partially (hereinafter referred to as a residual film treatment process). By passing through such a process, the volume of the nanoparticles 12 originally intended to function as a mask is reduced and the volume distribution is increased. For this reason, there is a problem that the manufacturing process becomes complicated, the manufacturing efficiency of the object 20 having the fine pattern 22 decreases, and the processing accuracy of the object 20 decreases.

本発明者らは、第2の微細パタン形成用積層体2内の所定面内にナノ粒子12が分散する状態をとることにより、上述した第1のマスク層13のアッシング、即ち残膜処理工程における不具合を解消できることを見出した。   The present inventors take the state in which the nanoparticles 12 are dispersed in a predetermined plane in the second fine pattern forming laminate 2, thereby ashing the first mask layer 13, that is, the remaining film processing step. It was found that the problem in the can be solved.

すなわち、本発明の微細パタン形成用積層体は、互いに略平行な第1面及び第2面を有し、被処理体に、前記第1面側に設けられた第1のマスク層を介して微細パタンを形成するために用いられる微細パタン形成用積層体であって、保護層と、前記保護層上に設けられた前記第1のマスク層の加工時にマスクとして機能する複数のナノ粒子と、前記複数のナノ粒子を覆うように設けられた前記第1のマスク層と、を具備し、前記複数のナノ粒子は、前記第1面及び前記第2面に略平行な面を横切る前記ナノ粒子の数が最大となる仮想面を中心面とした場合に、前記中心面に略平行な仮想面を横切る前記ナノ粒子の数が、前記中心面から離れるにつれて減少するように配置されていることを特徴とする。   That is, the laminate for forming a fine pattern according to the present invention has a first surface and a second surface that are substantially parallel to each other, and is disposed on the object to be processed via the first mask layer provided on the first surface side. A laminate for forming a fine pattern used for forming a fine pattern, a protective layer, and a plurality of nanoparticles functioning as a mask when processing the first mask layer provided on the protective layer, The first mask layer provided so as to cover the plurality of nanoparticles, wherein the plurality of nanoparticles cross the plane substantially parallel to the first surface and the second surface. When the virtual surface with the largest number is a central surface, the number of the nanoparticles crossing the virtual surface substantially parallel to the central surface is arranged so as to decrease with increasing distance from the central surface. Features.

この微細パタン形成用積層体によれば、微細パタン形成用積層体内に複数のナノ粒子が分散されると共に、この複数のナノ粒子の数が中心面から離れるにつれて減少するように配置されるので、ナノ粒子が微細パタン形成用積層体膜内にランダムに分散されることがない。これにより、被処理体へと第1のマスク層を介し転写付与されるナノ粒子は、互いに重なり合うことが少なくなるため、第1のマスク層の加工精度が向上する。即ち、後の工程でナノ粒子を部分的に除去する残膜処理工程を省くことができる。これにより、互いに重なり合うことのないナノ粒子/第1のマスク層/被処理体からなる積層体を得ることが可能となり、第1のマスク層の加工精度が向上する。このため、高いアスペクト比を有する微細マスクパタンを被処理体上に容易に形成可能となる。   According to this fine pattern forming laminate, a plurality of nanoparticles are dispersed in the fine pattern forming laminate, and the number of the plurality of nanoparticles is arranged so as to decrease as the distance from the center plane increases. The nanoparticles are not randomly dispersed in the laminate film for forming a fine pattern. Thereby, the nanoparticles transferred and applied to the object to be processed via the first mask layer are less likely to overlap with each other, so that the processing accuracy of the first mask layer is improved. That is, it is possible to omit a remaining film processing step of partially removing the nanoparticles in a later step. Thereby, it becomes possible to obtain a laminate composed of nanoparticles / first mask layer / object to be processed that do not overlap each other, and the processing accuracy of the first mask layer is improved. Therefore, a fine mask pattern having a high aspect ratio can be easily formed on the object to be processed.

本発明の微細パタン形成用積層体においては、前記複数のナノ粒子の前記中心面内における平均ピッチ(Pav)と、前記複数のナノ粒子の前記第1面側の平均端部位置(Spt)と前記第1面との間の距離(lor)と、の比率(lor/Pav)が下記式(1)を満たすことが好ましい。
式(1)
0.05≦lor/Pav≦10
In the fine pattern-forming laminate of the present invention, the average pitch (Pav) of the plurality of nanoparticles in the central plane, and the average end position (Spt) of the plurality of nanoparticles on the first surface side, It is preferable that the ratio (lor / Pav) to the distance (lor) between the first surface satisfies the following formula (1).
Formula (1)
0.05 ≦ lor / Pav ≦ 10

この構成によれば、第1のマスク層の膜厚がナノ粒子の密度に応じ決定される。第1のマスク層の厚みが上記式(1)を満たすことにより、第2の積層体2を被処理体に貼合する際の、第1のマスク層の貼合性を向上させることができる。更に、上記範囲を満たすことで、第2の積層体2の製造や搬送、及び第2の積層体2を被処理体に貼合する際に生じるナノ粒子の配列及び形状の乱れを抑制することができるため、ナノ粒子の形状及び配列精度高く、被処理体へとナノ粒子を第1のマスク層を介し転写付与することができる。また、第1のマスク層の膜厚精度を向上させることができる。即ち、被処理体に転写付与される第1のマスク層の膜厚精度をより高めることが可能となる。   According to this configuration, the film thickness of the first mask layer is determined according to the density of the nanoparticles. When the thickness of the first mask layer satisfies the above formula (1), the bonding property of the first mask layer when the second laminate 2 is bonded to the object to be processed can be improved. . Furthermore, by satisfying the above range, the disorder and the disorder of the arrangement and shape of the nanoparticles that occur when the second laminate 2 is manufactured and transported and the second laminate 2 is bonded to the object to be processed are suppressed. Therefore, the nanoparticles can be transferred and applied to the object to be processed through the first mask layer with high shape and arrangement accuracy of the nanoparticles. In addition, the film thickness accuracy of the first mask layer can be improved. That is, it is possible to further increase the film thickness accuracy of the first mask layer transferred and applied to the object to be processed.

本発明の微細パタン形成用積層体においては、ドライエッチングによる前記ナノ粒子のエッチングレート(Vm1)と前記第1のマスク層のエッチングレート(Vo1)との比率(Vo1/Vm1)が、下記式(2)を満たしてもよい。
式(2)
3≦Vo1/Vm1
In the laminate for forming a fine pattern of the present invention, the ratio (Vo1 / Vm1) between the etching rate (Vm1) of the nanoparticles by dry etching and the etching rate (Vo1) of the first mask layer is expressed by the following formula ( 2) may be satisfied.
Formula (2)
3 ≦ Vo1 / Vm1

この構成によれば、ナノ粒子をマスクとして第1のマスク層を良好にエッチングすることが可能となるため、被処理体上に設けられるナノ粒子及び第1のマスク層から成る微細マスクパタン16aの均等性が向上する。   According to this configuration, the first mask layer can be satisfactorily etched using the nanoparticles as a mask. Therefore, the fine mask pattern 16a composed of the nanoparticles and the first mask layer provided on the object to be processed can be obtained. Uniformity is improved.

本発明の微細パタン形成用積層体においては、ドライエッチングによる前記被処理体のエッチングレート(Vi2)と前記第1のマスク層のエッチングレート(Vo2)との比率(Vo2/Vi2)が、下記式(3)を満たしてもよい。
式(3)
Vo2/Vi2≦3
In the fine pattern-forming laminate of the present invention, the ratio (Vo2 / Vi2) between the etching rate (Vi2) of the object to be processed by dry etching and the etching rate (Vo2) of the first mask layer is expressed by the following formula. (3) may be satisfied.
Formula (3)
Vo2 / Vi2 ≦ 3

この構成によれば、第1のマスク層を加工マスクとして使用した際の、被処理体の加工精度を向上させることができるため、第2の積層体2のナノ粒子の配列精度を反映させ、且つ、高さ精度の高い微細パタンを被処理体上に設けることができる。   According to this configuration, since the processing accuracy of the object to be processed when using the first mask layer as a processing mask can be improved, the alignment accuracy of the nanoparticles of the second stacked body 2 is reflected, In addition, a fine pattern with high accuracy can be provided on the object to be processed.

本発明の微細パタン形成用積層体においては、前記複数のナノ粒子の形状が非点対称であり、前記中心面に対する垂直方向の断面視において、前記中心面に平行な方向の線分に対して非線対称であり、且つ、前記中心面に対する垂直方向の線分に対して線対称であることが好ましい。   In the laminate for forming a fine pattern of the present invention, the shape of the plurality of nanoparticles is asymmetrical with respect to a line segment in a direction parallel to the center plane in a cross-sectional view perpendicular to the center plane. It is preferably non-axisymmetric and axisymmetric with respect to a line segment perpendicular to the center plane.

この構成によれば、ナノ粒子の配列安定性が向上する。即ち、第1の積層体1に対して第1のマスク層を成膜した際や、第2の積層体2を運搬した際、また、第2の積層体2の第1のマスク層を被処理体に貼合した際に生じるナノ粒子の配列の乱れを抑制することができる。   According to this configuration, the array stability of the nanoparticles is improved. That is, when the first mask layer is formed on the first laminate 1 or when the second laminate 2 is transported, the first mask layer of the second laminate 2 is covered. It is possible to suppress the disorder of the arrangement of the nanoparticles that occurs when being bonded to the treatment body.

本発明の微細パタン形成用積層体においては、前記保護層は表面に凹凸構造を具備し、前記複数のナノ粒子は、前記凹凸構造の凹部内部に配置されることが好ましい。   In the laminate for forming a fine pattern according to the present invention, it is preferable that the protective layer has a concavo-convex structure on a surface thereof, and the plurality of nanoparticles are disposed inside the concave portion of the concavo-convex structure.

この構成によれば、複数のナノ粒子の配列を、保護層の凹凸構造により制御することが可能となる。また、ナノ粒子の形状安定性も向上する。このため、被処理体上に設けられる高いアスペクト比を有す微細マスクパタンの配列精度及び加工精度を向上させることができる。   According to this configuration, the arrangement of the plurality of nanoparticles can be controlled by the uneven structure of the protective layer. In addition, the shape stability of the nanoparticles is improved. For this reason, it is possible to improve the alignment accuracy and processing accuracy of the fine mask pattern having a high aspect ratio provided on the object to be processed.

また、本発明の微細パタン形成用積層体においては、前記第1のマスク層上に更に被処理体を設けることができる。   In the laminate for forming a fine pattern according to the present invention, an object to be processed can be further provided on the first mask layer.

更に、本発明の微細パタン形成用積層体においては、前記被処理体が、サファイア、シリコン、ITO、ZnO、SiC、窒化物半導体又はスピネルのいずれかであってもよい。   Furthermore, in the laminate for forming a fine pattern of the present invention, the object to be processed may be sapphire, silicon, ITO, ZnO, SiC, a nitride semiconductor, or spinel.

これらの被処理体を採用することにより、高い外部量子効率を有す半導体発光素子を、半導体発光素子の製造に好適な施設にて製造することが可能となる。   By employing these objects to be processed, it is possible to manufacture a semiconductor light emitting device having a high external quantum efficiency at a facility suitable for manufacturing the semiconductor light emitting device.

以下、本発明の実施の形態に係る微細パタン形成用積層体について詳細に説明する。   Hereinafter, the laminated body for fine pattern formation which concerns on embodiment of this invention is demonstrated in detail.

図4は、本実施の形態に係る微細パタン形成用積層体の一例を示すの断面模式図である。図4に示すように、本実施の形態に係る第2の積層体2は、保護層101と、保護層101の表面に設けられた複数のナノ粒子102と、複数のナノ粒子102を覆うように設けられた第1のマスク層103から構成される。この第2の積層体2は、互いに略平行な一対の主面を有しており、一方の主面が第1のマスク層103の表面(以下、第1面と呼ぶ)2aとなり、他方の主面が保護層101の露出する表面(以下、第2面と呼ぶ)2bとなる。ここでの略平行とは、必ずしも完全な平行のみを意味するものではなく、本発明の効果を奏する範囲内で多少の誤差を許容するものである。   FIG. 4 is a schematic cross-sectional view showing an example of a laminate for forming a fine pattern according to the present embodiment. As shown in FIG. 4, the second laminate 2 according to the present embodiment covers the protective layer 101, the plurality of nanoparticles 102 provided on the surface of the protective layer 101, and the plurality of nanoparticles 102. The first mask layer 103 is provided. The second stacked body 2 has a pair of main surfaces that are substantially parallel to each other, and one main surface is a surface (hereinafter referred to as a first surface) 2a of the first mask layer 103, and the other The main surface is a surface (hereinafter referred to as a second surface) 2b from which the protective layer 101 is exposed. Here, “substantially parallel” does not necessarily mean completely parallel, but allows a slight error within a range where the effects of the present invention can be achieved.

この第2の積層体2は、第2面2bと第1面2aとの間に複数のナノ粒子102を含んで構成される層102aを有する。複数のナノ粒子102は、周囲を少なくとも第1のマスク層103によって満たされている。また、複数のナノ粒子102は、上述の層102a内において、第2面2b及び第1面2aに対して略平行な面内において所定の広がりを有しており、互いに所定の間隔を設けて配列されている。複数のナノ粒子102が含まれる層の内部には、第2面2b及び第1面2aに対して略平行であり、複数のナノ粒子102を横切る数が最大となる中心面2cが含まれる。   This 2nd laminated body 2 has the layer 102a comprised including the some nanoparticle 102 between the 2nd surface 2b and the 1st surface 2a. The plurality of nanoparticles 102 are filled with at least the first mask layer 103 around the periphery. Further, the plurality of nanoparticles 102 have a predetermined spread in a plane substantially parallel to the second surface 2b and the first surface 2a in the above-described layer 102a, and are provided at predetermined intervals. It is arranged. The layer including the plurality of nanoparticles 102 includes a central surface 2c that is substantially parallel to the second surface 2b and the first surface 2a and has the largest number across the plurality of nanoparticles 102.

すなわち、本実施の形態に係る第2の積層体2は、複数のナノ粒子102を内部に含み、且つ、ナノ粒子102が、第2面2b及び第1面2aと略平行な中心面2c内(紙面前後方向及び左右方向)において所定の広がりを持つように配置される。更に、中心面2cを横切る複数のナノ粒子102は、中心面2c内において所定の間隔を設けて配置される。   That is, the second laminate 2 according to the present embodiment includes a plurality of nanoparticles 102 inside, and the nanoparticles 102 are in the central surface 2c substantially parallel to the second surface 2b and the first surface 2a. They are arranged so as to have a predetermined spread in the (front-rear direction and left-right direction). Further, the plurality of nanoparticles 102 crossing the center plane 2c are arranged at a predetermined interval in the center plane 2c.

次に、ナノ粒子102の分布について説明する。本実施の形態に係る第2の積層体2においては、第2の積層体2内の仮想面内を横切るナノ粒子102の数により中心面2cが定義される。具体的には、第2の積層体2内に、第2面2b及び第1面2aに対して略平行な複数の仮想面を設けた際に、当該複数の仮想面の中でナノ粒子102を横切る数が最大となる仮想面を中心面2cとして設定する。   Next, the distribution of the nanoparticles 102 will be described. In the second stacked body 2 according to the present embodiment, the center plane 2 c is defined by the number of nanoparticles 102 that traverse the virtual plane in the second stacked body 2. Specifically, when a plurality of virtual surfaces substantially parallel to the second surface 2b and the first surface 2a are provided in the second stacked body 2, the nanoparticles 102 are included in the plurality of virtual surfaces. Is set as the center plane 2c.

図4に示す例では、第2の積層体2の第2面2bと第1面2aとの間に、第2面2b側から第1面2a側に向けて5つの仮想面A〜仮想面Eを設けている。この仮想面A〜仮想面Eの中では、第2の積層体2の膜厚方向における中央部近傍の仮想面Cを横切るナノ粒子102の数が12個で最大となる。したがって、仮想面Cが中心面2cとなる。この中心面2cに対して第1面2a側の仮想面B、仮想面Aにおいては、第1面2aに向けて中心面2cから離れるにつれて、仮想面B、仮想面Aを横切るナノ粒子102の数が、12個、9個、0個のように順次単調減少する。また、中心面2cに対して第2面2b側の仮想面D、仮想面Eにおいては、第2面2bに向けて中心面2cから離れるにつれて、仮想面D、Eを横切るナノ粒子102の数が12個、2個、0個のように順次単調減少する。   In the example illustrated in FIG. 4, five virtual planes A to F are provided between the second plane 2 b and the first plane 2 a of the second stacked body 2 from the second plane 2 b toward the first plane 2 a. E is provided. Among the virtual surfaces A to E, the number of nanoparticles 102 that cross the virtual surface C in the vicinity of the central portion in the film thickness direction of the second stacked body 2 is 12 and the maximum. Therefore, the virtual plane C becomes the center plane 2c. In the virtual surface B and the virtual surface A on the first surface 2a side with respect to the central surface 2c, as the distance from the central surface 2c toward the first surface 2a increases, the nanoparticles 102 that cross the virtual surface B and the virtual surface A The number decreases monotonously sequentially, such as 12, 9, and 0. In addition, in the virtual surface D and the virtual surface E on the second surface 2b side with respect to the central surface 2c, the number of nanoparticles 102 that cross the virtual surfaces D and E toward the second surface 2b as the distance from the central surface 2c increases. Gradually decreases monotonically, such as 12, 2, and 0.

このように、本実施の形態に係る第2の積層体2においては、複数のナノ粒子102は、第2面2b及び第1面2aに略平行な仮想面A〜仮想面Eのうち、ナノ粒子102が横切る数が最大となる仮想面Cが中心面2cとなり、当該中心面2cから離れるにつれて仮想面A、B、D、Eを横切るナノ粒子102の数が減少するように配置されている。この構成により、複数のナノ粒子102が、中心面2cから離れるにつれて減少するので、第2の積層体2内にランダムにナノ粒子102を配置する場合と比較してナノ粒子102の第2の積層体2の膜厚方向に対する重なりを抑制することができる。これにより、第2の積層体2の第1面2a、即ち第1のマスク層103の表面を被処理体に貼合し得られるナノ粒子102/第1のマスク層103/被処理体からなる積層体に対し、ナノ粒子102側から第1のマスク層103をエッチングする際の、重なり合うナノ粒子102を除去する残膜処理工程を省くことができるため、第1のマスク層103の加工精度を向上させることができる。更に、中心面2c近傍に複数のナノ粒子102が設けられるため、ナノ粒子102の第1面2aに与える影響を抑制することが可能となり、第1面2aの平坦性を良好に保つことが可能となる。このため、第2の積層体2の第1のマスク層103を被処理体に貼合する際の、エアボイドの巻き込みといった不良を抑制することができるため、被処理体面内に対する転写性が向上する。なお、複数の面を横切る複数のナノ粒子102の数は、必ずしも完全に単調減少している必要はなく、本発明の効果を奏する範囲で適宜分散した態様を含むものとする。   Thus, in the 2nd laminated body 2 which concerns on this Embodiment, the some nanoparticle 102 is nano between the virtual surface A-the virtual surface E substantially parallel to the 2nd surface 2b and the 1st surface 2a. The virtual plane C in which the number of particles 102 traverses the maximum is the central plane 2c, and the number of nanoparticles 102 that cross the virtual planes A, B, D, E decreases as the distance from the central plane 2c increases. . With this configuration, the plurality of nanoparticles 102 decrease as they move away from the central surface 2 c, so that the second stack of nanoparticles 102 is compared to the case where the nanoparticles 102 are randomly arranged in the second stack 2. The overlap with respect to the film thickness direction of the body 2 can be suppressed. Thus, the first surface 2a of the second stacked body 2, that is, the surface of the first mask layer 103 is composed of nanoparticles 102 / first mask layer 103 / object to be processed which can be bonded to the object to be processed. Since it is possible to omit the remaining film processing step of removing the overlapping nanoparticles 102 when the first mask layer 103 is etched from the nanoparticle 102 side, the processing accuracy of the first mask layer 103 is increased. Can be improved. Furthermore, since the plurality of nanoparticles 102 are provided in the vicinity of the center surface 2c, it is possible to suppress the influence of the nanoparticles 102 on the first surface 2a, and it is possible to keep the flatness of the first surface 2a favorable. It becomes. For this reason, since it is possible to suppress defects such as entrainment of air voids when the first mask layer 103 of the second laminate 2 is bonded to the object to be processed, the transferability within the surface of the object to be processed is improved. . It should be noted that the number of the plurality of nanoparticles 102 crossing the plurality of surfaces does not necessarily need to be completely monotonously reduced, and includes a mode in which the number of nanoparticles 102 is appropriately dispersed within a range in which the effect of the present invention is achieved.

第2の積層体2の第1面2a、即ち第1のマスク層103の表面に略平行な面であって、複数のナノ粒子12の第1面2a側の端部によって形成される平均面を、平均端部位置(Spt)と定義する。この平均端部位置(Spt)は、第2の積層体2の膜厚方向Mにおける複数のナノ粒子102の第1面2a側の端部と第1面2aとの間の最短距離の平均値として求める。複数のナノ粒子102の第1面2a側の端部と第1面2aとの間の最短距離は、走査型電子顕微鏡或いは透過型電子顕微鏡観察、又は透過型電子顕微鏡とエネルギー分散型X線分光法とを併用した手法を用いることで測定することができる。平均端部位置(Spt)を求めるための平均点数としては20点以上が好ましい。   The first surface 2 a of the second laminate 2, that is, a surface substantially parallel to the surface of the first mask layer 103, and an average surface formed by the end portions of the plurality of nanoparticles 12 on the first surface 2 a side. Is defined as the average edge position (Spt). This average edge position (Spt) is the average value of the shortest distances between the edge of the plurality of nanoparticles 102 on the first surface 2a side and the first surface 2a in the film thickness direction M of the second laminate 2. Asking. The shortest distance between the end of the plurality of nanoparticles 102 on the first surface 2a side and the first surface 2a is a scanning electron microscope or transmission electron microscope observation, or a transmission electron microscope and energy dispersive X-ray spectroscopy. It can measure by using the method which used the method together. The average score for obtaining the average end position (Spt) is preferably 20 points or more.

次に、図5は、図4に示した第2の積層体2の中心面2c内における断面模式図である。すなわち、図5においては、図4に示した中心面2cに沿って第2の積層体2をスライスし、第1面2a側より第2の積層体2を観察した際の上面図を示している。図5に示すように、中心面2cは、複数のナノ粒子102を横切るので、第1面2a側から観察すると、中心面2c内には複数のナノ粒子102が中心面内に割断されて配置されている。図5に示す距離(P)は、ナノ粒子102の隣接する中心間の距離を意味する。あるナノ粒子A1の中心とこのナノ粒子A1に隣接するナノ粒子B1−1〜ナノ粒子B1−6の中心との間の距離PA1B1−1〜距離PA1B1−6を、ピッチPと定義する。しかし、この図5に示すように、隣接するナノ粒子102によりピッチPが異なる場合は次の手順に従い、平均ピッチ(Pav)を決定する。(1)任意の複数のナノ粒子A1,A2…ANを選択する。(2)ナノ粒子AMとナノ粒子AM(1≦M≦N)に隣接するナノ粒子(BM−1〜BM−k)と、のピッチPAMBM−1〜PAMBM−kを測定する。(3)ナノ粒子A1〜ナノ粒子ANについても、(2)と同様にピッチPを測定する。(4)ピッチPA1B1−1〜PANBN−kの相加平均値を平均ピッチ(Pav)として定義する。但し、Nは5以上10以下、kは4以上6以下とする。なお、ピッチPは、走査型電子顕微鏡や透過型電子顕微鏡を使用した断面像観察より求めることができる。断面像よりピッチPを求める場合、上述したナノ粒子の中心を、凸部頂部中央位置とする。 Next, FIG. 5 is a schematic cross-sectional view in the center plane 2c of the second stacked body 2 shown in FIG. That is, FIG. 5 shows a top view when slicing the second laminate 2 along the center plane 2c shown in FIG. 4 and observing the second laminate 2 from the first surface 2a side. Yes. As shown in FIG. 5, since the center plane 2c crosses the plurality of nanoparticles 102, when observed from the first surface 2a side, the plurality of nanoparticles 102 are cleaved and arranged in the center plane within the center plane 2c. Has been. The distance (P) shown in FIG. 5 means the distance between adjacent centers of the nanoparticles 102. The distance P A1B1-1 ~ distance P A1B1-6 between the center of the nanoparticle B1-1~ nanoparticles B1-6 adjacent the center of a nanoparticle A1 to the nanoparticles A1, is defined as a pitch P. However, as shown in FIG. 5, when the pitch P differs depending on the adjacent nanoparticles 102, the average pitch (Pav) is determined according to the following procedure. (1) A plurality of arbitrary nanoparticles A1, A2... AN are selected. (2) The pitches P AMBM-1 to P AMBM-k between the nanoparticles AM and the nanoparticles (BM-1 to BM-k) adjacent to the nanoparticles AM (1 ≦ M ≦ N) are measured. (3) For the nanoparticles A1 to AN, the pitch P is measured as in (2). (4) The arithmetic average value of the pitches P A1B1-1 to P ANBN-k is defined as the average pitch (Pav). However, N is 5 or more and 10 or less, and k is 4 or more and 6 or less. Note that the pitch P can be obtained from cross-sectional image observation using a scanning electron microscope or a transmission electron microscope. When calculating | requiring the pitch P from a cross-sectional image, let the center of the nanoparticle mentioned above be a convex part top part center position.

ナノ粒子102のピッチPは、±35%以下の分布を有すと、ナノ粒子102の転写精度及び第1のマスク層103の加工精度を向上できるため好ましい。特に、±20%以下であることで、ナノ粒子102の配置精度が向上するため、第2の積層体2の膜厚方向Mに重なり合うナノ粒子数を抑制することが可能となり、このため第1のマスク層103の加工精度を向上させることができる。   It is preferable that the pitch P of the nanoparticles 102 has a distribution of ± 35% or less because the transfer accuracy of the nanoparticles 102 and the processing accuracy of the first mask layer 103 can be improved. In particular, since the placement accuracy of the nanoparticles 102 is improved by being ± 20% or less, it is possible to suppress the number of nanoparticles overlapping in the film thickness direction M of the second laminate 2, and thus the first The processing accuracy of the mask layer 103 can be improved.

ナノ粒子102の中心面内における平均ピッチ(Pav)と、複数のナノ粒子102の前記第1面2a側の平均端部位置(Spt)と第1面2aとの間の距離(lor)と、の比率(lor/Pav)が下記式(1)を満たすことが好ましい。下記式(1)を満たすことは、第1のマスク層103の膜厚をナノ粒子102の密度に応じ決定することを意味する。第1のマスク層103の厚みが式(1)を満たすことにより、第2の積層体2を被処理体に貼合する際の、第1のマスク層103の貼合性を向上させることができる。更に、第2の積層体2の製造や搬送、及び第2の積層体2を被処理体に貼合する際に生じるナノ粒子102の配列及び形状の乱れを抑制することができるため、ナノ粒子102の形状及び配列精度高く、被処理体へとナノ粒子102を第1のマスク層103を介し転写付与することができる。また、第1のマスク層の膜厚精度を向上させることができる。即ち、被処理体に転写付与される第1のマスク層の膜厚精度をより高めることが可能となる。
式(1)
0.05≦lor/Pav≦10
An average pitch (Pav) in the center plane of the nanoparticles 102, and a distance (lor) between the average end position (Spt) of the plurality of nanoparticles 102 on the first surface 2a side and the first surface 2a; It is preferable that the ratio (lor / Pav) satisfies the following formula (1). Satisfying the following formula (1) means that the film thickness of the first mask layer 103 is determined according to the density of the nanoparticles 102. When the thickness of the 1st mask layer 103 satisfy | fills Formula (1), the bonding property of the 1st mask layer 103 at the time of bonding the 2nd laminated body 2 to a to-be-processed object can be improved. it can. Furthermore, since the disorder | damage | failure of the arrangement | sequence and shape of the nanoparticle 102 which arise when manufacturing and conveyance of the 2nd laminated body 2 and bonding the 2nd laminated body 2 to a to-be-processed object can be suppressed, a nanoparticle The nanoparticles 102 can be transferred and applied to the object to be processed through the first mask layer 103 with high shape and arrangement accuracy of the 102. In addition, the film thickness accuracy of the first mask layer can be improved. That is, it is possible to further increase the film thickness accuracy of the first mask layer transferred and applied to the object to be processed.
Formula (1)
0.05 ≦ lor / Pav ≦ 10

特に、距離(lor)と平均ピッチ(Pav)との比率(lor/Pav)は、被処理体上に転写形成されたナノ粒子102及び第1のマスク層103に対するドライエッチング性の観点から、lor/Pav≦10であることが好ましく、lor/Pav≦5であることがより好ましい。ドライエッチング時のナノ粒子102及び第1のマスク層103から構成されるピラー(微細マスクパタン)の倒れを抑制する観点から、lor/Pav≦2.5であることが好ましい。一方で、第1のマスク層103の接着層としての機能を良好に発揮し、貼合・転写精度を高める観点から、lor/Pav≧0.05であることが好ましい。距離(lor)は、転写精度の観点から0nm越であることが好ましく、ドライエッチング精度の観点から3000nm以下であることが好ましい。距離(lor)の斑は、第1のマスク層103エッチング後の、被処理体上の第1のマスク層103の幅バラつきの観点から、ナノ粒子102の平均ピッチ(Pav)にもよるが、概ね±30%以下であることが好ましく、±25%以下がより好ましく、±10%以下が最も好ましい。   In particular, the ratio (lor / Pav) between the distance (lor) and the average pitch (Pav) is determined from the viewpoint of dry etching properties for the nanoparticles 102 and the first mask layer 103 transferred and formed on the object to be processed. / Pav ≦ 10 is preferable, and lor / Pav ≦ 5 is more preferable. From the viewpoint of suppressing the collapse of the pillar (fine mask pattern) composed of the nanoparticles 102 and the first mask layer 103 during dry etching, it is preferable that lor / Pav ≦ 2.5. On the other hand, it is preferable that lor / Pav ≧ 0.05 from the viewpoint of satisfactorily exerting the function of the first mask layer 103 as an adhesive layer and improving the bonding / transfer accuracy. The distance (lor) is preferably greater than 0 nm from the viewpoint of transfer accuracy, and is preferably 3000 nm or less from the viewpoint of dry etching accuracy. The unevenness of the distance (lor) depends on the average pitch (Pav) of the nanoparticles 102 from the viewpoint of the width variation of the first mask layer 103 on the object to be processed after the etching of the first mask layer 103. Generally, it is preferably ± 30% or less, more preferably ± 25% or less, and most preferably ± 10% or less.

更に、第1のマスク層103の表面粗さRaと距離lorと、の比率(Ra/lor)は1以下であることが好ましい。この範囲を満たすことにより、第1のマスク層103を被処理体20へと貼合する際のエアボイドの巻き込みを抑制することが可能となるため、被処理体面内における転写精度を向上させることが可能となる。同様の効果から、比率(Ra/lor)は、0.55以下であることが好ましく、0.45以下であることがより好ましく、0.40以下であることが最も好ましい。更に、比率(Ra/lor)が、0.2以下であることにより、第1のマスク層103をナノスケールに薄くすると共に、貼合精度を大きく向上させることが可能となる。同様の効果から、0.15以下であることが好ましく、0.1以下であることがより好ましい。なお下限値は特に限定されず、比率(Ra/lor)は小さい程好ましが、連続的にロール・ツー・ロール法により微細パタン形成用積層体を製造する観点から0.002以上であることが好ましく、0.004以上であることがより好ましく、0.01以上であることが最も好ましい。   Furthermore, the ratio (Ra / lor) between the surface roughness Ra and the distance lor of the first mask layer 103 is preferably 1 or less. By satisfying this range, it becomes possible to suppress the entrainment of air voids when the first mask layer 103 is bonded to the object to be processed 20, thereby improving the transfer accuracy in the surface of the object to be processed. It becomes possible. From the same effect, the ratio (Ra / lor) is preferably 0.55 or less, more preferably 0.45 or less, and most preferably 0.40 or less. Furthermore, when the ratio (Ra / lor) is 0.2 or less, the first mask layer 103 can be made thin on the nanoscale, and the bonding accuracy can be greatly improved. From the same effect, it is preferably 0.15 or less, and more preferably 0.1 or less. The lower limit is not particularly limited, and the lower the ratio (Ra / lor), the better. However, it is 0.002 or more from the viewpoint of continuously producing a laminate for forming a fine pattern by a roll-to-roll method. Is preferable, 0.004 or more is more preferable, and 0.01 or more is most preferable.

また、第1のマスク層103の露出する表面(第1面2a)の表面粗さRaは300nm以下であることが好ましい。この範囲を満たすことにより、第1のマスク層103の接着層としての機能を良好に発現することが可能となる。特に、第2の積層体2の第1のマスク層102を被処理体に貼合する際のエアボイドを抑制する観点から、第1のマスク層103の露出する面に対する表面粗さRaは、150nm以下であることが好ましく、100nm以下であることが好ましく、50nm以下であることが最も好ましい。更に、第1のマスク層103の膜厚を薄くすると共に、転写精度、第1のマスク層103の加工精度、及び被処理体の加工精度を向上させる観点から、第1のマスク層103の露出する表面に対する表面粗さRaは、35nm以下であることが好ましく、25nm以下であることがより好ましく、15nm以下であることが最も好ましい。なお、表面粗さRaは小さい程好ましため、下限値は特に限定されないが、連続的に第2の積層体2を製造する観点から、2nm以上であることが好ましく、5nm以上であることがより好ましい。なお、表面粗さRaは、原子間力顕微鏡(Atomic Force Microscopy)を使用し150μm×150μm以上の測定範囲を、測定周波数が1.11Hz以上にて走査し測定された値として定義する。また、第1のマスク層103の表面に異物が付着していた場合であって、該異物ごと原子間力顕微鏡により操作した場合、表面粗さRaは大きくなる。このため、走査型電子顕微鏡により測定する環境は、クラス1000以下のクリーンルームであることが好ましく、また、測定前にはイオナイザ等による除電環境下におけるエアブロー洗浄をすることが好ましい。また、第1のマスク層103の表面(第1面2a)にスクラッチ跡に代表される傷が存在していた場合であって、該傷ごと原子間力顕微鏡により操作した場合、表面粗さRaは大きくなる。このため、走査型電子顕微鏡により測定する際は、傷を避けて測定するものとする。上記測定は例えば、以下の装置及び条件により可能である。
・株式会社キーエンス社製 Nanoscale Hybrid Microscope VN−8000
・測定範囲: 200μm(比率1:1)
・サンプリング周波数: 1.11Hz
The surface roughness Ra of the exposed surface (first surface 2a) of the first mask layer 103 is preferably 300 nm or less. By satisfying this range, the function of the first mask layer 103 as an adhesive layer can be favorably expressed. In particular, from the viewpoint of suppressing air voids when the first mask layer 102 of the second stacked body 2 is bonded to an object to be processed, the surface roughness Ra with respect to the exposed surface of the first mask layer 103 is 150 nm. Or less, preferably 100 nm or less, and most preferably 50 nm or less. Further, from the viewpoint of reducing the thickness of the first mask layer 103 and improving the transfer accuracy, the processing accuracy of the first mask layer 103, and the processing accuracy of the object to be processed, the exposure of the first mask layer 103 is performed. The surface roughness Ra with respect to the surface is preferably 35 nm or less, more preferably 25 nm or less, and most preferably 15 nm or less. The lower the surface roughness Ra, the better. The lower limit value is not particularly limited, but from the viewpoint of continuously producing the second laminate 2, it is preferably 2 nm or more, and preferably 5 nm or more. More preferred. The surface roughness Ra is defined as a value measured by scanning a measurement range of 150 μm × 150 μm or more using an atomic force microscope (Atomic Force Microscope) at a measurement frequency of 1.11 Hz or more. In addition, when the foreign matter is attached to the surface of the first mask layer 103 and the foreign matter is manipulated with the atomic force microscope, the surface roughness Ra is increased. For this reason, it is preferable that the environment to be measured by the scanning electron microscope is a clean room of class 1000 or less, and it is preferable to perform air blow cleaning in a static elimination environment with an ionizer or the like before the measurement. Further, when the surface of the first mask layer 103 (the first surface 2a) has a scratch represented by a scratch mark, and the entire surface of the scratch is operated with an atomic force microscope, the surface roughness Ra Becomes bigger. For this reason, when measuring with a scanning electron microscope, measurement shall be performed while avoiding scratches. The measurement can be performed, for example, with the following apparatus and conditions.
・ Nanoscale Hybrid Microscope VN-8000 manufactured by Keyence Corporation
・ Measurement range: 200μm (ratio 1: 1)
・ Sampling frequency: 1.11Hz

中心面2c内に横切る複数のナノ粒子102は、第2の積層体2の中心面2cに対する垂直方向(膜厚方向)における平均厚みが、5nm以上1000nm以下であることが好ましい。ナノ粒子102の平均厚みが5nm以上であることにより、第1のマスク層103の加工精度を向上させることができる。一方、ナノ粒子102の平均厚みが1000nm以下であることにより、ナノ粒子102の物理的安定性を向上でき、また第2の積層体2の第1面2aのラフネスを低減できる。更に、ナノ粒子102の転写精度を向上させることができる。この効果をいっそう発揮する観点から、ナノ粒子102の平均厚みとしては、20nm以上800nm以下であることが好ましく、30nm以上500nm以下であることがより好ましく、50nm以上300nm以下であることが最も好ましい。   The plurality of nanoparticles 102 traversing in the center plane 2c preferably have an average thickness in the direction perpendicular to the center plane 2c (film thickness direction) of the second laminate 2 of 5 nm or more and 1000 nm or less. When the average thickness of the nanoparticles 102 is 5 nm or more, the processing accuracy of the first mask layer 103 can be improved. On the other hand, when the average thickness of the nanoparticles 102 is 1000 nm or less, the physical stability of the nanoparticles 102 can be improved, and the roughness of the first surface 2a of the second laminate 2 can be reduced. Furthermore, the transfer accuracy of the nanoparticles 102 can be improved. From the viewpoint of further exerting this effect, the average thickness of the nanoparticles 102 is preferably 20 nm or more and 800 nm or less, more preferably 30 nm or more and 500 nm or less, and most preferably 50 nm or more and 300 nm or less.

また、ナノ粒子102は、第1のマスク層103の加工精度を向上させる観点から、第2の積層体2の膜厚方向におけるナノ粒子102の厚み(高さ)に±25%以下のばらつきを有することが好ましい。ここで、ばらつきは、20個以上のナノ粒子102に対し、それぞれのナノ粒子102の第2の積層体2の膜厚方向における厚み(高さ)を測定し算出される値である。なお、20個以上のナノ粒子102に対し、それぞれのナノ粒子102の第2の積層体2の膜厚方向Mにおける厚み(高さ)を測定し、測定された各ナノ粒子102の平均値が、ナノ粒子102の膜厚方向における平均厚み(Z)に相当する。   In addition, the nanoparticles 102 have a variation of ± 25% or less in the thickness (height) of the nanoparticles 102 in the film thickness direction of the second stacked body 2 from the viewpoint of improving the processing accuracy of the first mask layer 103. It is preferable to have. Here, the variation is a value calculated by measuring the thickness (height) in the film thickness direction of the second laminate 2 of each nanoparticle 102 with respect to 20 or more nanoparticles 102. In addition, with respect to 20 or more nanoparticles 102, the thickness (height) of each nanoparticle 102 in the film thickness direction M of the second laminate 2 is measured, and the average value of each measured nanoparticle 102 is This corresponds to the average thickness (Z) of the nanoparticles 102 in the film thickness direction.

中心面2cにより割断されたナノ粒子102の割断面の径(D)の平均値をナノ粒子102の平均径とする。この平均径は、任意に複数のナノ粒子102を選択し、それらの径(D)の平均値として算出する。平均点数としては20点以上が好ましい。また、平均径を求める際の中心面2cは、第1面2a側の平均端部位置(Spt)と第2面2b側の平均端部位置との間の中央に設定された中央面である。ここで、中央面とは、次のように定義する。第1面2a側の平均端部位置(Spt)と第2面2b側の平均端部位置との間の距離をZとする。中央面とは、第1面2a側の平均端部位置(Spt)から第2面2b方向にZ/2下降した面、すなわち、第2面2b側の平均端部位置から第1面2a方向にZ/2上昇した面である。   The average value of the diameter (D) of the fractured surface of the nanoparticles 102 cleaved by the center surface 2 c is taken as the average diameter of the nanoparticles 102. This average diameter is calculated as an average value of the diameters (D) by arbitrarily selecting a plurality of nanoparticles 102. The average score is preferably 20 points or more. Further, the center plane 2c when calculating the average diameter is a center plane set at the center between the average end position (Spt) on the first surface 2a side and the average end position on the second surface 2b side. . Here, the central plane is defined as follows. Let Z be the distance between the average edge position (Spt) on the first surface 2a side and the average edge position on the second surface 2b side. The center plane is a plane that has been lowered by Z / 2 in the second surface 2b direction from the average end position (Spt) on the first surface 2a side, that is, in the first surface 2a direction from the average end position on the second surface 2b side. It is the surface which raised Z / 2.

平均径は、1nm以上1000nm以下であることが好ましい。平均径が1nm以上であることにより、第1のマスク層103の加工精度を向上させることができる。また、平均径が1000nm以下であることにより、ナノ粒子102同士の第2の積層体2の膜厚方向に対する重なりを抑制することができる。この効果をいっそう発揮する観点から、平均径は、10nm以上800nm以下であることが好ましく、50nm以上500nm以下であることがより好ましく、80nm以上300nm以下が最も好ましい。   The average diameter is preferably 1 nm or more and 1000 nm or less. When the average diameter is 1 nm or more, the processing accuracy of the first mask layer 103 can be improved. Moreover, the overlap with respect to the film thickness direction of the 2nd laminated body 2 of nanoparticles 102 can be suppressed because an average diameter is 1000 nm or less. From the viewpoint of further exerting this effect, the average diameter is preferably 10 nm to 800 nm, more preferably 50 nm to 500 nm, and most preferably 80 nm to 300 nm.

ナノ粒子102の径は、±25%以下の分布をもってもよい。ナノ粒子102の径の分布が±25%以下であることにより、ナノ粒子102同士の第2の積層体2の膜厚方向に対する重なりを抑制することが可能となるため、第1のマスク層103の加工精度を向上させることができる。同様の効果から、±15%以下がより好ましく、±10%以下がもっとも好ましい。   The diameter of the nanoparticles 102 may have a distribution of ± 25% or less. Since the distribution of the diameters of the nanoparticles 102 is ± 25% or less, it is possible to suppress overlapping of the nanoparticles 102 with respect to the film thickness direction of the second stacked body 2, and thus the first mask layer 103. The machining accuracy can be improved. From the same effect, ± 15% or less is more preferable, and ± 10% or less is most preferable.

次に、ナノ粒子102の形状について詳細に説明する。ナノ粒子102の形状としては、特に制限はない。ナノ粒子102の形状としては、例えば、三角柱、三角錐、四角柱、四角錐、多角柱、多角錐、円錐、円柱、楕円錐、楕円柱、及び、これらの底面が歪んだ形状、これらの側面が湾曲した形状、又は球体、円盤状、レンズ状、扁平楕円体状(扁球状)、偏長楕円体状(長球状)等が挙げられる。これらの中でも、特に、円錐、円柱、楕円錐、楕円柱のように、底面の角が少ない形状が好ましい。これらの形状とすることにより、ナノ粒子102の転写精度及び第1のマスク層103の加工精度が向上する。底面を楕円とした場合の長軸半径と短軸半径と、の比率(長軸半径/短軸半径)は1以上であることが好ましい。比率(長軸半径/短軸半径)が1の場合が円である。ナノ粒子102の配置精度を向上させ、ナノ粒子102の第2の積層体2の膜厚方向に対する重なりを抑制する観点から、底面が楕円のナノ粒子の場合、比率(長軸半径/短軸半径)は100以下であることが好ましく、25以下であることがより好ましく、5以下であることが最も好ましい。   Next, the shape of the nanoparticles 102 will be described in detail. There is no restriction | limiting in particular as a shape of the nanoparticle 102. FIG. Examples of the shape of the nanoparticle 102 include a triangular prism, a triangular pyramid, a quadrangular column, a quadrangular pyramid, a polygonal column, a polygonal pyramid, a cone, a cylinder, an elliptical cone, an elliptical column, and a shape in which these bottom surfaces are distorted, and their side surfaces. Or a spherical shape, a disk shape, a lens shape, a flat ellipsoidal shape (oblong shape), an ellipsoidal shape (oblong shape), or the like. Among these, in particular, a shape having a small angle at the bottom, such as a cone, a cylinder, an elliptical cone, and an elliptical column, is preferable. By adopting these shapes, the transfer accuracy of the nanoparticles 102 and the processing accuracy of the first mask layer 103 are improved. The ratio of the major axis radius to the minor axis radius (major axis radius / minor axis radius) when the bottom surface is an ellipse is preferably 1 or more. A circle with a ratio (major axis radius / minor axis radius) of 1 is a circle. From the viewpoint of improving the arrangement accuracy of the nanoparticles 102 and suppressing the overlapping of the nanoparticles 102 with respect to the film thickness direction of the second laminated body 2, the ratio (major axis radius / minor axis radius) is the case where the bottom surface is an ellipse nanoparticle. ) Is preferably 100 or less, more preferably 25 or less, and most preferably 5 or less.

ナノ粒子102の形状は以下に説明する値以下の分布を含むと、ナノ粒子102の配置精度及び第1のマスク層103の加工精度を向上させることができる。ここで、ナノ粒子102の形状の分布とは、ナノ粒子102の形状を表現する変数の分布である。ナノ粒子102の形状は、ナノ粒子102の高さ(厚み)、側面の角度、側面の変曲点の数、側面の長さ、上面或いは下面の平坦面の面積(径)、上面或いは下面の曲率、上面或いは下面の変曲点の数、上面又は下面又は側面のラフネス(粗さ)、アスペクト比(高さ/下面の径或いは、高さ/上面の径)或いは、上面或いは下面と側面とが作る曲率等により定義される。これらを、ナノ粒子102を表現する変数xとする。変数xに対する標準偏差と相加平均と、の比率(標準偏差/相加平均)が0.5以下であることにより、前記効果を発現できる。   When the shape of the nanoparticles 102 includes a distribution equal to or less than the value described below, the arrangement accuracy of the nanoparticles 102 and the processing accuracy of the first mask layer 103 can be improved. Here, the distribution of the shape of the nanoparticles 102 is a distribution of variables expressing the shape of the nanoparticles 102. The shape of the nanoparticle 102 is the height (thickness) of the nanoparticle 102, the angle of the side surface, the number of inflection points on the side surface, the length of the side surface, the area (diameter) of the flat surface on the upper surface or the lower surface, Curvature, number of inflection points on upper or lower surface, roughness of upper or lower surface or side surface, aspect ratio (height / lower surface diameter or height / upper surface diameter), upper surface or lower surface and side surface Is defined by the curvature, etc. These are variables x representing the nanoparticles 102. The said effect can be expressed when the ratio (standard deviation / arithmetic mean) of the standard deviation with respect to the variable x and the arithmetic mean is 0.5 or less.

(相加平均)
相加平均値は、ある要素(変量)XのN個の測定値をx1、x2…、xnとした場合に、次式にて定義される。
(Arithmetic mean)
The arithmetic mean value is defined by the following equation when N measured values of a certain element (variable) X are x1, x2,.

(標準偏差)
要素(変量)XのN個の測定値をx1、x2…、xnとした場合に、上記定義された相加平均値を使用し、次式にて定義される。
(standard deviation)
When N measured values of the element (variable) X are x1, x2,..., Xn, the arithmetic mean value defined above is used and is defined by the following equation.

相加平均を算出する際のサンプル点数Nは、10以上として定義する。また、標準偏差算出時のサンプル点数は、相加平均算出時のサンプル点数Nと同様とする。   The number N of sample points when calculating the arithmetic mean is defined as 10 or more. The number of sample points when calculating the standard deviation is the same as the number N of sample points when calculating the arithmetic mean.

また、標準偏差/相加平均は、面内における値ではなく、局所的な値として定義する。即ち、面内に渡りN点の計測を行い標準偏差/相加平均を算出するのではなく、局所的観察を行い、該観察範囲内における標準偏差/相加平均を算出する。ここで、観察に使用する局所的範囲とは、平均ピッチPavの5倍〜50倍程度の範囲として定義する。例えば、平均ピッチPavが500nmであれば、2500nm〜25000nmの観察範囲の中で観察を行う。   The standard deviation / arithmetic mean is defined as a local value, not an in-plane value. That is, instead of measuring N points across the plane and calculating the standard deviation / arithmetic mean, local observation is performed and the standard deviation / arithmetic mean within the observation range is calculated. Here, the local range used for observation is defined as a range of about 5 to 50 times the average pitch Pav. For example, if the average pitch Pav is 500 nm, the observation is performed in the observation range of 2500 nm to 25000 nm.

上述したように、ナノ粒子102の形状は、変数により表記可能であり、該変数に対する標準偏差/相加平均が0.5以下であればナノ粒子102の第2の積層体2の膜厚方向に対する重なりを抑制し、第1のマスク層103の加工精度を向上させることができる。同様の観点から、標準偏差/相加平均は0.35以下が好ましく、0.25以下であることがより好ましく、0.15以下であることが最も好ましい。特に、要素xが、高さ(厚み)、上面或いは下面の径(面積)或いはアスペクトであると、上記(標準偏差/相加平均)の範囲におけるナノ粒子の転写精度が向上するため好ましい。また、ナノ粒子102の配列は、ピッチを変数xにすることで表現できる。この場合も同様の効果から上記範囲を満たすと好ましい。   As described above, the shape of the nanoparticle 102 can be expressed by a variable, and if the standard deviation / arithmetic mean with respect to the variable is 0.5 or less, the film thickness direction of the second laminate 2 of the nanoparticle 102 And the processing accuracy of the first mask layer 103 can be improved. From the same viewpoint, the standard deviation / arithmetic average is preferably 0.35 or less, more preferably 0.25 or less, and most preferably 0.15 or less. In particular, it is preferable that the element x has a height (thickness), a diameter (area) of the upper surface or the lower surface, or an aspect because the transfer accuracy of nanoparticles in the above range (standard deviation / arithmetic mean) is improved. The arrangement of the nanoparticles 102 can be expressed by setting the pitch to a variable x. Also in this case, it is preferable that the above range is satisfied from the same effect.

ナノ粒子102のピッチPをナノ粒子102を構成する要素として考えた場合、上記説明した比率(標準偏差/相加平均)は、0.4以下であることが好ましい。この場合、ナノ粒子102同士の第2の積層体2に膜厚方向に対する重なりを抑制することが可能となる。同様の観点から、0.35以下であることが好ましく、0.25以下であることがより好ましく、0.15以下であることが最も好ましい。一方、下限値は特に限定されない。これは、ピッチPに対する比率(標準偏差/相加平均)が小さい程、ナノ粒子102の配列規則性が向上し、ナノ粒子102の転写精度が向上するためである。特に、上記説明した比率(lor/Pav)の範囲を満たす場合、ピッチPに対する比率(標準偏差/相加平均)が上記範囲内において大きい場合であっても、ナノ粒子102の転写精度を良好に保つことが可能となる。   When the pitch P of the nanoparticles 102 is considered as an element constituting the nanoparticles 102, the above-described ratio (standard deviation / arithmetic mean) is preferably 0.4 or less. In this case, it is possible to suppress overlap of the second stacked body 2 of the nanoparticles 102 with respect to the film thickness direction. From the same viewpoint, it is preferably 0.35 or less, more preferably 0.25 or less, and most preferably 0.15 or less. On the other hand, the lower limit is not particularly limited. This is because as the ratio to the pitch P (standard deviation / arithmetic mean) is smaller, the arrangement regularity of the nanoparticles 102 is improved and the transfer accuracy of the nanoparticles 102 is improved. In particular, when the range of the ratio (lor / Pav) described above is satisfied, even if the ratio to the pitch P (standard deviation / arithmetic mean) is large within the range, the transfer accuracy of the nanoparticles 102 is improved. It becomes possible to keep.

更に、ナノ粒子102の第1面2a側の頂部径と、ナノ粒子102の第2面2b側の頂部径とが異なると、ナノ粒子102の配置精度及び転写精度が向上するため好ましい。即ち、ナノ粒子102の側面は、第2の積層体2の厚み方向から勾配を有すると好ましい。このようなナノ粒子102が傾斜を有す構造であることにより、ナノ粒子102の形状が安定化し、且つナノ粒子102の転写精度が向上するため、第1のマスク層103の加工精度を向上させることができる。本効果をより発揮する観点から、ナノ粒子102の第1面2a側の頂部径と、ナノ粒子102の第2面2b側の頂部径と、は2倍以上異なると好ましく、5倍以上ことなるとより好ましく、10倍以上ことなると最も好ましい。なお、最も好ましくは、ナノ粒子102の第1面2a側の頂部径或いはナノ粒子102の第2面2b側の頂部径が0に漸近する状態、換言すればナノ粒子102の上面或いは下面に平坦面がない場合である。特に、第1面2a側の頂部径が第2面2b側の頂部径に比べ、大きい場合、前記効果をより発揮することが可能となる。   Furthermore, it is preferable that the apex diameter of the nanoparticles 102 on the first surface 2a side and the apex diameter of the nanoparticles 102 on the second surface 2b side are improved because the arrangement accuracy and transfer accuracy of the nanoparticles 102 are improved. That is, the side surface of the nanoparticle 102 preferably has a gradient from the thickness direction of the second stacked body 2. Since the nanoparticle 102 has a tilted structure, the shape of the nanoparticle 102 is stabilized and the transfer accuracy of the nanoparticle 102 is improved, so that the processing accuracy of the first mask layer 103 is improved. be able to. From the standpoint of more exerting this effect, the top diameter on the first surface 2a side of the nanoparticle 102 and the top diameter on the second surface 2b side of the nanoparticle 102 are preferably different by 2 times or more, and 5 times or more. More preferably, 10 times or more is most preferable. Most preferably, the top diameter of the nanoparticles 102 on the first surface 2a side or the top diameter of the nanoparticles 102 on the second surface 2b side is asymptotic to 0, in other words, flat on the upper surface or the lower surface of the nanoparticles 102. This is the case when there is no surface. In particular, when the apex diameter on the first surface 2a side is larger than the apex diameter on the second surface 2b side, the above-described effect can be further exerted.

また、ナノ粒子102の高さ(厚み)に対する(標準偏差)/(相加平均)は、ナノ粒子102の転写精度の観点から、0.40以下であることが好ましい。更に、0.35以下であることにより、第1のマスク層103の加工精度を向上できるため好ましい。中でも、0.25以下の範囲であることにより、ナノ粒子102の形状の安定性が向上することから、第1のマスク層103をより良好に加工することが可能となる。特に、上記説明した比率(lor/Pav)の範囲を満たす場合、高さ(厚み)に対する比率(標準偏差/相加平均)が上記範囲内において大きい場合であっても、ナノ粒子102の転写精度を良好に保つことが可能となる。   Further, (standard deviation) / (arithmetic mean) with respect to the height (thickness) of the nanoparticles 102 is preferably 0.40 or less from the viewpoint of the transfer accuracy of the nanoparticles 102. Further, it is preferably 0.35 or less because the processing accuracy of the first mask layer 103 can be improved. Especially, since it is the range of 0.25 or less, the stability of the shape of the nanoparticle 102 will improve, Therefore It becomes possible to process the 1st mask layer 103 more favorably. In particular, when the range of the ratio (lor / Pav) described above is satisfied, even if the ratio (standard deviation / arithmetic mean) to the height (thickness) is large within the above range, the transfer accuracy of the nanoparticles 102 is high. Can be kept good.

図6A〜図6Dは、ナノ粒子102の形状の一例を示す図である。なお、図6A〜図6Dにおいては、図4と同様に、第2の積層体2の第1面2a及び第2面2bに対する垂直断面を示している。   6A to 6D are diagrams illustrating an example of the shape of the nanoparticle 102. 6A to 6D show vertical cross sections of the second stacked body 2 with respect to the first surface 2a and the second surface 2b, as in FIG.

図6A〜図6Dに示すように、ナノ粒子102の形状としては、非点対称であり、中心面2cに対して平行な方向の線分Xに対して非線対称であって、且つ、中心面2cに対する垂直方向の線分Yに対して略線対称であることが好ましい。ここでの略線対称とは、完全な線対称のみを意味するものではなく、本発明の効果を奏する範囲内で対称性の崩れた線対称も許容するものである。このようなナノ粒子102の形状としては、第1面2a側の先端が第1面2a側に突出し、第2面2b側の先端が第2面2bに対して略平行な形状を有するものであってもよく(図6A参照)、第2面2b側の先端が第2面2b側に突出し、第1面2a側の先端が第1面2a側に対して略平行な形状を有するものであってもよい(図6B参照)。また、ナノ粒子102の形状としては、第1面2a側の先端が第1面2a側に突出し、第2面2b側の先端が第1面2a側に向けて窪んだ形状を有するものであってもよく(図6C参照)、第2面2b側の先端が第2面2b側に突出し、第1面2a側の先端が第2面2b側に向けて窪んだ形状を有するものであってもよい(図6D参照)。このようなナノ粒子102を用いることにより、ナノ粒子102の配列安定性が向上する。即ち、第1の積層体1に対して第1のマスク層103を成膜した際、第2の積層体2を梱包した際、運搬した際、また、第2の積層体2の第1のマスク層103を被処理体に貼合した際に生じるナノ粒子配列の乱れを抑制することができる。   As shown in FIGS. 6A to 6D, the shape of the nanoparticle 102 is asymmetric with respect to a line segment X in a direction parallel to the center plane 2 c, and is centered. It is preferably substantially line symmetric with respect to the line segment Y in the direction perpendicular to the surface 2c. The term “substantially line symmetric” here does not mean only complete line symmetry, but also allows line symmetry whose symmetry is broken within a range where the effects of the present invention are exhibited. The shape of the nanoparticles 102 is such that the tip on the first surface 2a side protrudes toward the first surface 2a, and the tip on the second surface 2b side has a shape substantially parallel to the second surface 2b. The tip on the second surface 2b side protrudes toward the second surface 2b, and the tip on the first surface 2a side has a shape substantially parallel to the first surface 2a side. It may be present (see FIG. 6B). The nanoparticle 102 has a shape in which the tip on the first surface 2a side protrudes toward the first surface 2a and the tip on the second surface 2b side is recessed toward the first surface 2a. (See FIG. 6C), the tip on the second surface 2b side protrudes to the second surface 2b side, and the tip on the first surface 2a side is recessed toward the second surface 2b side. (See FIG. 6D). By using such nanoparticles 102, the array stability of the nanoparticles 102 is improved. That is, when the first mask layer 103 is formed on the first laminated body 1, when the second laminated body 2 is packaged, transported, and when the first laminated body 2 is transported, It is possible to suppress the disorder of the nanoparticle arrangement that occurs when the mask layer 103 is bonded to the object to be processed.

ナノ粒子102の配列は中心面2cに対して定義される。中心面2c内におけるナノ粒子102の配列は、被処理体上に設けられる高いアスペクト比を有す微細マスクパタンの用途により選択できるため、特に限定されず例えば、正六方配列、準六方配列、準四方配列、正四方配列、ランダム配列や、これらを規則的或いは規則性低く組み合わせた配列等を採用することができる。例えば、六方配列から四方配列へと徐々に変化した後に六方配列に戻る配列等も採用できる。特に、ナノ粒子102の配置精度の観点から、正六方配列における配列の歪が±25%以下の六方配列が好ましい。   The arrangement of the nanoparticles 102 is defined with respect to the center plane 2c. The arrangement of the nanoparticles 102 in the center plane 2c is not particularly limited because it can be selected depending on the use of a fine mask pattern having a high aspect ratio provided on the object to be processed. For example, a regular hexagonal arrangement, a quasi-hexagonal arrangement, A tetragonal array, a regular tetragonal array, a random array, an array obtained by combining these regularly or with low regularity, and the like can be employed. For example, an array that gradually changes from a hexagonal array to a tetragonal array and then returns to the hexagonal array can be employed. In particular, from the viewpoint of arrangement accuracy of the nanoparticles 102, a hexagonal array in which the distortion of the array in the regular hexagonal array is ± 25% or less is preferable.

本実施の形態に係る第2の積層体2は、第1のマスク層103の表面(第1面2a)上に被処理体を設けてもよい。この場合には、第1のマスク層103の表面上に被処理体を設けるか、又は被処理体の一主面上にハードマスク層を設け、このハードマスク層上に第1のマスク層103の表面(第1面2a)を設ける構成となる。   In the second stacked body 2 according to the present embodiment, an object to be processed may be provided on the surface (first surface 2a) of the first mask layer 103. In this case, an object to be processed is provided on the surface of the first mask layer 103, or a hard mask layer is provided on one main surface of the object to be processed, and the first mask layer 103 is provided on the hard mask layer. The surface (first surface 2a) is provided.

第1のマスク層103の表面(第1面2a)側に被処理体を貼合した第2の積層体2(以下、第3の積層体という)を製造し、第3の積層体を別の施設へと搬送することができる。既に説明した用語を使用すれば、第1のラインにて第3の積層体まで製造し、第2のラインにて第3の積層体を使用する状態である。この場合、被処理体と第1のマスク層103と、の界面に異物が侵入することを抑制できる。また、第3の積層体を製造する設備や環境により、第1のマスク層103と被処理体の貼合精度を担保することが可能となる。このため、ナノ粒子102をマスクとして第1のマスク層103を加工するのに最適な施設にて、加工を行うことができる。このため、被処理体を加工し微細パタンを設ける際の、加工精度も向上させることができるため、微細パタンを具備した被処理体を使用し製造されるデバイス(特に半導体発光素子)の製造安定性を向上させることができる。   The second laminated body 2 (hereinafter referred to as the third laminated body) in which the object to be processed is bonded to the surface (first surface 2a) side of the first mask layer 103 is manufactured, and the third laminated body is separated. Can be transported to other facilities. If the term already explained is used, it will be the state which manufactures to the 3rd laminated body in the 1st line, and uses the 3rd laminated body in the 2nd line. In this case, foreign matter can be prevented from entering the interface between the object to be processed and the first mask layer 103. Moreover, it becomes possible to ensure the bonding precision of the 1st mask layer 103 and a to-be-processed object with the installation and environment which manufacture a 3rd laminated body. For this reason, it is possible to perform processing at a facility that is optimal for processing the first mask layer 103 using the nanoparticles 102 as a mask. For this reason, since processing accuracy can be improved when processing the object to be processed and providing a fine pattern, the manufacturing stability of devices (particularly semiconductor light-emitting elements) manufactured using the object to be processed having the fine pattern is improved. Can be improved.

ハードマスク層を被処理体上に予め設けることにより、ハードマスク層上に転写形成された第1のマスク層103及びナノ粒子102に対しドライエッチングを行い、第1のマスク層103及びナノ粒子102から成る高いアスペクト比を有する微細マスクパタンをハードマスク層上に形成できる。微細マスクパタンをマスクとし、ハードマスク層を容易に微細加工することが可能となる。ハードマスク層を微細加工することにより得られたハードマスクパタンをマスクとすることで、容易に被処理体をエッチングすることができる。特に、ハードマスク層を適用することで、被処理体を加工し被処理体上に微細パタンを得る際に、ドライエッチングの他、ウェットエッチングの適用性も向上する。   By providing a hard mask layer on the object to be processed in advance, dry etching is performed on the first mask layer 103 and the nanoparticles 102 transferred and formed on the hard mask layer, so that the first mask layer 103 and the nanoparticles 102 are transferred. A fine mask pattern having a high aspect ratio can be formed on the hard mask layer. Using the fine mask pattern as a mask, the hard mask layer can be easily finely processed. By using the hard mask pattern obtained by finely processing the hard mask layer as a mask, the object to be processed can be easily etched. In particular, by applying the hard mask layer, when processing the object to be processed and obtaining a fine pattern on the object to be processed, applicability of wet etching is improved in addition to dry etching.

ハードマスク層は、被処理体との選択比(被処理体のエッチングレート/ハードマスク層のエッチングレート)により決定されれば、その材質は特に限定されない。当該選択比は、加工性の観点から1以上であることが好ましく、3以上であることがより好ましい。加工される被処理体のアスペクト比を高くする観点からは、当該選択比は5以上であることが好ましく、10以上であるとより好ましい。ハードマスク層を薄くできるため、選択比は15以上であるとなお好ましい。ハードマスク層の材質は限定されないが、例えば、シリカ、チタニア、スピンオングラス(SOG)、スピンオンカーボン(SOC)や、クロム、アルミ、タングステン、ハフニウムやその酸化物等を使用することができる。また、エッチング時の加工性の観点から、ハードマスク層の厚みは、5nm以上500nm以下であることが好ましく、5nm以上300nm以下であるとより好ましく、5nm以上150nm以下であると最も好ましい。   The material of the hard mask layer is not particularly limited as long as it is determined by the selection ratio with the object to be processed (the etching rate of the object to be processed / the etching rate of the hard mask layer). The selection ratio is preferably 1 or more from the viewpoint of workability, and more preferably 3 or more. From the viewpoint of increasing the aspect ratio of the object to be processed, the selection ratio is preferably 5 or more, and more preferably 10 or more. Since the hard mask layer can be thinned, the selection ratio is more preferably 15 or more. Although the material of the hard mask layer is not limited, for example, silica, titania, spin-on-glass (SOG), spin-on-carbon (SOC), chromium, aluminum, tungsten, hafnium, an oxide thereof, or the like can be used. From the viewpoint of workability during etching, the thickness of the hard mask layer is preferably 5 nm to 500 nm, more preferably 5 nm to 300 nm, and most preferably 5 nm to 150 nm.

なお、ハードマスク層は多層構造であってもよい。ここで多層とは、ハードマスク層の膜厚方向への積層を意味する。例えば、被処理体の主面上に第1のハードマスク層(1)が設けられ、この第1のハードマスク層(1)上に第2のハードマスク層(2)が設けられてもよい。同様に、第Nのハードマスク(N)上に第N+1のハードマスク層(N+1)が設けられてもよい。ハードマスク層の積層数は、ハードマスク層の加工性及び、被処理体の加工精度の観点から10以下であることが好ましく、5以下であることがより好ましく、3以下であることが最も好ましい。   The hard mask layer may have a multilayer structure. Here, the multilayer means the lamination of the hard mask layers in the film thickness direction. For example, the first hard mask layer (1) may be provided on the main surface of the object to be processed, and the second hard mask layer (2) may be provided on the first hard mask layer (1). . Similarly, an N + 1th hard mask layer (N + 1) may be provided on the Nth hard mask (N). The number of stacked hard mask layers is preferably 10 or less, more preferably 5 or less, and most preferably 3 or less from the viewpoints of workability of the hard mask layer and processing accuracy of the object to be processed. .

ハードマスク層が多層構造の場合の、各層の厚みは、5nm以上150nm以下であることが好ましく、また全ての層の総膜厚は、単層の場合も含めると、500nm以下であることが好ましい。特に、総膜厚は300nm以下であることが好ましく、150nm以下であることがより好ましい。   When the hard mask layer has a multilayer structure, the thickness of each layer is preferably 5 nm or more and 150 nm or less, and the total film thickness of all layers is preferably 500 nm or less, including a single layer. . In particular, the total film thickness is preferably 300 nm or less, and more preferably 150 nm or less.

2層のハードマスク層の構成としては、例えば、被処理体の主面上にSiOが成膜され、このSiO上にCrが成膜された構成が挙げられる。また、3層のハードマスク層の構成としては、例えば、被処理体の主面上にSiOが成膜され、SiO上にCrが成膜され、Cr上にSiOが成膜される構成や、被処理体の主面上に、SiOが成膜され、SiO上にSOGが成膜され、SOG上にSOCが成膜される構成や、被処理体の主面上に、SiOが成膜され、SiO上にSOCが成膜され、SOC上にSOGが成膜される構成等が挙げられる。 Examples of the configuration of the two hard mask layers include a configuration in which SiO 2 is formed on the main surface of the object to be processed, and Cr is formed on the SiO 2 . As the configuration of the 3-layer hard mask layer, for example, SiO 2 is deposited on the main surface of the object, Cr is deposited on the SiO 2, SiO 2 is deposited on the Cr On the main surface of the object to be processed, on the main surface of the object to be processed, SiO 2 is formed on the main surface of the object to be processed, SOG is formed on SiO 2 , and SOC is formed on the SOG. SiO 2 is deposited, SOC is formed on SiO 2, configuration and the like SOG is deposited and the like on the SOC.

次に、本実施の形態に係る第2の積層体2を用いた微細パタン形成方法の概略について簡単に説明する。図7Aに示すように、第2の積層体2の第1のマスク層103と被処理体200とを貼合する。   Next, an outline of a fine pattern forming method using the second laminate 2 according to the present embodiment will be briefly described. As shown to FIG. 7A, the 1st mask layer 103 of the 2nd laminated body 2 and the to-be-processed object 200 are bonded.

次に、図7Cに示すように、第1のマスク層103及びナノ粒子102から保護層101を剥離することで、ナノ粒子102/第1のマスク層103/被処理体200から構成される積層体201を得ることができる。なお、保護層101の剥離は、保護層101を物理的に剥離する方法のみならず、保護層101の膨潤溶解や保護層101の化学的溶解に替えることもできる。なお、図7Aにおいては、保護層101は支持基材100上に設けられている。上記保護層101の剥離は、保護層101と支持基材100の剥離を意味する。ここで、第1のマスク層103とナノ粒子102の少なくとも一方に硬化性物質が含有される場合、第2の積層体2の第1のマスク層103と被処理体200とを貼合した状態及び/又は積層体201の状態にて硬化を促進させることが好ましい。硬化が光重合の場合は、少なくともエネルギー線を照射すると好ましい。光重合性物質が含有される場合は、特に、図7Bに示すように、第2の積層体2の第1のマスク層103と被処理体200とを貼合した後に、保護層101或いは被処理体200の少なくとも一方からエネルギー線を照射すると好ましい。一方、硬化が熱重合の場合は、少なくとも加熱を行うと好ましい。このように第2の積層体2に硬化性物質が含まれる場合、第1のマスク層103を加工マスクとして被処理体200を加工する前に、硬化を促進させると、被処理体200の加工精度を向上させることができる。特に、第1のマスク層103にガラス転移温度が存在する場合、第1のマスク層103の硬化を促進することで、ガラス転移温度が大きくなるため、被処理体200の加工精度を向上させることができる。   Next, as shown in FIG. 7C, the protective layer 101 is peeled off from the first mask layer 103 and the nanoparticles 102, whereby the stack composed of the nanoparticles 102 / first mask layer 103 / object 200 is formed. A body 201 can be obtained. Note that the peeling of the protective layer 101 can be replaced by not only a method of physically peeling the protective layer 101 but also swelling dissolution of the protective layer 101 and chemical dissolution of the protective layer 101. In FIG. 7A, the protective layer 101 is provided on the support substrate 100. The peeling of the protective layer 101 means peeling of the protective layer 101 and the support substrate 100. Here, when a curable substance is contained in at least one of the first mask layer 103 and the nanoparticles 102, the first mask layer 103 of the second laminate 2 and the target object 200 are bonded together. And / or it is preferable to promote hardening in the state of the laminated body 201. When the curing is photopolymerization, it is preferable to irradiate at least energy rays. In the case where a photopolymerizable substance is contained, in particular, as shown in FIG. 7B, after the first mask layer 103 of the second laminate 2 and the object to be processed 200 are bonded, the protective layer 101 or the object to be processed is bonded. It is preferable to irradiate energy rays from at least one of the treatment bodies 200. On the other hand, when the curing is thermal polymerization, it is preferable to perform at least heating. As described above, when the second laminated body 2 contains a curable substance, if the curing is promoted before the target object 200 is processed using the first mask layer 103 as a processing mask, the processing of the target object 200 is performed. Accuracy can be improved. In particular, when the glass transition temperature is present in the first mask layer 103, the glass transition temperature is increased by promoting the curing of the first mask layer 103, so that the processing accuracy of the workpiece 200 is improved. Can do.

次に、図7Dに示すように、得られた積層体201のナノ粒子102をマスクとして第1のマスク層103をエッチングすることにより、被処理体200上に高いアスペクト比を有する微細マスクパタン202aが設けられた微細パタン構造体202が得られる。すなわち、被処理体200上に微細加工されたナノ粒子102/第1のマスク層103から構成される微細マスクパタン202aを形成できる。この微細マスクパタン202aをマスクとして、被処理体200をエッチングすることで、図7Eに示すように、被処理体200が難加工基材である場合であっても、容易に加工することが可能となる。最後に、図7Fに示すように、被処理体200上の残渣(第1のマスク層103)を除去することにより、微細パタン220が形成された被処理体200を得ることができる。   Next, as shown in FIG. 7D, the first mask layer 103 is etched using the nanoparticles 102 of the obtained stacked body 201 as a mask, so that a fine mask pattern 202a having a high aspect ratio is formed on the target object 200. As a result, a fine pattern structure 202 provided with is obtained. That is, a fine mask pattern 202a composed of the nano-particles 102 / the first mask layer 103 finely processed can be formed on the object 200. By etching the object to be processed 200 using the fine mask pattern 202a as a mask, even if the object to be processed 200 is a difficult-to-process substrate as shown in FIG. 7E, it can be easily processed. It becomes. Finally, as shown in FIG. 7F, by removing the residue (first mask layer 103) on the target object 200, the target object 200 on which the fine pattern 220 is formed can be obtained.

このように、ナノ粒子102/第1のマスク層103/被処理体200からなる積層体201を、第2の積層体2を直接、被処理体200上に貼合することで転写形成できる。これにより、ナノインプリント(転写)におけるレジスト層の充填や均等な押圧といったノウハウを排除でき、かつ、一般的な方法であるラミネートを用いて転写を行うことができるので、より簡便に積層体201を得ることが可能となる。更に、第2の積層体2を使用することで、第1のマスク層103の膜厚分布を第1のマスク層103の塗工精度で担保することが可能となる。すなわち、被処理体200への貼合及び転写による第1のマスク層103の膜厚分布をより小さくすることが可能となり、積層体201おいて、被処理体200面内における第1のマスク層103の膜厚分布精度を向上させることができ、被処理体200上にエッチングにより形成される微細マスクパタン202aの分布精度を向上させることができる。このため、第2の積層体2を使用することで、被処理体200面内における微細マスクパタン202aの分布精度を向上させることができ、発現される機能の面内分布を小さくすることができる。微細マスクパタン202aをマスクとして被処理体200を使用し加工する場合、加工された被処理体200の微細パタン220は、被処理体200面内において高い分布精度を有すこととなる。   In this manner, the laminate 201 composed of the nanoparticles 102 / the first mask layer 103 / the object to be processed 200 can be transferred and formed by laminating the second laminate 2 directly on the object to be processed 200. Thereby, know-how such as filling of the resist layer and uniform pressing in nanoimprint (transfer) can be eliminated, and transfer can be performed using a laminate which is a general method, and thus a laminate 201 can be obtained more easily. It becomes possible. Further, by using the second stacked body 2, it is possible to ensure the film thickness distribution of the first mask layer 103 with the coating accuracy of the first mask layer 103. That is, it becomes possible to further reduce the film thickness distribution of the first mask layer 103 by bonding and transfer to the object to be processed 200, and in the stacked body 201, the first mask layer in the surface of the object to be processed 200. The film thickness distribution accuracy of 103 can be improved, and the distribution accuracy of the fine mask pattern 202a formed by etching on the workpiece 200 can be improved. For this reason, by using the second stacked body 2, it is possible to improve the distribution accuracy of the fine mask pattern 202 a in the surface of the target object 200, and to reduce the in-plane distribution of the function to be expressed. . When processing the target object 200 using the fine mask pattern 202a as a mask, the fine pattern 220 of the processed target object 200 has high distribution accuracy in the surface of the target object 200.

第2の積層体2を用い被処理体200の表面に微細パタン220を形成する方法においては、微細マスクパタン202aを被処理体200上に形成する観点から、ナノ粒子102をマスクとして用いた第1のマスク層103の加工は、ドライエッチングであることが好ましい。このドライエッチングによるナノ粒子102のエッチングレート(Vm1)と第1のマスク層103のエッチングレート(Vo1)との比率(Vo1/Vm1)は、ナノ粒子102をマスクとして第1のマスク層103をエッチングする際の加工精度に影響を与える。Vo1/Vm1>1は、ナノ粒子102が第1のマスク層103よりもエッチングされにくいことを意味するため、大きいほど好ましい。また、ナノ粒子102の保護層101への配置性の観点から、Vo1/Vm1≦1000であることが好ましく、Vo1/Vm1≦150がより好ましく、Vo1/Vm1≦100が最も好ましい。   In the method of forming the fine pattern 220 on the surface of the object to be processed 200 using the second stacked body 2, the nanoparticle 102 is used as a mask from the viewpoint of forming the fine mask pattern 202 a on the object to be processed 200. The processing of one mask layer 103 is preferably dry etching. The ratio (Vo1 / Vm1) of the etching rate (Vm1) of the nanoparticles 102 by the dry etching and the etching rate (Vo1) of the first mask layer 103 is obtained by etching the first mask layer 103 using the nanoparticles 102 as a mask. It affects the processing accuracy when performing. Vo1 / Vm1> 1 means that the nanoparticles 102 are less likely to be etched than the first mask layer 103, and thus it is preferable that the ratio is larger. Further, from the viewpoint of the disposition property of the nanoparticles 102 to the protective layer 101, Vo1 / Vm1 ≦ 1000 is preferable, Vo1 / Vm1 ≦ 150 is more preferable, and Vo1 / Vm1 ≦ 100 is most preferable.

以上のような観点から、ナノ粒子102のエッチングレート(Vm1)と第1のマスク層103のエッチングレート(Vo1)との比率(Vo1/Vm1)が、下記式(2)を満たすが好ましい。これにより、ナノ粒子102の耐エッチング性が向上し、ナノ粒子102のエッチング量が低減されるので、微細パタン構造体202を形成することが可能となる。
式(2)
3≦Vo1/Vm1
From the above viewpoint, it is preferable that the ratio (Vo1 / Vm1) of the etching rate (Vm1) of the nanoparticles 102 and the etching rate (Vo1) of the first mask layer 103 satisfies the following formula (2). Thereby, the etching resistance of the nanoparticles 102 is improved and the etching amount of the nanoparticles 102 is reduced, so that the fine pattern structure 202 can be formed.
Formula (2)
3 ≦ Vo1 / Vm1

また、比率(Vo1/Vm1)は、10≦Vo1/Vm1であることがより好ましく、15≦Vo1/Vm1であることがさらに好ましい。比率(Vo1/Vm1)が上記範囲を満たすことにより、厚みのある第1のマスク層103を用いた場合であっても、ナノ粒子102をマスクとしたドライエッチングによって、第1のマスク層103を容易に微細加工することができる。これにより、微細加工された微細マスクパタン202aを、被処理体200上に形成することができる。このような、微細マスクパタン202aを用いることで、被処理体200を容易にドライエッチング加工することや、被処理体200上に超撥水性や超親水性、粘着性、センサといった機能を付与することができる。例えば、センサの場合、ナノ粒子102に金や銀に代表される金属を選定することでセンサを作製できる。このような金属表面に微量物質(所定の病気の進行度又は感染度等をはかる指標となる分子等)が付着した場合、金属表面の表面プラズモン(表面プラズモンポラリトン)を利用し、測定困難なppmやppbといった微量濃度であっても、光学系により感度を倍増させ検知することが可能となる。   The ratio (Vo1 / Vm1) is more preferably 10 ≦ Vo1 / Vm1, and further preferably 15 ≦ Vo1 / Vm1. When the ratio (Vo1 / Vm1) satisfies the above range, even when the thick first mask layer 103 is used, the first mask layer 103 is formed by dry etching using the nanoparticles 102 as a mask. Microfabrication can be easily performed. Thereby, the finely processed fine mask pattern 202a can be formed on the workpiece 200. By using such a fine mask pattern 202a, the object to be processed 200 can be easily dry-etched, and functions such as super water repellency, super hydrophilicity, adhesiveness, and sensor can be provided on the object 200. be able to. For example, in the case of a sensor, the sensor can be produced by selecting a metal typified by gold or silver as the nanoparticle 102. When trace substances (molecules that measure the degree of progression or infection of a given disease) adhere to such metal surfaces, it is difficult to measure using surface plasmons (surface plasmon polaritons) on metal surfaces. Even a trace concentration such as ppb or ppb can be detected by doubling the sensitivity by the optical system.

一方、第1のマスク層103のエッチング時のエッチング異方性(横方向のエッチングレート(Vo//)と縦方向のエッチングレート(Vo)、との比率(Vo/Vo//)は、Vo/Vo//>1であることが好ましく、より大きいほど好ましい。なお、縦方向とは、第1のマスク層103の膜厚方向を意味し、横方向とは、第1のマスク層103の面内方向を意味する。また、比率(Vo/Vo//)は、微細マスクパタン202aを被処理体200上に形成する観点から、用途にもよるが、概ね、Vo/Vo//≧2であることが好ましく、Vo/Vo//≧3.5であることがより好ましく、Vo/Vo//≧10であることがさらに好ましい。得られる微細マスクパタン202aを用い、被処理体200を加工する場合は、ピッチがサブミクロン以下の領域においては、高さの高い第1のマスク層103を安定的に形成する観点、及び、被処理体200を容易にドライエッチングする観点から、第1のマスク層103の幅(径)を大きく保つ必要がある。上記範囲を満たすことにより、ドライエッチング後の第1のマスク層103の幅(幹の太さ)を大きく保つことができるため、好ましい。 On the other hand, the etching anisotropy in etching the first mask layer 103 (lateral etching rate (Vo //) and vertical etching rate (Vo ⊥), the ratio of (Vo / Vo //) is , Vo / Vo // >> 1. It is more preferable that the ratio is larger, where the vertical direction means the film thickness direction of the first mask layer 103, and the horizontal direction means the first mask. It means the in-plane direction of the layer 103. Further, the ratio ( V0 / Vo // ) depends on the use from the viewpoint of forming the fine mask pattern 202a on the workpiece 200, but generally V0 / it is preferably Vo // ≧ 2, more preferably from Vo / Vo // ≧ 3.5, the Vo / Vo // ≧ 10 and it is further preferred. the resulting fine mask pattern 202a Used, treated When processing the physical body 200, in a region where the pitch is submicron or less, a viewpoint of stably forming the first mask layer 103 having a high height, and a viewpoint of easily dry-etching the target object 200 Therefore, it is necessary to keep the width (diameter) of the first mask layer 103 large, and by satisfying the above range, the width (thickness of the trunk) of the first mask layer 103 after dry etching can be kept large. This is preferable because it is possible.

被処理体200としては、微細パタン構造体202の用途(撥水性、親水性、粘着性、センサ、基材加工用のマスク等)により適宜選定することができる特に限定されない。そのため、被処理体200としては、樹脂フィルム、樹脂板、樹脂レンズ、無機基材、無機フィルム、無機レンズ等を用いることができる。   The target object 200 is not particularly limited and can be appropriately selected depending on the use of the fine pattern structure 202 (water repellency, hydrophilicity, adhesiveness, sensor, mask for substrate processing, etc.). Therefore, as the object to be processed 200, a resin film, a resin plate, a resin lens, an inorganic base material, an inorganic film, an inorganic lens, or the like can be used.

特に、微細マスクパタン202aをマスクとして使用して被処理体200を加工する場合は、被処理体200としては、例えば、合成石英や溶融石英に代表される石英、無アルカリガラス、低アルカリガラス、ソーダライムガラスに代表されるガラスや、シリコンウェハ、ニッケル板、サファイア、ダイヤモンド、SiC、マイカ、ZnO、半導体基板(窒化物半導体基板等)、スピネル基板やITO等の無機基材を用いることができる。また、第2の積層体2が屈曲性のある場合、被処理体200としては、曲率を持つ外形を有する無機基材(例えば、レンズ形状、円筒・円柱形状、球形状等)を選定することもできる。特に、第2の積層体2がフィルム状(リール状)の場合、裁断やパンチング加工により第2の積層体2の形状を容易に変えることができる。これにより、所定形状の微細マスクパタン202aを被処理体200の表面に任意に形成することができる。このため、例えば、被処理体200が円筒状やレンズ状である場合であっても、被処理体の表面の全て、又は部分的に微細マスクパタン202aを形成することが可能となる。   In particular, when the target object 200 is processed using the fine mask pattern 202a as a mask, examples of the target object 200 include quartz typified by synthetic quartz and fused silica, non-alkali glass, low alkali glass, Glass represented by soda lime glass, inorganic substrates such as silicon wafer, nickel plate, sapphire, diamond, SiC, mica, ZnO, semiconductor substrate (such as nitride semiconductor substrate), spinel substrate and ITO can be used. . Further, when the second laminated body 2 is flexible, an inorganic base material having a curved outer shape (for example, a lens shape, a cylindrical / columnar shape, a spherical shape, etc.) is selected as the object 200 to be processed. You can also. In particular, when the second laminate 2 is a film (reel), the shape of the second laminate 2 can be easily changed by cutting or punching. Thereby, the fine mask pattern 202a of a predetermined shape can be arbitrarily formed on the surface of the object 200. For this reason, for example, even when the object to be processed 200 has a cylindrical shape or a lens shape, the fine mask pattern 202a can be formed entirely or partially on the surface of the object to be processed.

また、ドライエッチングによる被処理体200のエッチングレート(Vi2)と第1のマスク層103のエッチングレート(Vo2)との比率(Vo2/Vi2)は、小さいほど好ましい。Vo2/Vi2<1であれば、第1のマスク層103のエッチングレートの方が、被処理体200のエッチングレートよりも小さいため、被処理体200を容易に加工することができる。   The ratio (Vo2 / Vi2) between the etching rate (Vi2) of the target object 200 by dry etching and the etching rate (Vo2) of the first mask layer 103 is preferably as small as possible. If Vo2 / Vi2 <1, the etching rate of the first mask layer 103 is smaller than the etching rate of the workpiece 200, so that the workpiece 200 can be easily processed.

エッチングレートの比率(Vo2/Vi2)が、下記式(3)を満たすことが好ましい。これにより、第1のマスク層103の塗工性、及びエッチング精度が向上するので、微細パタン構造体202を形成することが可能となる。また、エッチングレートの比率(Vo2/Vi2)としては、Vo2/Vi2≦2.5であることがより好ましく、第1のマスク層103を薄くできる観点から、Vo2/Vi2≦2であることがさら好ましく、Vo2/Vi2≦1を満たすことが最も好ましい。Vo2/Vi2≦1を満たすことで、被処理体200上に形成された微細マスクパタン202aをマスクとして、被処理体200を加工する際の、加工精度がいっそう向上し、更に被処理体200上に設けられる微細パタン220の形状制御性が向上する。更に、Vo2/Vi2≦0.8を満たすことで、被処理体200に設けられる微細パタン220の深さを深くできるため好ましい。なお、下限値は0.05以上であると好ましい。この範囲を満たすことにより、第1のマスク層103或いはナノ粒子102の側面が荒れた場合であっても、被処理体200に設けられる微細パタン220の凹凸表面の平滑性を向上させることができる。同様の観点から、Vo2/Vi2≧0.1を満たすことが好ましく、Vo2/Vi2≧0.2を満たすことがより好ましく、Vo2/Vi2≧0.4を満たすことが最も好ましい。
式(3)
Vo2/Vi2≦3
It is preferable that the etching rate ratio (Vo2 / Vi2) satisfies the following formula (3). Thereby, the coating property and etching accuracy of the first mask layer 103 are improved, so that the fine pattern structure 202 can be formed. Further, the etching rate ratio (Vo2 / Vi2) is more preferably Vo2 / Vi2 ≦ 2.5. From the viewpoint of making the first mask layer 103 thinner, it is more preferable that Vo2 / Vi2 ≦ 2. It is preferable that Vo2 / Vi2 ≦ 1 is satisfied. By satisfying Vo2 / Vi2 ≦ 1, the processing accuracy when processing the target object 200 is further improved using the fine mask pattern 202a formed on the target object 200 as a mask, and further on the target object 200. The shape controllability of the fine pattern 220 provided on the surface is improved. Furthermore, satisfying Vo2 / Vi2 ≦ 0.8 is preferable because the depth of the fine pattern 220 provided on the workpiece 200 can be increased. The lower limit is preferably 0.05 or more. By satisfying this range, even if the side surface of the first mask layer 103 or the nanoparticles 102 is rough, the smoothness of the uneven surface of the fine pattern 220 provided on the object 200 can be improved. . From the same viewpoint, it is preferable to satisfy Vo2 / Vi2 ≧ 0.1, more preferable to satisfy Vo2 / Vi2 ≧ 0.2, and most preferable to satisfy Vo2 / Vi2 ≧ 0.4.
Formula (3)
Vo2 / Vi2 ≦ 3

このように、本実施の形態に係る第2の積層体2は、保護層101の表面に複数のナノ粒子102が配列され、複数のナノ粒子102を覆うように第1のマスク層103が設けられる構成を有す。これにより、第1のマスク層103を被処理体200に貼合し、被処理体200上に第1のマスク層103及びナノ粒子102からなる積層体201を作製した際に、第1のマスク層103の表面には、第1のマスク層103の厚み方向に重なり合うナノ粒子102が殆ど存在しなくなる。この結果、被処理体200上に微細マスクパタン202aを形成する際のドライエッチング工程において、残膜に相当する積層体201の互いに重なり合うナノ粒子102を除去する工程を省くことができる。よって、被処理体200上に形成される微細マスクパタン202aの加工精度を向上させることが可能となり、被処理体200上に更なる加工により設けられる微細パタン220の精度が向上する。   Thus, in the second stacked body 2 according to the present embodiment, a plurality of nanoparticles 102 are arranged on the surface of the protective layer 101, and the first mask layer 103 is provided so as to cover the plurality of nanoparticles 102. Has a configuration. Accordingly, when the first mask layer 103 is bonded to the object to be processed 200, and the stacked body 201 including the first mask layer 103 and the nanoparticles 102 is formed on the object to be processed 200, the first mask layer 103 is formed. On the surface of the layer 103, there are almost no nanoparticles 102 overlapping in the thickness direction of the first mask layer 103. As a result, in the dry etching process when the fine mask pattern 202a is formed on the target object 200, the process of removing the overlapping nanoparticles 102 of the stacked body 201 corresponding to the remaining film can be omitted. Therefore, it is possible to improve the processing accuracy of the fine mask pattern 202a formed on the target object 200, and the accuracy of the fine pattern 220 provided on the target object 200 by further processing is improved.

更に、第1のマスク層103とナノ粒子102のエッチングレート比率が所定の範囲を満たすことにより、容易に第1のマスク層103を微細加工可能となるため、微細マスクパタン202aを被処理体200上に安定的に形成することが可能となる。   Furthermore, since the first mask layer 103 can be easily finely processed by satisfying the etching rate ratio between the first mask layer 103 and the nanoparticles 102 within a predetermined range, the fine mask pattern 202a is formed on the workpiece 200. It becomes possible to form stably on top.

また、第1のマスク層103及びナノ粒子102内部に残存する溶剤の濃度は、以下の基準に従い測定した際に、2100(g/ml)/m以下であると好ましい。この範囲を満たすことにより、第2の積層体2の被処理体200に対する貼合精度、ナノ粒子102による第1のマスク層103の加工精度、第1のマスク層103による被処理体200の加工精度を向上させることができる。前記効果をより発揮する観点から、1200(g/ml)/m以下であることが好ましく、600(g/ml)/m以下であることがより好ましく、250(g/ml)/m以下であることが最も好ましい。更に、保護層101の表面状態によらず、ナノ粒子102及び第1のマスク層103の転写精度を向上させる観点から、170(g/ml)/m以下であることが好ましく、150(g/ml)/m以下であることがより好ましく、130(g/ml)/m以下であることが最も好ましい。
1.第2の積層体2を20mm×20mmにカットし、10mLのアセトンにてメスアップし、溶液を採取する。
2.採取した溶液を、GC/MS装置を使用しSIM法により分析を行い、溶剤量を「g/ml」のディメンジョンにて求める。
3.第2の積層体2の第1のマスク層103及びナノ粒子102の平均総厚みhave[m]を求める。
4.2.の結果を、第1のマスク層103及びナノ粒子102の体積(0.02m×0.02m×have)にて除した値を第1のマスク層103及びナノ粒子102内部に残存する溶剤の濃度とする。
The concentration of the solvent remaining in the first mask layer 103 and the nanoparticles 102 is preferably 2100 (g / ml) / m 3 or less when measured according to the following criteria. By satisfying this range, the bonding accuracy of the second laminate 2 to the target object 200, the processing accuracy of the first mask layer 103 by the nanoparticles 102, and the processing of the target object 200 by the first mask layer 103 are performed. Accuracy can be improved. From the viewpoint of more exerting the effect, it is preferably 1200 (g / ml) / m 3 or less, more preferably 600 (g / ml) / m 3 or less, 250 (g / ml) / m. Most preferably, it is 3 or less. Furthermore, from the viewpoint of improving the transfer accuracy of the nanoparticles 102 and the first mask layer 103 regardless of the surface state of the protective layer 101, it is preferably 170 (g / ml) / m 3 or less, and 150 (g / Ml) / m 3 or less, more preferably 130 (g / ml) / m 3 or less.
1. The 2nd laminated body 2 is cut into 20 mm x 20 mm, measured up with 10 mL acetone, and a solution is extract | collected.
2. The collected solution is analyzed by the SIM method using a GC / MS apparatus, and the amount of the solvent is obtained with a dimension of “g / ml”.
3. The average total thickness have [m] of the first mask layer 103 and the nanoparticles 102 of the second laminate 2 is obtained.
4.2. The value obtained by dividing the result by the volume of the first mask layer 103 and the nanoparticles 102 (0.02 m × 0.02 m × have) is the concentration of the solvent remaining in the first mask layer 103 and the nanoparticles 102. And

以下、各構成要素の材質等について詳細に説明する。   Hereinafter, the material of each component will be described in detail.

[保護層]
保護層101は、平板状、フィルム状又はリール状であることが好ましい、特にフィルム状或いはリール状であることにより、第2の積層体2を被処理体200に貼合する際の容易性及び精度が向上する。また、保護層101は、支持基材を用いずに形成されていてもよく、支持基材上に形成されていてもよい。
[Protective layer]
The protective layer 101 is preferably in the form of a flat plate, a film, or a reel. In particular, when the protective layer 101 is in the form of a film or a reel, the ease of bonding the second laminate 2 to the object 200 and Accuracy is improved. Moreover, the protective layer 101 may be formed without using a support base material, and may be formed on the support base material.

支持基材を具備しない保護層101としては、軟質なポリジメチルシロキサン(PDMS)、COP、ポリイミド、ポリエチレン、PET、ポリオレフィン樹脂、フッ素樹脂や、熱可塑性樹脂によって構成されたフィルム状の保護層が挙げられる。また、保護層101としては、無機材料で構成された平板状の保護層を用いてもよい。無機材料としては、石英、ガラス、シリコン、ニッケル、ダイヤモンドライクカーボン、フッ素含有ダイヤモンドライクカーボン、SiC、ダイヤモンド、クロム、サファイア等が挙げられる。   Examples of the protective layer 101 that does not include a supporting substrate include a film-like protective layer made of soft polydimethylsiloxane (PDMS), COP, polyimide, polyethylene, PET, polyolefin resin, fluororesin, or thermoplastic resin. It is done. Further, as the protective layer 101, a flat protective layer made of an inorganic material may be used. Examples of the inorganic material include quartz, glass, silicon, nickel, diamond-like carbon, fluorine-containing diamond-like carbon, SiC, diamond, chromium, and sapphire.

硬質な平板状の保護層101を使用することで、保護層101の面精度を高く保つことができる。ここで面精度とは、ナノ粒子102の第2面2b側の端部位置と保護層101のナノ粒子102とは反対側の面(第2面2b)との平行度を意味する。このような平行度(面精度)の高い保護層101を使用した第2の積層体2を使用することで作製される積層体201の面精度(被処理体200の一主面とナノ粒子102の頂部により構成される面との平行度)を高く保つことができる。これにより、ドライエッチングにより作製される微細パタン積層体201の微細マスクパタン202aの面精度(被処理体200の一主面とナノ粒子102の頂部により構成される面との平行度)を向上させることが可能となり、加工される被処理体200の微細パタン220の面精度(被処理体200の具備する微細パタン220の頂部により構成される面と、微細パタン220の凹部底部により構成される面との平行度)を高く保つことができる。   By using the hard flat protective layer 101, the surface accuracy of the protective layer 101 can be kept high. Here, the surface accuracy means the parallelism between the end position of the nanoparticle 102 on the second surface 2b side and the surface of the protective layer 101 opposite to the nanoparticle 102 (second surface 2b). The surface accuracy (one main surface of the object 200 and the nanoparticles 102) of the stacked body 201 produced by using the second stacked body 2 using the protective layer 101 having such a high degree of parallelism (surface accuracy). The degree of parallelism with the surface formed by the tops of the two can be kept high. This improves the surface accuracy of the fine mask pattern 202a of the fine pattern laminate 201 produced by dry etching (the parallelism between the one main surface of the object 200 and the top of the nanoparticles 102). The surface accuracy of the fine pattern 220 of the workpiece 200 to be processed (the surface constituted by the top of the fine pattern 220 included in the workpiece 200 and the surface constituted by the bottom of the concave portion of the fine pattern 220) Parallelism) can be kept high.

例えば、LEDの内部量子効率と光取り出し効率を同時に向上させるために、サファイア基板、Si(シリコン)基板、ITO基板、ZnO基板、SiC(炭化ケイ素)基板、窒化物半導体基板又はスピネル基板を被処理体200として微細加工する場合、基板上に作製される微細パタン220の大きさ及び分布が重要となる。保護層101の面精度により基板上の微細パタン220の高さ精度を担保できることは、ドライエッチングにより形成される微細マスクパタン202aの幹の太さの精度の担保に直結する。幹の太さの精度は、基板上に形成される微細パタン220の大きさ精度に直結する。更に、ステップアンドリピート方式での転写が可能となり、生産性を高く保つこともできる。   For example, in order to simultaneously improve the internal quantum efficiency and light extraction efficiency of LEDs, sapphire substrates, Si (silicon) substrates, ITO substrates, ZnO substrates, SiC (silicon carbide) substrates, nitride semiconductor substrates, or spinel substrates are processed. When microfabrication is performed as the body 200, the size and distribution of the fine pattern 220 produced on the substrate is important. The fact that the height accuracy of the fine pattern 220 on the substrate can be ensured by the surface accuracy of the protective layer 101 is directly linked to the accuracy of the thickness of the trunk of the fine mask pattern 202a formed by dry etching. The accuracy of the thickness of the trunk is directly related to the size accuracy of the fine pattern 220 formed on the substrate. Furthermore, transfer by a step-and-repeat method is possible, and productivity can be kept high.

一方、軟質な保護層101を使用することで、第2の積層体2を被処理体200に貼合する際の大きな気泡の巻き込みを抑制することができる。更に、被処理体200表面の不陸やパーティクルを吸収することができるため、転写精度が向上する。これらの効果は、積層体201の転写形成精度を向上させると共に、微細マスクパタン202aをマスクとして見立てて被処理体200を加工する際の加工精度を向上させる。   On the other hand, by using the soft protective layer 101, entrainment of large bubbles when the second laminate 2 is bonded to the object 200 can be suppressed. Furthermore, since unevenness and particles on the surface of the object 200 can be absorbed, transfer accuracy is improved. These effects improve the transfer formation accuracy of the laminate 201 and improve the processing accuracy when processing the target object 200 with the fine mask pattern 202a as a mask.

支持基材(図7A及び図7B中100)を具備する保護層101としては、硬質な支持基材と軟質な保護層101との組み合わせ、軟質な支持基材と硬質な保護層101との組み合わせ、又は、軟質な支持基材と軟質な保護層101との組み合わせが挙げられる。特に、軟質な支持基材100と軟質な保護層101との組み合わせは、第2の積層体2を連続的にロール・ツー・ロールプロセスにて製造することに適している。更に、得られた軟質且つリール状(フィルム状)の第2の積層体2を使用することで、被処理体200へと連続的に且つ転写精度・転写速度高く、第1のマスク層103及びナノ粒子102を転写形成する、すなわち、連続的に高精度に積層体201を得ることが可能となる。また、軟質且つリール状(フィルム状)の保護層101を具備する第2の積層体2を使用することで、被処理体に対し、任意の部分に第1のマスク層103及びナノ粒子102を転写形成することができる。なお、曲面の場合も同様である。   As the protective layer 101 having a supporting base (100 in FIGS. 7A and 7B), a combination of a hard supporting base and a soft protective layer 101, a combination of a soft supporting base and a hard protective layer 101 Or the combination of a soft support base material and the soft protective layer 101 is mentioned. In particular, the combination of the soft support substrate 100 and the soft protective layer 101 is suitable for manufacturing the second laminate 2 continuously by a roll-to-roll process. Further, by using the obtained soft and reel-like (film-like) second laminate 2, the first mask layer 103 and the second mask 2 can be continuously and highly accurately transferred to the object 200. The nanoparticles 102 can be transferred and formed, that is, the laminate 201 can be obtained continuously and with high accuracy. In addition, by using the second laminated body 2 including the soft and reel-shaped (film-shaped) protective layer 101, the first mask layer 103 and the nanoparticles 102 can be formed on arbitrary portions of the object to be processed. It can be transferred and formed. The same applies to a curved surface.

硬質な支持基材と軟質な保護層101との組み合わせとしては、ガラス、石英、シリコン、SUS、アルミ板等の無機材料で構成される支持基材と、PDMS、ポリイミド、ポリエチレン、PET、COP、フッ素樹脂、光硬化性樹脂等で構成される保護層101との組み合わせ等が挙げられる。   As a combination of a hard support base and a soft protective layer 101, a support base made of an inorganic material such as glass, quartz, silicon, SUS, and an aluminum plate, PDMS, polyimide, polyethylene, PET, COP, Examples thereof include a combination with a protective layer 101 composed of a fluororesin, a photocurable resin, or the like.

このように硬質な支持基材上に軟質な保護層101を設けることで、硬質な支持基材の面精度を担保した状態で、保護層101の表面物性を容易に変化させることができる。そのため、保護層101上にナノ粒子102を成膜する際の成膜性、ひいてはナノ粒子102の配列性、及び第2の積層体2を製造する際の第1のマスク層103の塗工性が向上する。また、転写により形成された積層体201の面精度(被処理体200の一主面とナノ粒子102の頂部により構成される面との平行度)を高く保つことができ、積層体201のナノ粒子102上からエッチング加工を行った後の、微細マスクパタン202aの高さ精度を向上できる。これにより、微細マスクパタン202aをマスクとして見立て、被処理体200を加工する際の、加工精度も担保することが可能となる。   Thus, by providing the soft protective layer 101 on a hard support base material, the surface physical property of the protective layer 101 can be easily changed in the state which ensured the surface precision of the hard support base material. Therefore, the film-forming property when forming the nanoparticles 102 on the protective layer 101, and hence the alignment property of the nanoparticles 102, and the coating property of the first mask layer 103 when manufacturing the second laminate 2. Will improve. In addition, the surface accuracy of the stacked body 201 formed by the transfer (parallelism between one main surface of the target object 200 and the top of the nanoparticles 102) can be kept high, and the nanostructure of the stacked body 201 can be maintained. It is possible to improve the height accuracy of the fine mask pattern 202a after etching from the particle 102. Accordingly, it is possible to ensure the processing accuracy when processing the workpiece 200 with the fine mask pattern 202a as a mask.

軟質な支持基材と硬質な保護層101との組み合わせとしては、スポンジやゴム(シリコーンゴム等)に代表される柔弾性体で構成される支持基材と、シリコン、石英、ニッケル、クロム、サファイア、SiC、ダイヤモンド、ダイヤモンドライクカーボン又は、フッ素含有ダイヤモンドライクカーボン等の無機材質で構成される保護層101との組み合わせが挙げられる。支持基材が柔弾性体である場合、支持基材のヤング率(縦弾性率)は概ね、1Mpa以上100Mpa以下であると面精度を高く保つことができるため好ましい。同様の効果から、4Mpa以上50Mpa以下であるとより好ましい。また、ナノ粒子102/第1のマスク層103/被処理体200からなる積層体201を形成する際の転写精度の観点から、0.5mm以上20cm以下の厚みであることが好ましく、1mm以上10cm以下がより好ましく、5mm以上8cm以下であると最も好ましい。   As a combination of the soft support base and the hard protective layer 101, there are a support base made of a flexible elastic body typified by sponge or rubber (silicone rubber, etc.), silicon, quartz, nickel, chromium, sapphire. , SiC, diamond, diamond-like carbon, or a combination with a protective layer 101 made of an inorganic material such as fluorine-containing diamond-like carbon. When the supporting base material is a flexible elastic body, it is preferable that the Young's modulus (longitudinal elastic modulus) of the supporting base material is generally 1 Mpa or more and 100 Mpa or less because surface accuracy can be kept high. From the same effect, it is more preferable that it is 4 Mpa or more and 50 Mpa or less. In addition, from the viewpoint of transfer accuracy when forming the laminate 201 composed of the nanoparticles 102 / the first mask layer 103 / the workpiece 200, the thickness is preferably 0.5 mm or more and 20 cm or less, and preferably 1 mm or more and 10 cm. The following is more preferable, and most preferably 5 mm or more and 8 cm or less.

このような組み合わせにより、第2の積層体2を被処理体200に貼合・押圧する際に、軟質な支持基材による応力緩和及び応力集中吸収効果により、硬質な保護層101の面精度をよりいっそう高めることができる。その結果、微細パタン構造体202の高さ精度を向上させることができる。これにより、微細マスクパタン202aをマスクとして見立て、被処理体200を加工する際の加工精度も担保することが可能となる。   With such a combination, when the second laminated body 2 is bonded and pressed to the object to be processed 200, the surface accuracy of the hard protective layer 101 is improved by the stress relaxation effect and the stress concentration absorption effect by the soft support base material. It can be further enhanced. As a result, the height accuracy of the fine pattern structure 202 can be improved. Accordingly, it is possible to ensure the processing accuracy when processing the target object 200 using the fine mask pattern 202a as a mask.

軟質な支持基材と軟質な保護層101との組み合わせとしては、PETフィルム、TACフィルム、COPフィルム、PEフィルム、PPフィルムといった樹脂フィルムで構成される支持基材と、光硬化性樹脂等で構成される保護層101との組み合わせが挙げられる。   The combination of the soft support base and the soft protective layer 101 is composed of a support base composed of a resin film such as a PET film, a TAC film, a COP film, a PE film, and a PP film, and a photocurable resin. And a combination with the protective layer 101 to be formed.

このような組み合わせにより、第2の積層体2をロール・ツー・ロール法により連続的に製造することができ、第2の積層体2を使用する際の、被処理体200への貼合が容易になる。ロール・ツー・ロール法により第2の積層体2体を製造することにより、ナノ粒子102の保護層101上における配置精度を向上させることができる。更に、第1のマスク層103の塗工性が向上するため、第1のマスク層103の膜厚分布精度を良好にできる。このため、被処理体200上に転写形成される第1のマスク層103及びナノ粒子102の厚み分布及びパタン精度を、第2の積層体2の精度を反映し、高く付与することが可能となり、積層体201の精度が大きく向上する。特に、第2の積層体2を、円筒状(円柱状)の貼合ロールを使用し、被処理体200へと貼合し支持基材/保護層101/ナノ粒子102/第1のマスク層103/被処理体200からなる積層体、すなわち第3の積層体を得る際に発生するエアボイド(マクロな気泡)といった欠陥を抑制できると共に、貼合速度を向上させることができる。更に、ロール・ツー・ロール法を適用することにより、第3の積層体から支持基材及び保護層101を剥離する際の、剥離応力が線として働くため、転写精度が向上する。   By such a combination, the 2nd laminated body 2 can be continuously manufactured by the roll-to-roll method, and the bonding to the to-be-processed object 200 at the time of using the 2nd laminated body 2 is carried out. It becomes easy. By producing the second laminate 2 by the roll-to-roll method, the arrangement accuracy of the nanoparticles 102 on the protective layer 101 can be improved. Further, since the coating property of the first mask layer 103 is improved, the film thickness distribution accuracy of the first mask layer 103 can be improved. For this reason, the thickness distribution and pattern accuracy of the first mask layer 103 and the nanoparticles 102 transferred and formed on the target object 200 can be given high, reflecting the accuracy of the second stacked body 2. The accuracy of the laminate 201 is greatly improved. In particular, the second laminate 2 is bonded to the object 200 by using a cylindrical (columnar) bonding roll, and the support base material / protective layer 101 / nanoparticle 102 / first mask layer. It is possible to suppress defects such as air voids (macro bubbles) that are generated when a laminate composed of 103 / processed body 200, that is, a third laminate, and to improve the bonding speed. Furthermore, by applying the roll-to-roll method, the peeling stress acts as a line when peeling the supporting substrate and the protective layer 101 from the third laminate, so that the transfer accuracy is improved.

なお、軟質な支持基材と軟質な保護層101との組み合わせを硬質な支持基材上に配置することにより、上述した硬質な支持基材と軟質な保護層101との組み合わせと、同様の効果を得ることができる。   In addition, by arranging the combination of the soft support base and the soft protective layer 101 on the hard support base, the same effect as the combination of the hard support base and the soft protective layer 101 described above is obtained. Can be obtained.

支持基材の材料に関しては特に制限はなく、ガラス、セラミック、シリコンウェハ、金属等の無機材料、プラスチック、ゴム、スポンジ等の有機材料を問わず使用できる。微細パタン形成用積層体の用途に応じて、板、シート、フィルム、リール、薄膜、織物、不織布、その他任意の形状及びこれらを複合化したものを使用できるが、ガラス、石英、シリコン、SUS等の硬質無機材料のみ、ゴム(シリコーンゴム等)やスポンジといった柔弾性体のみ、又はガラス、石英、シリコン、SUS等の硬質無機材料とゴム(シリコーンゴム等)やスポンジが積層された構造、PETフィルム、TACフィルム、COPフィルム、PEフィルム、PPフィルム等の樹脂フィルムを、支持基材として採用することが好ましい。   There is no restriction | limiting in particular regarding the material of a support base material, It can use regardless of organic materials, such as inorganic materials, such as glass, a ceramic, a silicon wafer, and a metal, plastics, rubber | gum, sponge. Depending on the use of the laminate for forming a fine pattern, a plate, a sheet, a film, a reel, a thin film, a woven fabric, a non-woven fabric, and other arbitrary shapes and composites thereof can be used, but glass, quartz, silicon, SUS, etc. Only hard inorganic materials, rubber (silicone rubber, etc.) and soft elastic bodies such as sponge, or glass, quartz, silicon, SUS and other hard inorganic materials, rubber (silicone rubber, etc.) and sponge are laminated, PET film It is preferable to employ a resin film such as a TAC film, a COP film, a PE film, or a PP film as a supporting substrate.

支持基材と保護層101との接着性を向上させるため、保護層101を設ける支持基材の一主面上に、保護層101との化学結合や、浸透等の物理的結合のための易接着コーティング、プライマー処理、コロナ処理、プラズマ処理、UV/オゾン処理、エキシマ処理、高エネルギー線照射処理、表面粗化処理、多孔質化処理等を施してもよい。   In order to improve the adhesion between the support base material and the protective layer 101, it is easy for chemical bonding with the protective layer 101 and physical bonding such as penetration on one main surface of the support base material on which the protective layer 101 is provided. Adhesive coating, primer treatment, corona treatment, plasma treatment, UV / ozone treatment, excimer treatment, high energy ray irradiation treatment, surface roughening treatment, porous treatment, and the like may be performed.

保護層101の支持基材(図7A及び図7Bに示す100)は、透明であっても着色されていてもよい。透明であることにより、保護層101を製造する際にロール・ツー・ロール法(光ナノインプリント法)を適用することが容易となるため、保護層101の精度及び製造パフォーマンスが向上する。更に、支持基材/保護層101/ナノ粒子102/第1のマスク層103/被処理体200から構成される第3の積層体に対しエネルギー線を照射する際に、支持基材越しにエネルギー線を照射することが可能となる。一方、着色されている場合、第2の積層体2の保存性を向上させることができる。特に、第2の積層体2に光重合性物質が含まれる場合、光重合性物質の光重合適用波長を着色により抑制することが可能となる。同様に、保護層101も透明であっても、着色されていてもよい。   The support base material (100 shown in FIGS. 7A and 7B) of the protective layer 101 may be transparent or colored. By being transparent, it is easy to apply a roll-to-roll method (photo nanoimprint method) when manufacturing the protective layer 101, and thus the accuracy and manufacturing performance of the protective layer 101 are improved. Furthermore, when irradiating the third laminated body composed of the supporting substrate / protective layer 101 / nanoparticle 102 / first mask layer 103 / object 200, energy is passed through the supporting substrate. It becomes possible to irradiate a line. On the other hand, when colored, the preservability of the second laminate 2 can be improved. In particular, when the second laminate 2 includes a photopolymerizable substance, the photopolymerization application wavelength of the photopolymerizable substance can be suppressed by coloring. Similarly, the protective layer 101 may be transparent or colored.

また、透明である場合、紫外光(UV)に対する透過率が50%以下の支持基材であると第2の積層体2の保存性が向上するため好ましい。特に、第1のマスク層103の光劣化を抑制する観点から、支持基材に対する紫外光の透過率は35%以下であることが好ましく、15%以下であることがより好ましく、5%以下であることが最も好ましい。なお、紫外光吸収材や金属膜を具備した支持基材の場合、紫外光の透過率をほぼ0%にすることができる。この場合、第2の積層体2の性能保存性をより向上させることができる。   Moreover, in the case of being transparent, it is preferable that the support base material has a transmittance of 50% or less for ultraviolet light (UV) because the storage stability of the second laminate 2 is improved. In particular, from the viewpoint of suppressing the photodegradation of the first mask layer 103, the transmittance of ultraviolet light to the support substrate is preferably 35% or less, more preferably 15% or less, and 5% or less. Most preferably it is. In the case of a support base material provided with an ultraviolet light absorbing material or a metal film, the transmittance of ultraviolet light can be reduced to almost 0%. In this case, the performance preservation | save property of the 2nd laminated body 2 can be improved more.

一方着色されている場合、第2の積層体2に含まれる成分の感光波長(λ)の光に対する透過率が60%以下の支持基材であると第2の積層体2の保存性が向上するため好ましい。特に、第1のマスク層103の光劣化を抑制する観点から、支持基材に対する該感光波長(λ)の光の透過率は35%以下であることが好ましく、15%以下であることがより好ましく、5%以下であることが最も好ましい。なお、金属膜を具備した支持基材の場合、該感光波長(λ)の光の透過率をほぼ0%にすることができる。この場合、第2の積層体2の性能保存性をより向上させることができる。   On the other hand, when it is colored, the storage stability of the second laminate 2 is improved when the support substrate has a transmittance of 60% or less with respect to light of the photosensitive wavelength (λ) of the component contained in the second laminate 2. Therefore, it is preferable. In particular, from the viewpoint of suppressing the photodegradation of the first mask layer 103, the light transmittance of the photosensitive wavelength (λ) with respect to the support substrate is preferably 35% or less, and more preferably 15% or less. Preferably, it is most preferably 5% or less. In the case of a supporting base material provided with a metal film, the light transmittance of the photosensitive wavelength (λ) can be made almost 0%. In this case, the performance preservation | save property of the 2nd laminated body 2 can be improved more.

保護層101の支持基材としては、上述した支持基材を採用することができるが、以下に記載する屈折率、ヘーズ、微粒子を含有する樹脂層の観点を考慮した支持基材を使用することにより、第1のマスク層103及びナノ粒子102の転写精度をいっそう向上させることが可能となる。   As the support substrate of the protective layer 101, the above-mentioned support substrate can be adopted, but a support substrate considering the viewpoint of the resin layer containing the refractive index, haze, and fine particles described below should be used. As a result, the transfer accuracy of the first mask layer 103 and the nanoparticles 102 can be further improved.

第2の積層体2を使用し、支持基材/保護層101/ナノ粒子102/第1のマスク層103/被処理体200からなる第3の積層体を形成した後に、支持基材面側からエネルギー線を照射する場合、支持基材と保護層101との界面におけるエネルギー線の反射が小さい程、転写精度は向上すると共に、使用するエネルギー線源のパワーを小さくすることが可能となる。このため、第1のマスク層103の反応に必要な主波長(λ)に対する支持基材の屈折率(n1)と保護層の屈折率(n2)との差(|n1−n2)|は0.3以下であることが好ましく、0.2以下であることがより好ましく、0.15以下であることが最も好ましい。なお、屈折率差(|n1−n2)|が0.1以下であれば、エネルギー線は支持基材100と保護層101との界面を略認識しなくなるため、好ましい。   After using the second laminate 2 and forming a third laminate comprising the support substrate / protective layer 101 / nanoparticles 102 / first mask layer 103 / object 200, the support substrate surface side In the case of irradiating the energy beam from the substrate, the smaller the reflection of the energy beam at the interface between the support substrate and the protective layer 101, the better the transfer accuracy and the lower the power of the energy beam source to be used. For this reason, the difference (| n1-n2) | between the refractive index (n1) of the supporting substrate and the refractive index (n2) of the protective layer with respect to the main wavelength (λ) required for the reaction of the first mask layer 103 is 0. .3 or less, more preferably 0.2 or less, and most preferably 0.15 or less. Note that it is preferable that the difference in refractive index (| n1−n2) | is 0.1 or less because the energy beam does not substantially recognize the interface between the support substrate 100 and the protective layer 101.

支持基材のヘーズは、30%以下であることが好ましい。30%以下であることにより、保護層101の密着性を担保することが可能となる。特に、転写精度と保護層101の密着性の観点から、ヘーズは10%以下が好ましく、6%以下であるとより好ましく、1.5%以下であると最も好ましい。また、第2の積層体2に対し、パターニングされたエネルギー線を照射し、パターニングされたナノ粒子102/第1のマスク層103/被処理体200からなる積層体201を形成する場合は、その解像度の観点から、ヘーズは1.5%以下であることが好ましい。ヘーズ(haze)とは、濁度を表わす値であり、光源により照射され試料中を透過した光の全透過率T及び試料中で拡散され散乱した光の透過率Dより求められ、ヘーズ値H=D/T×100として定義される。これらはJIS K 7105により規定されている。市販の濁度計(例えば、日本電色工業社製、NDH−1001DP等)により容易に測定可能である。上記1.5%以下のヘーズ値を有す支持基材としては、例えば、帝人社製高透明フィルムGSシリーズ、ダイアホイルヘキスト社製M−310シリーズ、デュポン社製マイラーDシリーズ等のポリエチレンテレフタレートフィルム等が挙げられる。   The haze of the supporting substrate is preferably 30% or less. By being 30% or less, the adhesion of the protective layer 101 can be ensured. In particular, from the viewpoint of transfer accuracy and adhesion of the protective layer 101, the haze is preferably 10% or less, more preferably 6% or less, and most preferably 1.5% or less. In addition, when the patterned energy beam is irradiated to the second stacked body 2 to form the stacked body 201 composed of the patterned nanoparticles 102 / first mask layer 103 / processed object 200, From the viewpoint of resolution, the haze is preferably 1.5% or less. The haze is a value representing turbidity, and is obtained from the total transmittance T of light irradiated by a light source and transmitted through the sample and the transmittance D of light diffused and scattered in the sample, and the haze value H = D / T × 100. These are defined by JIS K 7105. It can be easily measured with a commercially available turbidimeter (for example, NDH-1001DP manufactured by Nippon Denshoku Industries Co., Ltd.). Examples of the supporting substrate having a haze value of 1.5% or less include polyethylene terephthalate films such as Teijin's highly transparent film GS series, Diafoil Hoechst's M-310 series, and DuPont's Mylar D series. Etc.

支持基材として、フィルム状の支持基材を選定する場合、二軸配向ポリエステルフィルムの一方の面に、微粒子を含有する樹脂層を積層してなる支持基材を採用してもよい。ロール・ツー・ロールプロセスにより連続的に保護層101を製造する際の作業性や、連続的に被処理体200に対し第2の積層体2を貼合する際の作業性を向上させ、微細パタンの欠陥や、ミリスケールやセンチスケールといったマクロな欠陥の発生を抑制する観点から、微粒子の平均粒径は0.01μm以上であることが好ましい。微細パタン形成用積層体に対し、パターニングされたエネルギー線を照射し、パターニングされたナノ粒子102/第1のマスク層103/被処理体200からなる積層体201を形成する場合の解像度を向上させる観点から、微粒子の平均粒径は5.0μm以下であることが好ましい。この効果をいっそう発揮する観点から、0.02μm〜4.0μmであることがより好ましく、0.03μm〜3.0μmであることが特に好ましい。   When a film-like support substrate is selected as the support substrate, a support substrate obtained by laminating a resin layer containing fine particles on one surface of a biaxially oriented polyester film may be employed. The workability when continuously manufacturing the protective layer 101 by a roll-to-roll process and the workability when continuously bonding the second laminate 2 to the workpiece 200 are improved. From the viewpoint of suppressing the occurrence of pattern defects and macro defects such as millimeter scale and centimeter scale, the average particle diameter of the fine particles is preferably 0.01 μm or more. Irradiation with a patterned energy beam is applied to the fine pattern forming laminate to improve the resolution in the case of forming the laminate 201 composed of the patterned nanoparticles 102 / first mask layer 103 / object 200. From the viewpoint, the average particle size of the fine particles is preferably 5.0 μm or less. From the viewpoint of further exerting this effect, the thickness is more preferably 0.02 μm to 4.0 μm, and particularly preferably 0.03 μm to 3.0 μm.

微粒子の配合量は、例えば、樹脂層を構成するベース樹脂、微粒子の種類及び平均粒径、所望の物性等に応じて適宜調整できる。微粒子としては、例えば、シリカ、カオリン、タルク、アルミナ、リン酸カルシウム、二酸化チタン、炭酸カルシウム、硫酸バリウム、フッ化カルシウム、フッ化リチウム、ゼオライト、硫化モリブデン等の無機粒子、架橋高分子粒子、シュウ酸カルシウム等の有機粒子等を挙げることができる。特に、透明性の観点から、シリカの粒子が好ましい。なお、微粒子はフィラーを含む。これらの微粒子は単独で使用しても、2種類以上を併用し使用してもよい。   The blending amount of the fine particles can be appropriately adjusted according to, for example, the base resin constituting the resin layer, the kind and average particle size of the fine particles, and desired physical properties. Examples of the fine particles include silica, kaolin, talc, alumina, calcium phosphate, titanium dioxide, calcium carbonate, barium sulfate, calcium fluoride, lithium fluoride, zeolite, molybdenum sulfide, and other inorganic particles, crosslinked polymer particles, and calcium oxalate. And organic particles such as In particular, silica particles are preferable from the viewpoint of transparency. The fine particles include a filler. These fine particles may be used alone or in combination of two or more.

微粒子を含有する樹脂層を構成するベース樹脂としては、例えば、ポリエステル系樹脂、ポリウレタン系樹脂、アクリル系樹脂、これらの混合物、又は、これらの共重合物等が挙げられる。ロール・ツー・ロールプロセスにより連続的に保護層を製造する際の作業性や、連続的に被処理体200に対し第2の積層体2を貼合する際の作業性を向上させ、微細パタンの欠陥や、ミリスケールやセンチスケールといったマクロな欠陥を抑制する観点から、樹脂層の厚みは、0.01μm以上であることが好ましい。第2の積層体2に対し、パターニングされたエネルギー線を照射し、パターニングされたナノ粒子102/第1のマスク層103/被処理体200からなる積層体201を形成する場合の解像度を向上させる観点から、0.05μm〜3.0μmであることがより好ましく、0.1〜2.0μmであることが特に好ましく、0.1μm〜1.0μmであることが極めて好ましい。   Examples of the base resin constituting the resin layer containing fine particles include polyester resins, polyurethane resins, acrylic resins, mixtures thereof, and copolymers thereof. The workability when continuously producing the protective layer by a roll-to-roll process and the workability when continuously laminating the second laminate 2 to the workpiece 200 are improved. The thickness of the resin layer is preferably 0.01 μm or more from the viewpoint of suppressing the above defects and macro defects such as millimeter scale and centimeter scale. The second stacked body 2 is irradiated with patterned energy rays to improve the resolution when forming the stacked body 201 composed of the patterned nanoparticles 102 / first mask layer 103 / object 200. From the viewpoint, it is more preferably 0.05 μm to 3.0 μm, particularly preferably 0.1 to 2.0 μm, and very preferably 0.1 μm to 1.0 μm.

二軸配向ポリエステルフィルムの一方の面に、樹脂層を積層する方法としては、特に制限はなく、例えば、コーティング等が挙げられる。二軸配向ポリエステルフィルムを構成するポリエステル系樹脂としては、例えば、ポリエチレンテレフタレート、ポリプチレンテレフタレート、ポリエチレンナフタレート等の芳香族ジカルボン酸類とジオール類とを構成成分とする芳香族線状ポリエステル、脂肪族ジカルボン酸類とジオール類とを構成成分とする脂肪族線状ポリエステル、これらの共重合体等のポリエステル等から主としてなるポリエステル系樹脂等が挙げられる。これらは単独で使用しても、2種類以上を併用し使用してもよい。更に、樹脂層が積層される二軸配向ポリエステルフィルムには、微粒子が含有されていてもよい。これらの微粒子としては、例えば、樹脂層に含有される微粒子と同様のもの等が挙げられる。二軸配向ポリエステルフィルムに含有される微粒子の含有量は、支持基材の透明性を保つという観点から、0ppm〜80ppmであることが好ましく、0ppm〜60ppmであることがより好ましく、0ppm〜40ppmであることが特に好ましい。上記二軸配向ポリエステルフィルムの製造方法は、特に限定されず、例えば、二軸延伸方法等を用いることができる。また、未延伸フィルム又は一軸延伸フィルムの一方の面に、樹脂層を形成後、更に延伸して支持基材としてもよい。二軸配向ポリエステルフィルムの厚みは、1μm〜100μmであることが好ましく、1μm〜50μm であることがより好ましい。これらの支持フィルムとしては、例えば、東洋紡績社製のA2100−16、A4100−25等が挙げられる。なお、上記二軸配向ポリエステルフィルムの一方の面に、微粒子を含有する樹脂層を積層してなる支持基材を使用する場合は、微粒子を含有する樹脂層面上に保護層を形成すると、接着性や転写耐久性の観点から好ましい。   There is no restriction | limiting in particular as a method of laminating | stacking a resin layer on one surface of a biaxially-oriented polyester film, For example, coating etc. are mentioned. Examples of the polyester resin constituting the biaxially oriented polyester film include, for example, aromatic linear polyesters and aliphatic dicarboxylics containing aromatic dicarboxylic acids and diols such as polyethylene terephthalate, polyethylene terephthalate, and polyethylene naphthalate. Examples thereof include aliphatic linear polyesters containing acids and diols as constituent components, and polyester resins mainly composed of polyesters such as copolymers thereof. These may be used alone or in combination of two or more. Furthermore, the biaxially oriented polyester film on which the resin layer is laminated may contain fine particles. Examples of these fine particles include the same fine particles as those contained in the resin layer. The content of the fine particles contained in the biaxially oriented polyester film is preferably 0 ppm to 80 ppm, more preferably 0 ppm to 60 ppm, and more preferably 0 ppm to 40 ppm from the viewpoint of maintaining the transparency of the support substrate. It is particularly preferred. The manufacturing method of the said biaxially-oriented polyester film is not specifically limited, For example, the biaxial stretching method etc. can be used. Moreover, after forming a resin layer on one surface of an unstretched film or a uniaxially stretched film, it is good also as a support base material by extending | stretching. The thickness of the biaxially oriented polyester film is preferably 1 μm to 100 μm, and more preferably 1 μm to 50 μm. Examples of these supporting films include A2100-16 and A4100-25 manufactured by Toyobo Co., Ltd. In addition, when using the support base material which laminates | stacks the resin layer containing microparticles | fine-particles on one surface of the said biaxially-oriented polyester film, when a protective layer is formed on the resin layer surface containing microparticles | fine-particles, adhesiveness And from the viewpoint of transfer durability.

上述した材料で構成される平板状の保護層101における、ナノ粒子102とは反対側の面に支持基材を配置して使用してもよい。例えば、PDMSや熱可塑性樹脂(PI,PET、COP、PP、PE等)から構成される保護層101のナノ粒子102面とは反対側の面に、ガラスやシリコンウェハに代表される無機支持基材や、ゴム弾性のある弾性体支持基材等を配置することができる。また例えば、シリコン、ダイヤモンド、ニッケル、サファイア、石英等から構成される保護層101のナノ粒子102面とは反対側の面に、ゴム弾性のある弾性体等の支持基材等を配置することができる。また例えば、ガラスやシリコンウェハといった無機材料等の支持基材や、PETフィルム、COPフィルムやTACフィルムといった有機材料の支持基材上に、光硬化性樹脂や熱硬化性樹脂からなる保護層101を形成することができる。また例えば、ガラスやシリコンウェハといった無機材料の支持基材や、PETフィルム、COPフィルムやTACフィルムといった有機材料の支持基材上に、光硬化性樹脂や熱硬化性樹脂からなる保護層101を形成し、支持基材の反対側の面上に弾性体等の支持基材を更に設けることができる。   You may use it, arrange | positioning a support base material in the surface on the opposite side to the nanoparticle 102 in the flat protective layer 101 comprised with the material mentioned above. For example, an inorganic supporting group typified by glass or silicon wafer is provided on the surface opposite to the nanoparticle 102 surface of the protective layer 101 made of PDMS or thermoplastic resin (PI, PET, COP, PP, PE, etc.). A material, an elastic support substrate having rubber elasticity, or the like can be disposed. Further, for example, a support base material such as an elastic body having rubber elasticity may be disposed on the surface of the protective layer 101 made of silicon, diamond, nickel, sapphire, quartz, or the like on the side opposite to the surface of the nanoparticles 102. it can. Further, for example, a protective layer 101 made of a photocurable resin or a thermosetting resin is formed on a support base material such as an inorganic material such as glass or silicon wafer, or a support base material of an organic material such as a PET film, a COP film, or a TAC film. Can be formed. Further, for example, a protective layer 101 made of a photo-curing resin or a thermosetting resin is formed on a supporting substrate made of an inorganic material such as glass or silicon wafer, or a supporting substrate made of an organic material such as a PET film, a COP film, or a TAC film. In addition, a supporting substrate such as an elastic body can be further provided on the surface opposite to the supporting substrate.

リール状の保護層101としては、支持基材を用いずに熱可塑性樹脂のみから構成される保護層や、支持基材上に形成された熱硬化性樹脂、光硬化性樹脂又はゾルゲル材料等の硬化物からなる保護層101等が挙げられる。   As the reel-shaped protective layer 101, a protective layer composed of only a thermoplastic resin without using a supporting substrate, a thermosetting resin, a photocurable resin or a sol-gel material formed on the supporting substrate, etc. Examples thereof include a protective layer 101 made of a cured product.

また、カバーフィルムを設けない場合であって、第2の積層体2をロール・ツー・ロール法により連続的に製造する場合、支持基材の保護層101とは反対側の表面(第1面2a)の表面粗さRaは、上記説明した第1のマスク層103の表面(第1面2a)の表面粗さRa以上の範囲で大きい場合であっても、ロールアップする場合は巻締めに係る力を制御することにより、第1のマスク層103の表面精度を保つことができるため、特に限定されない。しかしながら、第2の積層体2を安定的に製造すると共に、第2の積層体2を搬送する際に不意に加わる力による第1のマスク層103の表面精度の悪化を抑制する観点から、支持基材100の裏面の表面粗さRaは1000nm以下であることが好ましく、500nm以下であることがより好ましく、100nm以下であることが最も好ましい。特に、第2の積層体2を製造する際の、製造速度を向上させる観点から、60nm以下であることが好ましく、45nm以下であることがより好ましく、15nm以下であることが最も好ましい。なお、表面粗さRaは、上記説明した第1のマスク層103に対するRaの定義と同様である。   Further, in the case where the cover film is not provided and the second laminate 2 is continuously manufactured by the roll-to-roll method, the surface (first surface) opposite to the protective layer 101 of the supporting substrate. Even if the surface roughness Ra of 2a) is large in the range equal to or larger than the surface roughness Ra of the surface (first surface 2a) of the first mask layer 103 described above, when rolling up, the surface roughness Ra By controlling the force, the surface accuracy of the first mask layer 103 can be maintained, and thus there is no particular limitation. However, from the viewpoint of stably manufacturing the second laminated body 2 and suppressing deterioration of the surface accuracy of the first mask layer 103 due to a force that is unexpectedly applied when the second laminated body 2 is conveyed. The surface roughness Ra of the back surface of the substrate 100 is preferably 1000 nm or less, more preferably 500 nm or less, and most preferably 100 nm or less. In particular, from the viewpoint of improving the production rate when the second laminate 2 is produced, it is preferably 60 nm or less, more preferably 45 nm or less, and most preferably 15 nm or less. The surface roughness Ra is the same as the definition of Ra for the first mask layer 103 described above.

また、本実施の形態に係る第2の積層体2において、保護層101のナノ粒子102が設けられる表面に金属、金属酸化物又は金属と金属酸化物から構成される金属層を設けてもよい。金属層を設けることにより、保護層101の機械強度が向上するため、保護層101表面に対する傷を抑制することができる。金属層を構成する金属は特に限定されないが、クロム、アルミ、タングステン、モリブデン、ニッケル、金、プラチナ又はシリコン等が挙げられる。金属層を構成する金属酸化物としては、前記金属の酸化物の他、SiO、ZnO,Al,ZrO,CaO,SnO等が挙げられる。また、金属層を構成する材料として、シリコンカーバイドやダイヤモンドライクカーボン等を使用することもできる。なおダイヤモンドライクカーボンは、フッ素元素を含むダイヤモンドライクカーボンを使用できる。更に、金属層を構成する材料として、これらの混合物を使用してもよい。金属層は、単層であっても多層であってもよい。 In the second laminate 2 according to the present embodiment, a metal layer composed of metal, metal oxide, or metal and metal oxide may be provided on the surface of the protective layer 101 where the nanoparticles 102 are provided. . By providing the metal layer, the mechanical strength of the protective layer 101 is improved, so that damage to the surface of the protective layer 101 can be suppressed. Although the metal which comprises a metal layer is not specifically limited, Chromium, aluminum, tungsten, molybdenum, nickel, gold | metal | money, platinum, silicon, etc. are mentioned. As the metal oxide constituting the metal layer, other oxides of the metals, SiO 2, ZnO, Al 2 O 3, ZrO 2, CaO, SnO 2 and the like. Moreover, silicon carbide, diamond-like carbon, etc. can also be used as a material which comprises a metal layer. As diamond-like carbon, diamond-like carbon containing a fluorine element can be used. Furthermore, you may use these mixtures as a material which comprises a metal layer. The metal layer may be a single layer or a multilayer.

また、保護層101の表面や、金属層表面に、離型層が形成されていてもよい。離型層を設けることにより、ナノ粒子102及び第1のマスク層103の転写精度が向上する。また、金属層表面にさらに離型層を設けることにより、離型処理材(離型層)の接着性が向上し、ナノ粒子102及び第1のマスク層103の転写精度及び転写耐久性が向上する。離型層の厚みは、転写精度の観点から30nm以下であることが好ましく、単分子層以上の厚みであることが好ましい。離型性の観点から、離型層の厚みは、2nm以上であることがより好ましく、転写精度の観点から20nm以下であることがより好ましい。   A release layer may be formed on the surface of the protective layer 101 or the surface of the metal layer. By providing the release layer, the transfer accuracy of the nanoparticles 102 and the first mask layer 103 is improved. Further, by providing a release layer on the surface of the metal layer, the adhesion of the release treatment material (release layer) is improved, and the transfer accuracy and transfer durability of the nanoparticles 102 and the first mask layer 103 are improved. To do. The thickness of the release layer is preferably 30 nm or less from the viewpoint of transfer accuracy, and is preferably a monomolecular layer or more. From the viewpoint of releasability, the thickness of the release layer is more preferably 2 nm or more, and more preferably 20 nm or less from the viewpoint of transfer accuracy.

離型層を構成する材料は、表面自由エネルギーを低下させる効果を発現するものであれば特に限定されない。例えば、メチル基、フッ素元素、シリコーンのいずれかを含む材料を選択することができる。また離型層を構成する材料は、水に対する接触角が90度以上であることが好ましい。   The material constituting the release layer is not particularly limited as long as it exhibits the effect of reducing the surface free energy. For example, a material containing any of a methyl group, elemental fluorine, and silicone can be selected. The material constituting the release layer preferably has a contact angle with water of 90 ° or more.

第1のマスク層103の表面(第1面2a)側にカバーフィルムを設けてもよい。この場合には、第1のマスク層103の表面上にカバーフィルムを設けるか、又は表面に粘着層を具備するカバーフィルムの粘着層面を、第1のマスク層103の表面上に設ける構成となる。   A cover film may be provided on the surface (first surface 2a) side of the first mask layer 103. In this case, the cover film is provided on the surface of the first mask layer 103, or the adhesive layer surface of the cover film having the adhesive layer on the surface is provided on the surface of the first mask layer 103. .

カバーフィルムを設けることにより、第2の積層体2を連続的に作製した場合の巻き取りが容易になり、また第2の積層体2の第1のマスク層103を保護することができる。このため、第2の積層体2の保存安定性が向上し、また搬送等により第1のマスク層103面に対するパーティクルの付着や傷の発生を抑制できるため、被処理体200への貼合性が向上する。このようなカバーフィルムを設けることにより、第1のラインにて製造された第2の積層体2の第1のマスク層103の機能を保存すると共に、第1のマスク層103表面への傷やパーティクルの付着を抑制できる。このため、ユーザのラインである第2のラインにおいて、カバーフィルムを剥離することにより、第2の積層体2の第1のマスク層103を、被処理体200に対し、エアボイドといった欠陥を抑制し、貼合することができる。この結果、被処理体200面内において、マイクロメートルやミリメートルといった欠陥を抑制し、第1のマスク層103及びナノ粒子102を精度高く被処理体200上に転写形成することができる。なお、カバーフィルムを第1のマスク層103上に設けない場合であっても、第2の積層体2をロールアップして巻き取った場合、或いは第2の積層体2を積層した場合、第2の積層体2の第2面2b(保護層101のナノ粒子102とは反対の面、或いは、支持基材のナノ粒子102とは反対側の面)により、第1のマスク層103を保護することができる。   By providing the cover film, it is possible to easily wind up the second laminated body 2 when it is continuously produced, and to protect the first mask layer 103 of the second laminated body 2. For this reason, the storage stability of the second laminate 2 is improved, and the adhesion of particles to the surface of the first mask layer 103 and the generation of scratches can be suppressed by transportation or the like. Will improve. By providing such a cover film, the function of the first mask layer 103 of the second laminated body 2 manufactured in the first line is preserved, and scratches on the surface of the first mask layer 103 are prevented. Particle adhesion can be suppressed. For this reason, in the 2nd line which is a user's line, by peeling a cover film, the 1st mask layer 103 of the 2nd layered product 2 is controlled with respect to to-be-processed object 200, defects, such as an air void. Can be pasted. As a result, defects such as micrometers and millimeters can be suppressed in the surface of the target object 200, and the first mask layer 103 and the nanoparticles 102 can be transferred and formed on the target object 200 with high accuracy. Even when the cover film is not provided on the first mask layer 103, when the second laminate 2 is rolled up and wound, or when the second laminate 2 is laminated, The first mask layer 103 is protected by the second surface 2b (the surface opposite to the nanoparticles 102 of the protective layer 101 or the surface opposite to the nanoparticles 102 of the supporting substrate) of the laminate 2 of 2 can do.

カバーフィルムは、カバーフィルムと第1のマスク層103との接着強度が、第1のマスク層103とナノ粒子102との接着強度及びナノ粒子102と保護層101との接着強度よりも小さければ特に限定されない。   The cover film is particularly suitable if the adhesive strength between the cover film and the first mask layer 103 is smaller than the adhesive strength between the first mask layer 103 and the nanoparticles 102 and the adhesive strength between the nanoparticles 102 and the protective layer 101. It is not limited.

カバーフィルムの表面粗さRaは、上記説明した第1のマスク層103の表面粗さRa以上の範囲で大きい場合であっても、カバーフィルムを貼り合わせる際の温度や圧力、またロールアップする場合は巻締めに係る力を制御することにより、第1のマスク層103の表面精度を保つことができるため、特に限定されない。しかしながら、第2の積層体2を安定的に製造すると共に、第2の積層体2を搬送する際に不意に加わる力による第1のマスク層103の表面精度の悪化を抑制する観点から、カバーフィルムの表面粗さRaは1000nm以下であることが好ましく、500nm以下であることがより好ましく、100nm以下であることが最も好ましい。特に、第2の積層体2を製造する際の、製造速度を向上させる観点から、60nm以下であることが好ましく、45nm以下であることがより好ましく、15nm以下であることが最も好ましい。なお、表面粗さRaは、上記説明した第1のマスク層103に対するRaの定義と同様である。   Even when the surface roughness Ra of the cover film is large in the range equal to or higher than the surface roughness Ra of the first mask layer 103 described above, the temperature and pressure when the cover film is bonded, or when the roll is rolled up Since the surface accuracy of the first mask layer 103 can be maintained by controlling the force for tightening, there is no particular limitation. However, from the viewpoint of stably manufacturing the second laminated body 2 and suppressing deterioration of the surface accuracy of the first mask layer 103 due to a force applied unexpectedly when the second laminated body 2 is transported, the cover The surface roughness Ra of the film is preferably 1000 nm or less, more preferably 500 nm or less, and most preferably 100 nm or less. In particular, from the viewpoint of improving the production rate when the second laminate 2 is produced, it is preferably 60 nm or less, more preferably 45 nm or less, and most preferably 15 nm or less. The surface roughness Ra is the same as the definition of Ra for the first mask layer 103 described above.

また、カバーフィルムは、着色されていてもよい。第2の積層体2の保存性を向上させることができる。特に、第2の積層体2に光重合性物質が含まれる場合、光重合性物質の光重合適用波長を着色により抑制することが可能となる。   Moreover, the cover film may be colored. The storage stability of the second laminate 2 can be improved. In particular, when the second laminate 2 includes a photopolymerizable substance, the photopolymerization application wavelength of the photopolymerizable substance can be suppressed by coloring.

また、カバーフィルムが透明である場合、紫外光(UV)に対する透過率が50%以下の支持基材であると第2の積層体2の保存性が向上するため好ましい。特に、第1のマスク層103の光劣化を抑制する観点から、カバーフィルムに対する紫外光の透過率は35%以下であることが好ましく、15%以下であることがより好ましく、5%以下であることが最も好ましい。なお、紫外光吸収材や金属膜を具備したカバーフィルムの場合、紫外光の透過率をほぼ0%にすることができる。この場合、第2の積層体2の性能保存性をより向上させることができる。   Further, when the cover film is transparent, it is preferable that the support base material has a transmittance of 50% or less for ultraviolet light (UV) because the storage stability of the second laminate 2 is improved. In particular, from the viewpoint of suppressing photodegradation of the first mask layer 103, the transmittance of ultraviolet light to the cover film is preferably 35% or less, more preferably 15% or less, and 5% or less. Most preferred. In the case of a cover film provided with an ultraviolet light absorbing material or a metal film, the transmittance of ultraviolet light can be made substantially 0%. In this case, the performance preservation | save property of the 2nd laminated body 2 can be improved more.

一方、カバーフィルムが着色されている場合、第2の積層体2に含まれる成分の感光波長(λ)の光に対する透過率が60%以下のカバーフィルムであると第2の積層体2の保存性が向上するため好ましい。特に、第1のマスク層103の光劣化を抑制する観点から、カバーフィルムに対する該感光波長(λ)の光の透過率は35%以下であることが好ましく、15%以下であることがより好ましく、5%以下であることが最も好ましい。なお、金属膜を具備したカバーフィルムの場合、該感光波長(λ)の光の透過率をほぼ0%にすることができる。この場合、第2の積層体2の性能保存性をより向上させることができる。   On the other hand, when the cover film is colored, storage of the second laminated body 2 is a cover film having a transmittance of 60% or less of light of the photosensitive wavelength (λ) of the components contained in the second laminated body 2. This is preferable because of improved properties. In particular, from the viewpoint of suppressing the photodegradation of the first mask layer 103, the light transmittance of the photosensitive wavelength (λ) to the cover film is preferably 35% or less, and more preferably 15% or less. Most preferably, it is 5% or less. In the case of a cover film provided with a metal film, the light transmittance of the photosensitive wavelength (λ) can be made substantially 0%. In this case, the performance preservation | save property of the 2nd laminated body 2 can be improved more.

カバーフィルムは、上記説明したようにカバーフィルムと第1のマスク層103との接着強度が、第1のマスク層103とナノ粒子102との接着強度及びナノ粒子102と保護層との接着強度よりも小さければ特に限定されない。例えば、カバーフィルム中に含まれるフィッシュアイの数が多く(例えば、直径80um以上のフィッシュアイが500個/m以上含まれるカバーフィルム)、カバーフィルム貼合時に気泡が発生する場合であっても、第1のマスク層103の膜厚が上記距離(lor)/ピッチ(Pav)を満たす範囲で薄いため、第1のマスク層103の劣化を、酸素阻害を利用して抑制できるとも考えられる。第1のマスク層103の膜厚が上記距離(lor)/ピッチ(Pav)を満たす範囲で薄い場合、数マイクロメートル〜数十マイクロメートルといった厚い第1のマスク層103に比べ、第1のマスク層103のヤング率が大きくなるため、カバーフィルムのフィッシュアイの与える第1のマスク層103への凹凸転写の影響は少なく、よって、被処理体200に貼合した場合の、フィッシュアイ由来のエアボイドの発生も抑制しやすくなる。カバーフィルムを具備した第2の積層体2を使用し、第2の積層体2の第1のマスク層103を、被処理体200に貼合する際のエアボイドをよりいっそう抑制する観点から、カバーフィルム中に含まれる直径が80μm以上のフィッシュアイは5個/m以下であることが好ましい。ここでフィッシュアイとは材料を熱溶融し混練、押出し延伸法又はキャスティング法によりフィルムを製造する際に、材料の未溶解及び劣化物がフィルム中に取り込まれたものをいう。また、フィッシュアイの直径の大きさは材料によっても異なるが約10μm〜1mmであり、フィルム表面からの高さは約1μm〜50μmである。ここでフィッシュアイの大きさの測定方法は、例えば光学顕微鏡、接触型表面粗さ計、又は走査型電子顕微鏡で測定可能である。なお。フィッシュアイの直径は最大径を意味する。 As described above, the cover film has an adhesive strength between the cover film and the first mask layer 103 based on an adhesive strength between the first mask layer 103 and the nanoparticles 102 and an adhesive strength between the nanoparticles 102 and the protective layer. If it is small, it will not specifically limit. For example, even when the number of fish eyes contained in the cover film is large (for example, a cover film containing 500 / m 2 or more fish eyes having a diameter of 80 μm or more), and bubbles are generated when the cover film is bonded. Since the thickness of the first mask layer 103 is thin in a range satisfying the distance (lor) / pitch (Pav), it is considered that the deterioration of the first mask layer 103 can be suppressed using oxygen inhibition. When the thickness of the first mask layer 103 is thin in a range satisfying the distance (lor) / pitch (Pav), the first mask layer 103 is thicker than the thick first mask layer 103 such as several micrometers to several tens of micrometers. Since the Young's modulus of the layer 103 is large, the influence of the uneven transfer to the first mask layer 103 given by the fish eye of the cover film is small, and therefore the air void derived from the fish eye when bonded to the object 200 It becomes easy to suppress generation | occurrence | production. From the viewpoint of further suppressing air voids when using the second laminated body 2 provided with a cover film and bonding the first mask layer 103 of the second laminated body 2 to the target object 200. The number of fish eyes having a diameter of 80 μm or more contained in the film is preferably 5 / m 2 or less. Here, the fish eye refers to a material in which undissolved and deteriorated materials are taken into the film when the material is melted by heat and the film is produced by the kneading, extrusion stretching method or casting method. Moreover, although the magnitude | size of the diameter of a fish eye changes with materials, it is about 10 micrometers-1 mm, and the height from the film surface is about 1 micrometer-50 micrometers. Here, the fish eye size can be measured by, for example, an optical microscope, a contact-type surface roughness meter, or a scanning electron microscope. Note that. The diameter of the fish eye means the maximum diameter.

このようなカバーフィルムは、例えばフィルムを製造する際、原料樹脂を熱溶融後に濾過する等、フィルムの製造方法の変更を行うことにより製造可能である。カバーフィルムの膜厚は、1μm〜100μmであるとカバーフィルムの貼合性、ロール・ツー・ロールとしてのウェブハンドリング性、及び環境負荷低減の観点から好ましく、5μm〜50μmであることがより好ましく、15μm〜50μmであると最も好ましい。市販のものとして、信越フィルム社製PP−タイプPT、東レ社製トレファンBO−2400、YR12タイプ、王子製紙社製アルファンMA−410、E−200C、王子製紙社製アルファンE200シリーズ等のポリプロピレンフィルム等、帝人社製PS−25等のPSシリーズ等のポリエチレンテレフタレートフィルム等が挙げられるがこれに限られたものではない。また、市販のフィルムをサンドブラスト加工することにより、簡単に製造することが可能である。   Such a cover film can be manufactured, for example, by changing the method for manufacturing the film, such as filtering the raw material resin after heat melting when manufacturing the film. The film thickness of the cover film is preferably 1 μm to 100 μm from the viewpoints of cover film bonding, roll-to-roll web handling, and environmental load reduction, and more preferably 5 μm to 50 μm. Most preferably, it is 15 micrometers-50 micrometers. As commercially available products, PP-type PT manufactured by Shin-Etsu Film Co., Ltd., Torayfan BO-2400, YR12 type manufactured by Toray Industries, Inc., Alfan MA-410, E-200C manufactured by Oji Paper Co., Ltd. Polyethylene terephthalate films such as polypropylene films, PS series such as Teijin's PS-25, and the like are exemplified, but the invention is not limited thereto. Moreover, it can be easily manufactured by sandblasting a commercially available film.

保護層101を構成する熱可塑性樹脂としては、ポリプロピレン、ポリエチレン、ポリエチレンテレフタレート、ポリメチルペタクリレート、シクロオレフィンポリマー、シクロオレフィンコポリマー、透明フッ素樹脂、ポリエチレン、ポリプロピレン、ポリスチレン、アクリロニトリル/スチレン系重合体、アクリロニトリル/ブタジエン/スチレン系重合体、ポリ塩化ビニル、ポリ塩化ビニリデン、ポリ(メタ)アクリレート、ポリアリレート、ポリエチレンテレフタレート、ポリブチレンテレフタレート、ポリアミド、ポリイミド、ポリアセタール、ポリカーボネート、ポリフェニレンエーテル、ポリエーテルエーテルケトン、ポリサルホン、ポリエーテルサルホン、ポリフェニレンスルフィド、ポリフッ化ビニリデン、テトラフルオロエチレン/ペルフルオロ(アルキルビニルエーテル)系共重合体、テトラフルオロエチレン/エチレン系共重合体、フッ化ビニリデン/テトラフルオロエチレン/ヘキサフルオロプロピレン系共重合体、テトラフルオロエチレン/プロピレン系共重合体、ポリフルオロ(メタ)アクリレート系重合体、主鎖に含フッ素脂肪族環構造を有する含フッ素重合体、ポリフッ化ビニル、ポリテトラフルオロエチレン、ポリクロロトリフルオロエチレン、クロロトリフルオロエチレン/エチレン系共重合体、クロロトリフルオロエチレン/炭化水素系アルケニルエーテル系共重合体、テトラフルオロエチレン/ヘキサフルオロプロピレン系共重合体、フッ化ビニリデン/ヘキサフルオロプロピレン系共重合体等が挙げられる。   As the thermoplastic resin constituting the protective layer 101, polypropylene, polyethylene, polyethylene terephthalate, polymethyl petaacrylate, cycloolefin polymer, cycloolefin copolymer, transparent fluororesin, polyethylene, polypropylene, polystyrene, acrylonitrile / styrene-based polymer, Acrylonitrile / butadiene / styrene polymer, polyvinyl chloride, polyvinylidene chloride, poly (meth) acrylate, polyarylate, polyethylene terephthalate, polybutylene terephthalate, polyamide, polyimide, polyacetal, polycarbonate, polyphenylene ether, polyether ether ketone, polysulfone , Polyethersulfone, polyphenylene sulfide, polyvinylidene fluoride, tetrafluoro Ethylene / perfluoro (alkyl vinyl ether) copolymer, tetrafluoroethylene / ethylene copolymer, vinylidene fluoride / tetrafluoroethylene / hexafluoropropylene copolymer, tetrafluoroethylene / propylene copolymer, polyfluoro (Meth) acrylate polymer, fluorine-containing polymer having a fluorine-containing aliphatic ring structure in the main chain, polyvinyl fluoride, polytetrafluoroethylene, polychlorotrifluoroethylene, chlorotrifluoroethylene / ethylene copolymer, Examples include chlorotrifluoroethylene / hydrocarbon alkenyl ether copolymers, tetrafluoroethylene / hexafluoropropylene copolymers, vinylidene fluoride / hexafluoropropylene copolymers, and the like.

保護層101を構成する熱硬化性樹脂としては、ポリイミド、エポキシ樹脂、ウレタン樹脂等が挙げられる。   Examples of the thermosetting resin constituting the protective layer 101 include polyimide, epoxy resin, and urethane resin.

保護層101が樹脂により構成される場合、上述した樹脂や、金属層、又は離型層との組み合わせにより、ナノ粒子102及び第1のマスク層103との接着性が低下すれば、保護層101を構成する材料は特に限定されない。特に、保護層101は、転写精度の観点から、シリコーンに代表されるポリジメチルシロキサン(PDMS)からなる樹脂又はフッ素含有樹脂で構成されることが好ましい。ただし、保護層101上に離型層を設ける場合は、保護層101の材質は特に限定されない。一方、保護層101上に離型層を設けない場合は、フッ素含有樹脂やシリコーンに代表されるポリジメチルシロキサン系樹脂、COPやポリイミド等で構成されることが好ましく、特に、ポリジメチルシロキサン系樹脂やフッ素含有樹脂で構成されることが好ましい。フッ素含有樹脂は、フッ素元素を含有しており、かつ、水に対する接触角が90度より大きければ特に限定されない。ナノ粒子102を被処理体200に転写する際の転写精度の観点から、水に対する接触角は95度以上がより好ましく、100度以上がなお好ましい。   In the case where the protective layer 101 is made of a resin, if the adhesion between the nanoparticles 102 and the first mask layer 103 decreases due to the combination of the above-described resin, metal layer, or release layer, the protective layer 101 There are no particular restrictions on the material that constitutes. In particular, the protective layer 101 is preferably composed of a resin made of polydimethylsiloxane (PDMS) typified by silicone or a fluorine-containing resin from the viewpoint of transfer accuracy. However, when a release layer is provided on the protective layer 101, the material of the protective layer 101 is not particularly limited. On the other hand, when a release layer is not provided on the protective layer 101, it is preferably composed of a fluorine-containing resin, a polydimethylsiloxane resin represented by silicone, COP, polyimide, or the like, and in particular, a polydimethylsiloxane resin. Or a fluorine-containing resin. The fluorine-containing resin is not particularly limited as long as it contains elemental fluorine and has a contact angle with water larger than 90 degrees. From the viewpoint of transfer accuracy when the nanoparticles 102 are transferred to the object 200, the contact angle with water is more preferably 95 degrees or more, and still more preferably 100 degrees or more.

特に、保護層101は、光硬化性樹脂の硬化物から構成されることが好ましい。保護層101を構成する材料に、光硬化性樹脂を選択することにより、保護層101を作製する際のスループット性、転写精度が向上するため、好ましい。   In particular, the protective layer 101 is preferably composed of a cured product of a photocurable resin. It is preferable to select a photocurable resin as a material for forming the protective layer 101 because throughput and transfer accuracy when the protective layer 101 is manufactured are improved.

また、保護層101中の樹脂表層(ナノ粒子102と保護層101と、の界面付近)のフッ素濃度(Es)を、保護層101を構成する樹脂層中の平均フッ素濃度(Eb)より大きくすることで、保護層101表面は自由エネルギーの低さゆえに、ナノ粒子102及び第1のマスク層103との離型性に優れる保護層101が得られると共に、支持基材付近では自由エネルギーを高く保つことで、接着性を向上することができると共に、繰り返し転写性が可能となる。   Further, the fluorine concentration (Es) of the resin surface layer (near the interface between the nanoparticles 102 and the protective layer 101) in the protective layer 101 is made larger than the average fluorine concentration (Eb) in the resin layer constituting the protective layer 101. Thus, since the surface of the protective layer 101 has low free energy, the protective layer 101 having excellent releasability from the nanoparticles 102 and the first mask layer 103 can be obtained, and the free energy is kept high in the vicinity of the supporting substrate. As a result, the adhesiveness can be improved and the transferability can be repeated.

更に、保護層101を構成する樹脂層中の平均フッ素元素濃度(Eb)と保護層101を構成する樹脂層の表層部のフッ素元素濃度(Es)との比が1<Es/Eb≦30000を満たすことで、上記効果をより発揮するためより好ましい。特に、3≦Es/Eb≦1500、10≦Es/Eb≦100の範囲となるにしたがって、より離型性が向上するため好ましい。   Furthermore, the ratio of the average fluorine element concentration (Eb) in the resin layer constituting the protective layer 101 to the fluorine element concentration (Es) in the surface layer portion of the resin layer constituting the protective layer 101 is 1 <Es / Eb ≦ 30000. It is more preferable to satisfy the above condition because the above effect is more exhibited. In particular, it is preferable because the releasability is further improved as the range becomes 3 ≦ Es / Eb ≦ 1500 and 10 ≦ Es / Eb ≦ 100.

なお、上記する最も広い範囲(1<Es/Eb≦30000)の中にあって、20≦Es/Eb≦200の範囲であれば、保護層101を構成する樹脂層表層部のフッ素元素濃度(Es)が、樹脂層中の平均フッ素濃度(Eb)より十分高くなり、保護層101表面の自由エネルギーが効果的に減少するので、ナノ粒子102及び第1のマスク層103との離型性が向上する。また、保護層101を構成する樹脂層中の平均フッ素元素濃度(Eb)を、保護層101を構成する樹脂層表層部のフッ素元素濃度(Es)に対して相対的に低くすることにより、樹脂自体の強度が向上すると共に、保護層101中における支持基材付近では、自由エネルギーを高く保つことができるので、支持基材との密着性が向上する。これにより、支持基材との密着性に優れ、ナノ粒子102との離型性に優れ、しかも、繰り返し転写の可能な保護層101を得ることができるので特に好ましい。また、26≦Es/Eb≦189の範囲であれば、保護層101を構成する樹脂層表面の自由エネルギーをより低くすることができ、繰り返し転写性が良好になるため好ましい。更に、30≦Es/Eb≦160の範囲であれば、保護層101を構成する樹脂層表面の自由エネルギーを減少させると共に、樹脂の強度を維持することができ、繰り返し転写性がより向上するため好ましく、31≦Es/Eb≦155であればより好ましい。46≦Es/Eb≦155であれば、上記効果をよりいっそう発現できるため好ましい。   In the widest range described above (1 <Es / Eb ≦ 30000) and in the range of 20 ≦ Es / Eb ≦ 200, the fluorine element concentration in the surface layer portion of the resin layer constituting the protective layer 101 ( Es) is sufficiently higher than the average fluorine concentration (Eb) in the resin layer, and the free energy on the surface of the protective layer 101 is effectively reduced, so that the releasability between the nanoparticles 102 and the first mask layer 103 is improved. improves. Further, the resin can be obtained by lowering the average fluorine element concentration (Eb) in the resin layer constituting the protective layer 101 relative to the fluorine element concentration (Es) of the resin layer surface portion constituting the protective layer 101. While the strength of itself is improved, the free energy can be kept high in the vicinity of the supporting base material in the protective layer 101, so that the adhesion with the supporting base material is improved. Thereby, it is particularly preferable since it is possible to obtain the protective layer 101 that has excellent adhesion to the support substrate, excellent releasability from the nanoparticles 102, and that can be repeatedly transferred. Further, the range of 26 ≦ Es / Eb ≦ 189 is preferable because the free energy on the surface of the resin layer constituting the protective layer 101 can be further reduced, and the repetitive transferability is improved. Furthermore, if it is in the range of 30 ≦ Es / Eb ≦ 160, the free energy on the surface of the resin layer constituting the protective layer 101 can be reduced, the strength of the resin can be maintained, and repeated transferability is further improved. Preferably, 31 ≦ Es / Eb ≦ 155 is more preferable. It is preferable that 46 ≦ Es / Eb ≦ 155 because the above effect can be further exhibited.

なお、上記繰り返し転写性とは、(1)保護層101から別の保護層101を容易に複製できること、及び(2)一度使用した保護層101を再度第2の積層体2として使用できることを意味する。(1)表面状態が「+」の保護層G1をテンプレートとして、表面状態が「―」の保護層G2を転写形成可能であり、保護層G2をテンプレートとして、表面状態が「+」の保護層G3を転写形成することが可能となる。同様に、表面状態が「+」の保護層GNをテンプレートとして、表面状態が「−」の保護層GN+1を転写形成することが可能となる。また、一つの保護層G1をテンプレートとして複数枚の保護層G2を得ることも、一つの保護層G2をテンプレートとして複数枚の保護層G3を得ることも可能となる。同様に、一つの保護層GMをテンプレートとして複数枚の保護層GM+1を得ることも可能となる。このように、上記Es/Ebを満たす保護層101を使用することにより、環境対応性が向上する。   The repetitive transfer property means that (1) another protective layer 101 can be easily copied from the protective layer 101, and (2) the once used protective layer 101 can be used again as the second laminate 2. To do. (1) The protective layer G1 having a surface state of “+” can be used as a template to transfer the protective layer G2 having a surface state of “−”, and the protective layer having a surface state of “+” can be formed using the protective layer G2 as a template. G3 can be transferred and formed. Similarly, the protective layer GN + 1 whose surface state is “−” can be transferred and formed using the protective layer GN whose surface state is “+” as a template. Further, it is possible to obtain a plurality of protective layers G2 using one protective layer G1 as a template, or to obtain a plurality of protective layers G3 using one protective layer G2 as a template. Similarly, a plurality of protective layers GM + 1 can be obtained using one protective layer GM as a template. Thus, environmental compatibility improves by using the protective layer 101 which satisfy | fills said Es / Eb.

ここで、保護層101を構成する樹脂層の表層(ナノ粒子102面側領域)とは、例えば、保護層101を構成する樹脂層のナノ粒子102面側表面から、第2面2b側に向かって、略1〜10%厚み方向に侵入した部分、又は厚み方向に2nm〜20nm侵入した部分を意味する。なお、保護層101を構成する樹脂層のナノ粒子102面側領域のフッ素元素濃度(Es)は、X線光電子分光法(XPS法)により定量できる。XPS法のX線の浸入長は数nmと浅いため、Es値を定量する上で適している。他の解析手法として、透過型電子顕微鏡を使ったエネルギー分散型X線分光法(TEM―EDX)を用い、Es/Ebを算出することもできる。また、保護層101を構成する樹脂層を構成する樹脂中の平均フッ素濃度(Eb)は、仕込み量から計算することができる。又は、保護層101を構成する樹脂層を物理的に剥離した切片を、フラスコ燃焼法にて分解し、続いてイオンクロマトグラフ分析にかけることでも、樹脂中の平均フッ素元素濃度(Eb)を同定することができる   Here, the surface layer (nanoparticle 102 surface side region) of the resin layer constituting the protective layer 101 is, for example, from the nanoparticle 102 surface side of the resin layer constituting the protective layer 101 to the second surface 2b side. In other words, it means a portion that has penetrated approximately 1 to 10% in the thickness direction, or a portion that has penetrated 2 nm to 20 nm in the thickness direction. The fluorine element concentration (Es) in the region on the nanoparticle 102 surface side of the resin layer constituting the protective layer 101 can be quantified by X-ray photoelectron spectroscopy (XPS method). Since the penetration length of X-rays in the XPS method is as shallow as several nm, it is suitable for quantifying the Es value. As another analysis method, Es / Eb can be calculated using energy dispersive X-ray spectroscopy (TEM-EDX) using a transmission electron microscope. Further, the average fluorine concentration (Eb) in the resin constituting the resin layer constituting the protective layer 101 can be calculated from the charged amount. Alternatively, the average fluorine element concentration (Eb) in the resin can be identified by disassembling the section from which the resin layer constituting the protective layer 101 is physically peeled and then subjecting it to an ion chromatography analysis. can do

保護層101を構成する樹脂層を構成する樹脂のうち、光重合可能なラジカル重合系の樹脂としては、非フッ素含有の(メタ)アクリレート、フッ素含有(メタ)アクリレート及び光重合開始剤の混合物である硬化性樹脂組成物(1)や、非フッ素含有の(メタ)アクリレート及び光重合開始剤の混合物である硬化性樹脂組成物(2)や、非フッ素含有の(メタ)アクリレート、シリコーン及び光重合開始剤の混合物である硬化性樹脂組成物(3)等を用いることが好ましい。また、金属アルコキシドに代表されるゾルゲル材料を含む硬化性樹脂組成物(4)を用いることもできる。特に、硬化性樹脂組成物(1)を用いることで、表面自由エネルギーの低い疎水性界面等に該組成物(1)を接触させた状態で上記組成物(1)を硬化させると、保護層101を構成する樹脂層表層部のフッ素元素濃度(Es)を、保護層101を構成する樹脂層を構成する樹脂中の平均フッ素元素濃度(Eb)より大きくでき、さらには樹脂中の平均フッ素元素濃度(Eb)をより小さくするように調整することができる。   Of the resins constituting the resin layer constituting the protective layer 101, the photopolymerizable radical polymerization resin is a mixture of non-fluorine-containing (meth) acrylate, fluorine-containing (meth) acrylate and photopolymerization initiator. A curable resin composition (1), a curable resin composition (2) that is a mixture of a non-fluorine-containing (meth) acrylate and a photopolymerization initiator, a non-fluorine-containing (meth) acrylate, silicone, and light It is preferable to use a curable resin composition (3) or the like that is a mixture of polymerization initiators. Moreover, the curable resin composition (4) containing the sol-gel material represented by the metal alkoxide can also be used. In particular, by using the curable resin composition (1), when the composition (1) is cured while the composition (1) is in contact with a hydrophobic interface having a low surface free energy, the protective layer The fluorine element concentration (Es) in the surface layer portion of the resin layer constituting 101 can be made larger than the average fluorine element concentration (Eb) in the resin constituting the resin layer constituting the protective layer 101, and further the average fluorine element in the resin The density (Eb) can be adjusted to be smaller.

(A)(メタ)アクリレート
硬化性樹脂組成物(1)を構成する(メタ)アクリレートとしては、後述する(B)フッ素含有(メタ)アクリレート以外の重合性モノマーであれば制限はないが、アクリロイル基又はメタクリロイル基を有するモノマー、ビニル基を有するモノマー、アリル基を有するモノマーが好ましく、アクリロイル基又はメタクリロイル基を有するモノマーがより好ましい。そして、それらは非フッ素含有のモノマーであることが好ましい。なお、(メタ)アクリレートはアクリレート又はメタアクリレートを意味する。
(A) (Meth) acrylate The (meth) acrylate constituting the curable resin composition (1) is not limited as long as it is a polymerizable monomer other than (B) fluorine-containing (meth) acrylate described later, but acryloyl. A monomer having a group or a methacryloyl group, a monomer having a vinyl group, or a monomer having an allyl group is preferable, and a monomer having an acryloyl group or a methacryloyl group is more preferable. And it is preferable that they are non-fluorine containing monomers. In addition, (meth) acrylate means an acrylate or a methacrylate.

また、重合性モノマーとしては、重合性基を複数具備した多官能性モノマーであることが好ましく、重合性基の数は、重合性に優れることから1〜6の整数が好ましい。また、2種類以上の重合性モノマーを混合して用いる場合、重合性基の平均数は1.5〜4が好ましい。単一モノマーを使用する場合は、重合反応後の架橋点を増やし、硬化物の物理的安定性(強度、耐熱性等)を得るため、重合性基の数が3以上のモノマーであることが好ましい。また、重合性基の数が1又は2であるモノマーの場合、重合性数の異なるモノマーと併用して使用することが好ましい。   The polymerizable monomer is preferably a polyfunctional monomer having a plurality of polymerizable groups, and the number of polymerizable groups is preferably an integer of 1 to 6 because of excellent polymerizability. Moreover, when mixing and using 2 or more types of polymeric monomers, 1.5-4 are preferable for the average number of polymeric groups. When using a single monomer, the number of polymerizable groups may be 3 or more in order to increase the crosslinking point after the polymerization reaction and to obtain physical stability (strength, heat resistance, etc.) of the cured product. preferable. In the case of a monomer having 1 or 2 polymerizable groups, it is preferably used in combination with monomers having different polymerizable numbers.

(B)フッ素含有(メタ)アクリレート
硬化性樹脂組成物(1)を構成するフッ素含有(メタ)アクリレートとしては、ポリフルオロアルキレン鎖及び/又はペルフルオロ(ポリオキシアルキレン)鎖と、重合性基とを有することが好ましく、直鎖状ペルフルオロアルキレン基、又は炭素原子−炭素原子間にエーテル性酸素原子が挿入されかつトリフルオロメチル基を側鎖に有するペルフルオロオキシアルキレン基がさらに好ましい。また、トリフルオロメチル基を分子側鎖又は分子構造末端に有する直鎖状のポリフルオロアルキレン鎖及び/又は直鎖状のペルフルオロ(ポリオキシアルキレン)鎖が特に好ましい。
(B) Fluorine-containing (meth) acrylate As the fluorine-containing (meth) acrylate constituting the curable resin composition (1), a polyfluoroalkylene chain and / or a perfluoro (polyoxyalkylene) chain and a polymerizable group are used. It is preferable to have a linear perfluoroalkylene group, or a perfluorooxyalkylene group having an etheric oxygen atom inserted between carbon atoms and carbon atoms and having a trifluoromethyl group in the side chain. Further, a linear polyfluoroalkylene chain having a trifluoromethyl group at the molecular side chain or molecular structure terminal and / or a linear perfluoro (polyoxyalkylene) chain is particularly preferred.

ポリフルオロアルキレン鎖は、炭素数2〜炭素数24のポリフルオロアルキレン基が好ましい。また、ポリフルオロアルキレン基は、官能基を有していてもよい。   The polyfluoroalkylene chain is preferably a polyfluoroalkylene group having 2 to 24 carbon atoms. Moreover, the polyfluoroalkylene group may have a functional group.

ペルフルオロ(ポリオキシアルキレン)鎖は、(CFCFO)単位、(CFCF(CF)O)単位、(CFCFCFO)単位及び(CFO)単位からなる群から選ばれた1種以上のペルフルオロ(オキシアルキレン)単位からなることが好ましく、(CFCFO)単位、(CFCF(CF)O)単位、又は(CFCFCFO)単位からなることがより好ましい。ペルフルオロ(ポリオキシアルキレン)鎖は、含フッ素重合体の物性(耐熱性、耐酸性等)が優れることから、(CFCFO)単位からなることが特に好ましい。ペルフルオロ(オキシアルキレン)単位の数は、含フッ素重合体の離型性と硬度が高いことから、2〜200の整数が好ましく、2〜50の整数がより好ましい。 The perfluoro (polyoxyalkylene) chain is a group consisting of (CF 2 CF 2 O) units, (CF 2 CF (CF 3 ) O) units, (CF 2 CF 2 CF 2 O) units and (CF 2 O) units. It is preferably composed of one or more perfluoro (oxyalkylene) units selected from: (CF 2 CF 2 O) units, (CF 2 CF (CF 3 ) O) units, or (CF 2 CF 2 CF 2 O). ) Units. The perfluoro (polyoxyalkylene) chain is particularly preferably composed of (CF 2 CF 2 O) units because the physical properties (heat resistance, acid resistance, etc.) of the fluoropolymer are excellent. The number of perfluoro (oxyalkylene) units is preferably an integer of 2 to 200, more preferably an integer of 2 to 50, because the release property and hardness of the fluoropolymer are high.

重合性基としては、ビニル基、アリル基、アクリロイル基、メタクリロイル基、エポキシ基、ジオキタセン基、シアノ基、イソシアネート基又は式−(CH)aSi(M1)3−b(M2)で表される加水分解性シリル基が好ましく、アクリロイル基又はメタクリロイル基がより好ましい。ここで、M1は加水分解反応により水酸基に変換される置換基である。このような置換基としては、ハロゲン原子、アルコキシ基、アシロキシ基等が挙げられる。ハロゲン原子としては、塩素原子が好ましい。アルコキシ基としては、メトキシ基又はエトキシ基が好ましく、メトキシ基がより好ましい。M1としては、アルコキシ基が好ましく、メトキシ基がより好ましい。M2は、1価の炭化水素基である。M2としては、アルキル基、1以上のアリール基で置換されたアルキル基、アルケニル基、アルキニル基、シクロアルキル基、アリール基等が挙げられ、アルキル基又はアルケニル基が好ましい。M2がアルキル基である場合、炭素数1〜炭素数4のアルキル基が好ましく、メチル基又はエチル基がより好ましい。M2がアルケニル基である場合、炭素数2〜炭素数4のアルケニル基が好ましく、ビニル基又はアリル基がより好ましい。aは1〜3の整数であり、3が好ましい。bは0又は1〜3の整数であり、0が好ましい。加水分解性シリル基としては、(CHO)SiCH−、(CHCHO)SiCH−、(CHO)Si(CH−又は(CHCHO)Si(CH−が好ましい。 The polymerizable group is represented by a vinyl group, an allyl group, an acryloyl group, a methacryloyl group, an epoxy group, a diquitacene group, a cyano group, an isocyanate group, or a formula — (CH 2 ) aSi (M1) 3-b (M2) b. A hydrolyzable silyl group is preferable, and an acryloyl group or a methacryloyl group is more preferable. Here, M1 is a substituent which is converted into a hydroxyl group by a hydrolysis reaction. Examples of such a substituent include a halogen atom, an alkoxy group, and an acyloxy group. As the halogen atom, a chlorine atom is preferable. As an alkoxy group, a methoxy group or an ethoxy group is preferable, and a methoxy group is more preferable. As M1, an alkoxy group is preferable, and a methoxy group is more preferable. M2 is a monovalent hydrocarbon group. Examples of M2 include an alkyl group, an alkyl group substituted with one or more aryl groups, an alkenyl group, an alkynyl group, a cycloalkyl group, and an aryl group, and an alkyl group or an alkenyl group is preferable. When M2 is an alkyl group, an alkyl group having 1 to 4 carbon atoms is preferable, and a methyl group or an ethyl group is more preferable. When M2 is an alkenyl group, an alkenyl group having 2 to 4 carbon atoms is preferable, and a vinyl group or an allyl group is more preferable. a is an integer of 1 to 3, and 3 is preferable. b is 0 or an integer of 1 to 3, and 0 is preferable. Examples of the hydrolyzable silyl group include (CH 3 O) 3 SiCH 2 —, (CH 3 CH 2 O) 3 SiCH 2 —, (CH 3 O) 3 Si (CH 2 ) 3 — or (CH 3 CH 2 O ) 3 Si (CH 2 ) 3 — is preferred.

重合性基の数は、重合性に優れることから1〜4の整数が好ましく、1〜3の整数がより好ましい。2種以上の化合物を用いる場合、重合性基の平均数は1〜3が好ましい。   The number of polymerizable groups is preferably an integer of 1 to 4 and more preferably an integer of 1 to 3 because of excellent polymerizability. When using 2 or more types of compounds, the average number of polymerizable groups is preferably 1 to 3.

フッ素含有(メタ)アクリレートは、官能基を有すると支持基材100との密着性に優れる。官能基としては、カルボキシル基、スルホン酸基、エステル結合を有する官能基、アミド結合を有する官能基、水酸基、アミノ基、シアノ基、ウレタン基、イソシアネート基、イソシアヌル酸誘導体を有する官能基等が挙げられる。特に、カルボキシル基、ウレタン基、イソシアヌル酸誘導体を有する官能基の少なくとも1つの官能基を含むことが好ましい。なお、イソシアヌル酸誘導体には、イソシアヌル酸骨格を有するもので、窒素原子に結合する少なくとも1つの水素原子が他の基で置換されている構造のものが包含される。フッ素含有(メタ)アクリレートとしては、フルオロ(メタ)アクリレート、フルオロジエン等を用いることができる。フッ素含有(メタ)アクリレートの具体例としては、下記の化合物が挙げられる。   If the fluorine-containing (meth) acrylate has a functional group, the adhesiveness to the support substrate 100 is excellent. Examples of the functional group include a carboxyl group, a sulfonic acid group, a functional group having an ester bond, a functional group having an amide bond, a hydroxyl group, an amino group, a cyano group, a urethane group, an isocyanate group, and a functional group having an isocyanuric acid derivative. It is done. In particular, it preferably contains at least one functional group of a functional group having a carboxyl group, a urethane group, or an isocyanuric acid derivative. The isocyanuric acid derivatives include those having an isocyanuric acid skeleton and a structure in which at least one hydrogen atom bonded to the nitrogen atom is substituted with another group. As the fluorine-containing (meth) acrylate, fluoro (meth) acrylate, fluorodiene, or the like can be used. Specific examples of the fluorine-containing (meth) acrylate include the following compounds.

なお、上述した表層のフッ素元素濃度(Es)と平均フッ素元素濃度(Eb)と、の比率(Es/Eb)を調整する観点から、フッ素含有(メタ)アクリレートは、下記化学式(1)で示されるフッ素含有ウレタン(メタ)アクリレートを採用することが好ましい。このようなウレタン(メタ)アクリレートとしては、例えば、ダイキン工業社製の「オプツールDAC」を用いることができる。   From the viewpoint of adjusting the ratio (Es / Eb) between the fluorine element concentration (Es) and the average fluorine element concentration (Eb) of the surface layer, the fluorine-containing (meth) acrylate is represented by the following chemical formula (1). It is preferable to employ a fluorine-containing urethane (meth) acrylate. As such urethane (meth) acrylate, for example, “OPTOOL DAC” manufactured by Daikin Industries, Ltd. can be used.

(化学式(1)中、R1は、下記化学式(2)を表し、R2は、下記化学式(3)を表す。) (In the chemical formula (1), R1 represents the following chemical formula (2), and R2 represents the following chemical formula (3).)

(化学式(2)中、nは、1以上6以下の整数である。) (In chemical formula (2), n is an integer of 1 or more and 6 or less.)

(化学式(3)中、Rは、H又はCHである。) (In the chemical formula (3), R is H or CH 3. )

フッ素含有(メタ)アクリレートは、1種を単独で用いてもよく、2種以上を併用してもよい。また、耐摩耗性、耐傷付き、指紋付着防止、防汚性、レベリング性や撥水撥油性等の表面改質剤との併用もできる。   A fluorine-containing (meth) acrylate may be used individually by 1 type, and may use 2 or more types together. Further, it can be used in combination with surface modifiers such as abrasion resistance, scratch resistance, fingerprint adhesion prevention, antifouling property, leveling property and water / oil repellency.

フッ素含有(メタ)アクリレートは、分子量Mwが50〜50000であることが好ましく、相溶性の観点から分子量Mwが50〜5000であることが好ましく、分子量Mwが100〜5000であることがより好ましい。相溶性の低い高分子量体を使用する際は希釈溶剤を使用しても良い。希釈溶剤としては、単一溶剤の沸点が40℃〜180℃の溶剤が好ましく、60℃〜180℃がより好ましく、60℃〜140℃がさらに好ましい。希釈剤は2種類以上使用もよい。   The fluorine-containing (meth) acrylate preferably has a molecular weight Mw of 50 to 50,000. From the viewpoint of compatibility, the molecular weight Mw is preferably 50 to 5,000, and the molecular weight Mw is more preferably 100 to 5,000. When using a high molecular weight material having low compatibility, a diluting solvent may be used. As a dilution solvent, the solvent whose boiling point of a single solvent is 40 to 180 degreeC is preferable, 60 to 180 degreeC is more preferable, and 60 to 140 degreeC is further more preferable. Two or more kinds of diluents may be used.

溶剤含量は、少なくとも硬化性樹脂組成物(1)中で分散する量であればよく、硬化性樹脂組成物(1)100重量部に対して0重量部超〜50重量部が好ましい。乾燥後の残存溶剤量を限りなく除去することを配慮すると、0重量部超〜10重量部がより好ましい。   The solvent content may be at least an amount capable of being dispersed in the curable resin composition (1), and is preferably more than 0 to 50 parts by weight with respect to 100 parts by weight of the curable resin composition (1). Considering removing the amount of residual solvent after drying as much as possible, more than 0 parts by weight to 10 parts by weight is more preferable.

特に、レベリング性を向上させるために溶剤を含有する場合は、(メタ)アクリレート100重量部に対して、溶剤含量が0.1重量部以上40重量部以下であれば好ましい。溶剤含量が0.5重量部以上20重量部以下であれば、硬化性樹脂組成物(1)の硬化性を維持できるためより好ましく、1重量部以上15重量部以下であれば、さらに好ましい。硬化性樹脂組成物(1)の膜厚を薄くするために溶剤を含有する場合は、(メタ)アクリレート100重量部に対して、溶剤含量が300重量部以上10000重量部以下であれば、塗工後の乾燥工程での溶液安定性を維持できるため好ましく、300重量部以上1000重量部以下であればより好ましい。   In particular, when a solvent is contained in order to improve leveling properties, the solvent content is preferably 0.1 parts by weight or more and 40 parts by weight or less with respect to 100 parts by weight of (meth) acrylate. If the solvent content is 0.5 part by weight or more and 20 parts by weight or less, the curability of the curable resin composition (1) can be maintained, more preferably 1 part by weight or more and 15 parts by weight or less. When the solvent is contained in order to reduce the film thickness of the curable resin composition (1), if the solvent content is 300 parts by weight or more and 10,000 parts by weight or less with respect to 100 parts by weight of (meth) acrylate, Since the solution stability in the drying process after a process can be maintained, it is preferable and it is more preferable if it is 300 to 1000 weight part.

(C)光重合開始剤
硬化性樹脂組成物(1)を構成する光重合開始剤は、光によりラジカル反応又はイオン反応を引き起こすものであり、ラジカル反応を引き起こす光重合開始剤が好ましい。
(C) Photopolymerization initiator The photopolymerization initiator constituting the curable resin composition (1) causes a radical reaction or an ionic reaction by light, and a photopolymerization initiator that causes a radical reaction is preferable.

硬化性樹脂組成物(1)は、光増感剤を含んでいてもよい。   The curable resin composition (1) may contain a photosensitizer.

光重合開始剤は、1種のみを単独で用いても、2種類以上を併用してもよい。2種類以上併用する場合には、フッ素含有(メタ)アクリレートの分散性、及び硬化性樹脂組成物(1)のナノ粒子面側の表層及び内部の硬化性の観点から選択するとよい。例えば、αヒドロキシケトン系光重合開始剤とαアミノケトン系光重合開始剤とを併用することが挙げられる。   A photoinitiator may be used individually by 1 type, or may use 2 or more types together. When using 2 or more types together, it is good to select from the viewpoint of the dispersibility of fluorine-containing (meth) acrylate, the surface layer on the nanoparticle surface side of the curable resin composition (1), and the internal curability. For example, the combined use of an α-hydroxyketone photopolymerization initiator and an α-aminoketone photopolymerization initiator can be mentioned.

硬化性樹脂組成物(2)は、上述した硬化性樹脂組成物(1)から(B)フッ素含有(メタ)アクリレートを除いたものを使用することができる。保護層101を構成する樹脂が硬化性樹脂組成物(2)の硬化物である場合、金属層と離型層の両方、又はいずれか一方を設けることが、ナノ粒子102の転写精度の観点から好ましい。   As the curable resin composition (2), one obtained by removing (B) fluorine-containing (meth) acrylate from the curable resin composition (1) described above can be used. In the case where the resin constituting the protective layer 101 is a cured product of the curable resin composition (2), it is possible to provide both or one of the metal layer and the release layer from the viewpoint of the transfer accuracy of the nanoparticles 102. preferable.

硬化性樹脂組成物(3)は、上述した硬化性樹脂組成物(1)にシリコーンを添加するか、又は、硬化性樹脂組成物(2)にシリコーンを添加したものを使用することができる。   As the curable resin composition (3), one obtained by adding silicone to the curable resin composition (1) described above or by adding silicone to the curable resin composition (2) can be used.

シリコーンを含むことにより、シリコーン特有の離型性や滑り性により、ナノ粒子102及び第1のマスク層103の転写精度が向上する。硬化性樹脂組成物(3)に使用されるシリコーンとしては、例えば、ジメチルクロロシランの重合体であるポリジメチルシロキサン(PDMS)に代表される、常温で流動性を示す線状低重合度のシリコーンオイルや、それらの変性シリコーンオイル、高重合度の線状PDMS又は、PDMSを中程度に架橋しゴム状弾性を示すようにしたシリコーンゴムや、それらの変性シリコーンゴム、また樹脂状のシリコーン、PDMSと4官能のシロキサンから構成される3次元網目構造を有す樹脂であるシリコーンレジン(又はDQレジン)等が挙げられる。架橋剤として有機分子を用いる場合や、4官能のシロキサン(Qユニット)を用いる場合もある。   By including silicone, the transfer accuracy of the nanoparticles 102 and the first mask layer 103 is improved by the release property and slipperiness unique to silicone. As the silicone used in the curable resin composition (3), for example, a linear low-polymerization silicone oil exhibiting fluidity at room temperature, typified by polydimethylsiloxane (PDMS) which is a polymer of dimethylchlorosilane. And their modified silicone oils, linear PDMS with a high degree of polymerization, or silicone rubbers that are moderately crosslinked to exhibit rubbery elasticity, their modified silicone rubbers, resinous silicones, and PDMS Examples thereof include a silicone resin (or DQ resin) which is a resin having a three-dimensional network structure composed of tetrafunctional siloxane. In some cases, an organic molecule is used as the cross-linking agent, or tetrafunctional siloxane (Q unit) is used.

変性シリコーンオイル、変性シリコーンレジンは、ポリシロキサンの側鎖及び/又は末端を変性したものであり、反応性シリコーンと、非反応性シリコーンと、に分けられる。反応性シリコーンとしては、−OH基(水酸基)を含むシリコーン、アルコキシ基を含むシリコーン、トリアルコキシ基を含むシリコーン、エポキシ基を含むシリコーンが好ましい。非反応性シリコーンとしては、フェニル基を含むシリコーン、メチル基とフェニル基を双方含むシリコーン等が好ましい。1つのポリシロキサン分子に上記したような変性を2つ以上施したものを使用してもよい。   Modified silicone oils and modified silicone resins are those in which the side chain and / or terminal of polysiloxane is modified, and are classified into reactive silicones and non-reactive silicones. As the reactive silicone, a silicone containing an —OH group (hydroxyl group), a silicone containing an alkoxy group, a silicone containing a trialkoxy group, and a silicone containing an epoxy group are preferable. As the non-reactive silicone, a silicone containing a phenyl group, a silicone containing both a methyl group and a phenyl group, and the like are preferable. A single polysiloxane molecule having two or more modifications as described above may be used.

反応性シリコーンとしては、アミノ変性、エポキシ変性、カルボキシル変性、カルビノール変性、メタクリル変性、ビニル変性、メルカプト変性、フェノール変性、片末端反応性、異種官能基変性等が挙げられる。   Examples of the reactive silicone include amino modification, epoxy modification, carboxyl modification, carbinol modification, methacryl modification, vinyl modification, mercapto modification, phenol modification, one-terminal reactivity, and heterofunctional modification.

また、ビニル基、メタクリル基、アミノ基、エポキシ基又は脂環式エポキシ基のいずれかを含有するシリコーン化合物を含有することにより、シリコーンを、化学結合を介し保護層101中に組み込むことができるため、ナノ粒子102及び第1のマスク層103の転写精度が向上する。特に、ビニル基、メタクリル基、エポキシ基又は脂環式エポキシ基のいずれかを含有するシリコーン化合物を含有することにより、上記効果をよりいっそう発揮するため好ましい。保護層101の樹脂層の硬化性という観点からは、ビニル基又はメタクリル基のいずれかを含有するシリコーン化合物を含有することが好ましい。また、支持基材への接着性という観点からは、エポキシ基又は脂環式エポキシ基のいずれかを含有するシリコーン化合物を含有することが好ましい。ビニル基、メタクリル基、アミノ基、エポキシ基又は脂環式エポキシ基のいずれかを含有するシリコーン化合物は、1種類のみを使用してもよく、複数を併用してもよい。光重合性基を持つシリコーンと、光重合性基を持たないシリコーンは、併用しても、単独で用いてもよい。   In addition, since a silicone compound containing any one of a vinyl group, a methacryl group, an amino group, an epoxy group, or an alicyclic epoxy group can be contained, silicone can be incorporated into the protective layer 101 through a chemical bond. In addition, the transfer accuracy of the nanoparticles 102 and the first mask layer 103 is improved. In particular, the inclusion of a silicone compound containing any one of a vinyl group, a methacryl group, an epoxy group, and an alicyclic epoxy group is preferable because the above effects can be further exhibited. From the viewpoint of curability of the resin layer of the protective layer 101, it is preferable to contain a silicone compound containing either a vinyl group or a methacryl group. Moreover, it is preferable to contain the silicone compound containing either an epoxy group or an alicyclic epoxy group from a viewpoint of the adhesiveness to a support base material. As for the silicone compound containing any one of vinyl group, methacryl group, amino group, epoxy group and alicyclic epoxy group, only one kind may be used or a plurality may be used in combination. The silicone having a photopolymerizable group and the silicone having no photopolymerizable group may be used in combination or singly.

硬化性樹脂組成物(4)は、上記硬化性樹脂組成物(1)〜硬化性樹脂組成物(3)に対し、以下で説明するゾルゲル材料を添加したものや、又は、ゾルゲル材料のみで構成された組成物を採用することができる。硬化性樹脂組成物(1)〜硬化性樹脂組成物(3)に対し、ゾルゲル材料を加えることで、ゾルゲル材料特有の収縮作用による上記保護層の複製効率が向上する効果や、ゾルゲル材料特有の無機として性質を発揮することが可能となるため、保護層101に対するナノ粒子102や第1のマスク層103の浸透抑制効果が向上し、ナノ粒子102及び第1のマスク層103の転写精度が向上する   The curable resin composition (4) is composed of the curable resin composition (1) to the curable resin composition (3) to which a sol-gel material described below is added or only a sol-gel material. Composition can be employed. By adding a sol-gel material to the curable resin composition (1) to the curable resin composition (3), the effect of improving the replication efficiency of the protective layer due to the shrinkage action specific to the sol-gel material, Since it becomes possible to exhibit properties as inorganic, the permeation suppression effect of the nanoparticles 102 and the first mask layer 103 to the protective layer 101 is improved, and the transfer accuracy of the nanoparticles 102 and the first mask layer 103 is improved. Do

保護層101を構成するゾルゲル材料としては、熱や触媒の作用により、加水分解・重縮合が進行し、硬化する化合物群である、金属アルコキシド、金属アルコラート、金属キレート化合物、ハロゲン化シラン、液状ガラス、スピンオングラスや、これらの反応物であれば、特に限定されない。これらを総称して金属アルコキシドと呼ぶ。   As the sol-gel material constituting the protective layer 101, metal alkoxide, metal alcoholate, metal chelate compound, halogenated silane, liquid glass, which is a group of compounds that are cured by hydrolysis and polycondensation by the action of heat and catalyst. , Spin-on-glass or any of these reactants is not particularly limited. These are collectively called metal alkoxides.

金属アルコキシドとは、Si,Ti,Zr,Zn,Sn,B,In,Alに代表される金属種と、ヒドロキシ基、メトキシ基、エトキシ基、プロピル基、又はイソプロピル基等の官能基が結合した化合物群である。これらの官能基が、水、有機溶剤又は加水分解触媒等により、加水分解・重縮合反応を進行させ、メタロキサン結合(−Me1−O−Me2−結合。ただし、Me1、Me2は金属種であり、同一であっても異なってもよい)を生成する。例えば、金属種がSiであれば、−Si−O−Si−といったメタロキサン結合(シロキサン結合)を生成する。金属種(M1)と、金属種(Si)の金属アルコキシドを用いた場合、例えば、−M1−O−Si−といった結合を生成することもできる。   A metal alkoxide is a combination of a metal species represented by Si, Ti, Zr, Zn, Sn, B, In, Al and a functional group such as a hydroxy group, a methoxy group, an ethoxy group, a propyl group, or an isopropyl group. It is a compound group. These functional groups cause hydrolysis and polycondensation reaction to proceed with water, an organic solvent, a hydrolysis catalyst, or the like, and a metalloxane bond (-Me1-O-Me2-bond. However, Me1 and Me2 are metal species, Which may be the same or different). For example, if the metal species is Si, a metalloxane bond (siloxane bond) such as -Si-O-Si- is generated. When the metal species (M1) and the metal alkoxide of the metal species (Si) are used, for example, a bond such as -M1-O-Si- can be generated.

例えば、金属種(Si)の金属アルコキシドとしては、ジメチルジエトキシシラン、ジフェニルジエトキシシラン、フェニルトリエトキシシラン、メチルトリエトキシシラン、ビニルトリエトキシシラン、p−スチリルトリエトキシシラン、メチルフェニルジエトキシシラン、テトラエトキシシラン、p−スチリルトリエトキシシラン、等と、これら化合物群のエトキシ基が、メトキシ基、プロピル基、又はイソプロピル基に置き換わった化合物等が挙げられる。また、ジフェニルシランジオールやジメチルシランジオールといった、ヒドロキシ基を有す化合物も選択できる。   For example, as the metal alkoxide of the metal species (Si), dimethyldiethoxysilane, diphenyldiethoxysilane, phenyltriethoxysilane, methyltriethoxysilane, vinyltriethoxysilane, p-styryltriethoxysilane, methylphenyldiethoxysilane , Tetraethoxysilane, p-styryltriethoxysilane, and the like, and compounds in which the ethoxy group of these compound groups is replaced with a methoxy group, a propyl group, or an isopropyl group. In addition, a compound having a hydroxy group such as diphenylsilanediol and dimethylsilanediol can be selected.

また、上記官能基の1つ以上が、金属種から酸素原子を介さずに、直接フェニル基等に置換された形態をとってもよい。例えば、ジフェニルシランジオールやジメチルシランジオール等が挙げられる。これらの化合物群を用いることにより、縮合後の密度が向上し、保護層101に対するナノ粒子102や第1のマスク層103の浸透を抑制効果が向上し、ナノ粒子102及び第1のマスク層103の転写精度が向上する。   Further, one or more of the functional groups may be directly substituted with a phenyl group or the like from a metal species without an oxygen atom. For example, diphenylsilanediol, dimethylsilanediol, etc. are mentioned. By using these compound groups, the density after condensation is improved, the effect of suppressing the penetration of the nanoparticles 102 and the first mask layer 103 into the protective layer 101 is improved, and the nanoparticles 102 and the first mask layer 103 are improved. The transfer accuracy is improved.

ハロゲン化シランとは、上記金属アルコキシドの金属種がシリコンで、加水分解重縮合する官能基がハロゲン原子に置き換わった化合物群である。   Halogenated silane is a group of compounds in which the metal species of the metal alkoxide is silicon and the functional group that undergoes hydrolytic polycondensation is replaced with a halogen atom.

液状ガラスとしては、アポロリング社製のTGAシリーズ等が挙げられる。所望の物性に合わせ、その他ゾルゲル化合物を添加することもできる。   Examples of the liquid glass include TGA series manufactured by Apollo Ring. Other sol-gel compounds can be added in accordance with the desired physical properties.

また、金属アルコキシドとしてシルセスキオキサン化合物を用いることもできる。シルセスキオキサンとは、ケイ素原子1個に対し、1つの有機基と3つの酸素原子が結合した化合物ある。シルセスキオキサンとしては、組成式(RSiO3/2で表されるポリシロキサンであれば特に限定されるものではないが、かご型、はしご型、ランダム等のいずれの構造を有するポリシロキサンであってもよい。また、組成式(RSiO3/2において、Rは、置換又は非置換のシロキシ基、その他任意の置換基でよい。nは、8〜12であることが好ましく、硬化性樹脂組成物(4)の硬化性が良好になるため、8〜10であることがより好ましく、nは8であることがさらに好ましい。n個のRは、それぞれ同一であっても異なっていてもよい。 A silsesquioxane compound can also be used as the metal alkoxide. Silsesquioxane is a compound in which one organic group and three oxygen atoms are bonded to one silicon atom. The silsesquioxane is not particularly limited as long as it is a polysiloxane represented by the composition formula (RSiO 3/2 ) n. However, the polysiloxane having any structure such as a cage type, a ladder type, or a random structure. It may be. In the composition formula (RSiO 3/2 ) n , R may be a substituted or unsubstituted siloxy group or any other substituent. n is preferably 8 to 12, more preferably 8 to 10 and further preferably n is 8 because the curability of the curable resin composition (4) is improved. The n Rs may be the same or different.

シルセスキオキサン化合物としては、例えば、ポリ水素化シルセスキオキサン、ポリメチルシルセスキオキサン、ポリエチルシルセスキオキサン、ポリプロピルシルセスキオキサン、ポリイイソプロピルシルセスキオキサン、ポリブチルシルセスキオキサン、ポリ−sec−ブチルシルセスキオキサン、ポリ−tert−ブチルシルセスキオキサン、ポリフェニルシルセスキオキサン等が挙げられる。また、これらのシルセスキオキサンに対してn個のRのうち少なくとも1つを、次に例示する置換基で置換してもよい。置換基としては、トリフルオロメチル、2,2,2−トリフルオロエチル、3,3,3−トリフルオロプロピル、2,2,3,3−テトラフルオロプロピル、2,2,3,3,3−ペンタフルオロプロピル、2,2,2−トリフルオロ−1−トリフルオロメチルエチル、2,2,3,4,4,4−ヘキサフルオロブチル、2,2,3,3,4,4,5,5−オクタフルオロペンチル、2,2,2−トリフルオロエチル、2,2,3,3−テトラフルオロプロピル、2,2,3,3,3−ペンタフルオロプロピル、2,2,3,3,4,4,5,5−オクタフルオロペンチル、3,3,3−トリフルオロプロピル、ノナフルオロ−1,1,2,2−テトラヒドロヘキシル、トリデカフルオロ−1,1,2,2−テトラヒドロオクチル、ヘプタデカフルオロ−1,1,2,2−テトラヒドロデシル、パーフルオロ−1H,1H,2H,2H−ドデシル、パーフルオロ−1H,1H,2H,2H−テトラデシル、3,3,4,4,5,5,6,6,6−ノナフルオロヘキシル等、アルコキシシリル基等が挙げられる。また、市販のシルセスキオキサンを使用することができる。例えば、Hybrid Plastics社の種々のかご型シルセスキオキサン誘導体、アルドリッチ社のシルセスキオキサン誘導体等が挙げられる。   Examples of the silsesquioxane compound include polyhydrogen silsesquioxane, polymethyl silsesquioxane, polyethyl silsesquioxane, polypropyl silsesquioxane, polyisopropyl silsesquioxane, polybutyl silsesquioxane, and polybutyl silsesquioxane. , Poly-sec-butylsilsesquioxane, poly-tert-butylsilsesquioxane, polyphenylsilsesquioxane, and the like. Moreover, you may substitute at least 1 among n R with respect to these silsesquioxane with the substituent illustrated next. Examples of the substituent include trifluoromethyl, 2,2,2-trifluoroethyl, 3,3,3-trifluoropropyl, 2,2,3,3-tetrafluoropropyl, 2,2,3,3,3 -Pentafluoropropyl, 2,2,2-trifluoro-1-trifluoromethylethyl, 2,2,3,4,4,4-hexafluorobutyl, 2,2,3,3,4,4,5 , 5-octafluoropentyl, 2,2,2-trifluoroethyl, 2,2,3,3-tetrafluoropropyl, 2,2,3,3,3-pentafluoropropyl, 2,2,3,3 , 4,4,5,5-octafluoropentyl, 3,3,3-trifluoropropyl, nonafluoro-1,1,2,2-tetrahydrohexyl, tridecafluoro-1,1,2,2-tetrahydrooctyl , Heptade Fluoro-1,1,2,2-tetrahydrodecyl, perfluoro-1H, 1H, 2H, 2H-dodecyl, perfluoro-1H, 1H, 2H, 2H-tetradecyl, 3,3,4,4,5,5 , 6,6,6-nonafluorohexyl, alkoxysilyl groups and the like. Commercially available silsesquioxane can also be used. For example, various cage-type silsesquioxane derivatives manufactured by Hybrid Plastics, silsesquioxane derivatives manufactured by Aldrich, and the like can be mentioned.

金属アルコキシドは、重合反応が部分的に反応し、未反応の官能基が残っているプレポリマ状態であってもよい。金属アルコキシドが部分的に縮合することで、金属種が酸素元素を介し連なったプレポリマを得ることができる。つまり、部分的に縮合することで、分子量の大きなプレポリマを作ることができる。金属アルコキシドを部分的に縮合することで、保護層101にフレキシビリティが付与され、結果、保護層101を金属アルコキシドを用いた転写により作製する場合の、微細パタンの破壊やクラックを抑制できる。   The metal alkoxide may be in a prepolymer state in which the polymerization reaction partially reacts and an unreacted functional group remains. When the metal alkoxide is partially condensed, a prepolymer in which metal species are connected via an oxygen element can be obtained. That is, a prepolymer having a large molecular weight can be produced by partial condensation. By partially condensing the metal alkoxide, flexibility is imparted to the protective layer 101. As a result, breakage of fine patterns and cracks when the protective layer 101 is produced by transfer using the metal alkoxide can be suppressed.

部分縮合度は、反応雰囲気や、金属アルコキシドの組み合わせ等により制御可能であり、どの程度の部分縮合度のプレポリマ状態で使用するかは、用途や使用方法により適宜選択できるため、特に限定はされない。例えば、部分縮合体の粘度が50cP以上であると、転写精度、水蒸気への安定性がより向上するため好ましく、100cP以上であると、これらの効果をより発揮できるため、なお好ましい。   The degree of partial condensation can be controlled by the reaction atmosphere, the combination of metal alkoxides, and the like, and the degree of partial condensation used in the prepolymer state can be appropriately selected depending on the application and method of use, and is not particularly limited. For example, when the viscosity of the partial condensate is 50 cP or more, transfer accuracy and stability to water vapor are further improved, and when the viscosity is 100 cP or more, these effects can be more exhibited, and still more preferable.

また、部分縮合を促進させたプレポリマは、脱水反応に基づく重縮合又は/及び脱アルコール反応に基づく重縮合により得ることができる。例えば、金属アルコキシド、水、溶剤(アルコール、ケトン、エーテル等)からなる溶液を20℃〜150℃の範囲で加熱し、加水分解、重縮合を経ることで、プレポリマを得ることができる。重縮合度は、温度、反応時間、及び圧力(減圧力)により制御可能であり、適宜選定できる。また、水の添加を行わず、環境雰囲気中の水分(湿度に基づく水蒸気)を利用し、徐々に加水分解・重縮合を行うことで、プレポリマの分子量分布を小さくすることも可能である。更に、重縮合を促進させるために、エネルギー線を照射する方法も挙げられる。ここでエネルギー線の光源は、金属アルコキシドの種類により適宜選定できるため、特に限定されないが、UV−LED光源、メタルハライド光源、高圧水銀灯光源等を採用できる。特に、金属アルコキシドに光酸発生剤を添加しておき、該組成物にエネルギー線を照射することで、光酸発生剤より光酸が発生し、該光酸を触媒として、金属アルコキシドの重縮合を促進でき、プレポリマを得ることができる。また、プレポリマの縮合度及び立体配置を制御する目的で、金属アルコキシドをキレート化した状態にて、上記操作を行い、プレポリマを得ることもできる。   Moreover, the prepolymer which promoted partial condensation can be obtained by polycondensation based on a dehydration reaction and / or polycondensation based on a dealcoholization reaction. For example, a prepolymer can be obtained by heating a solution composed of a metal alkoxide, water, and a solvent (alcohol, ketone, ether, etc.) in a range of 20 ° C. to 150 ° C., followed by hydrolysis and polycondensation. The degree of polycondensation can be controlled by temperature, reaction time, and pressure (decompression force), and can be selected as appropriate. Moreover, it is also possible to reduce the molecular weight distribution of the prepolymer by gradually performing hydrolysis and polycondensation using water (water vapor based on humidity) in the environmental atmosphere without adding water. Furthermore, in order to promote polycondensation, the method of irradiating energy rays is also mentioned. Here, the light source of the energy beam can be appropriately selected depending on the type of metal alkoxide, and is not particularly limited, but a UV-LED light source, a metal halide light source, a high-pressure mercury lamp light source, or the like can be employed. In particular, by adding a photoacid generator to the metal alkoxide and irradiating the composition with energy rays, photoacid is generated from the photoacid generator, and polycondensation of the metal alkoxide using the photoacid as a catalyst. And a prepolymer can be obtained. In addition, for the purpose of controlling the condensation degree and configuration of the prepolymer, the prepolymer can be obtained by performing the above-described operation in the state of chelating the metal alkoxide.

なお、上記プレポリマとは、少なくとも4つ以上の金属元素が酸素原子を介し連なった状態と定義する。すなわち、−O−M1−O−M2−O−M3−O−M4−O−以上に金属元素が縮合した状態をプレポリマと定義する。ここで、M1、M2、M3、M4は金属元素であり、同一の金属元素であっても異なっていてもよい。例えば、Tiを金属種に有す金属アルコキシドを予備縮合し、−O−Ti−O−からなるメタロキサン結合を生成した場合、[―O−Ti−]nの一般式において、n≧4の範囲でプレポリマとする。同様に、例えば、Tiを金属種に有す金属アルコキシドと、Siを金属種とする金属アルコキシドを予備縮合し、−O−Ti−O−Si−O−からなるメタロキサン結合を生成した場合、[―O−Ti−O−Si−]nの一般式においてn≧2の範囲でプレポリマとする。但し、異種金属元素が含まれる場合、−O−Ti−O−Si−のように、互いに交互に配列するとは限らない。そのため、[−O−M−]n(但し、M=Ti又はSi)と、いう一般式において、n≧4の範囲でプレポリマとする。   The prepolymer is defined as a state in which at least four or more metal elements are connected via oxygen atoms. That is, a state in which a metal element is condensed to -O-M1-O-M2-O-M3-O-M4-O- or more is defined as a prepolymer. Here, M1, M2, M3, and M4 are metal elements, and may be the same metal element or different. For example, when a metal alkoxide having Ti as a metal species is pre-condensed to produce a metalloxane bond composed of —O—Ti—O—, a range of n ≧ 4 in the general formula [—O—Ti—] n And prepolymer. Similarly, for example, when a metal alkoxide having Ti as a metal species and a metal alkoxide having Si as a metal species are pre-condensed to form a metalloxane bond composed of —O—Ti—O—Si—O—, [ In the general formula of —O—Ti—O—Si—] n, a prepolymer is used in the range of n ≧ 2. However, in the case where a dissimilar metal element is included, the elements are not necessarily alternately arranged like -O-Ti-O-Si-. Therefore, in the general formula [-OM-] n (where M = Ti or Si), a prepolymer is used in the range of n ≧ 4.

金属アルコキシドは、フッ素含有シランカップリング剤を含むことができる。フッ素含有シランカップリング剤を含むことで、金属アルコキシドの硬化物からなる保護層101の微細パタン表面のエネルギーを低下させることが可能となり、離型層の形成等を行わなくても、ナノ粒子102や第1のマスク層103の転写精度が向上する。これは、離型層をあらかじめ保護層内部に組み込むことを意味する。   The metal alkoxide can include a fluorine-containing silane coupling agent. By including the fluorine-containing silane coupling agent, it is possible to reduce the energy of the fine pattern surface of the protective layer 101 made of the cured metal alkoxide, and the nano particles 102 can be formed without forming a release layer or the like. In addition, the transfer accuracy of the first mask layer 103 is improved. This means that the release layer is previously incorporated in the protective layer.

フッ素含有シランカップリング剤としては、例えば、一般式FC−(CF−(CH−Si(O−R)(ただし、nは1〜11の整数であり、mは1〜4の整数であり、そしてRは炭素数1〜3のアルキル基である。)で表される化合物であることができ、ポリフルオロアルキレン鎖及び/又はペルフルオロ(ポリオキシアルキレン)鎖を含んでいてもよい。直鎖状ペルフルオロアルキレン基、又は炭素原子−炭素原子間にエーテル性酸素原子が挿入され、かつ、トリフルオロメチル基を側鎖に有するペルフルオロオキシアルキレン基がさらに好ましい。また、トリフルオロメチル基を分子側鎖又は分子構造末端に有する直鎖状のポリフルオロアルキレン鎖及び/又は直鎖状のペルフルオロ(ポリオキシアルキレン)鎖が特に好ましい。ポリフルオロアルキレン鎖は、炭素数2〜炭素数24のポリフルオロアルキレン基が好ましい。ペルフルオロ(ポリオキシアルキレン)鎖は、(CFCFO)単位、(CFCF(CF)O)単位、(CFCFCFO)単位、及び(CFO)単位からなる群から選ばれる少なくとも1種類以上のペルフルオロ(オキシアルキレン)単位から構成されることが好ましく、(CFCFO)単位、(CFCF(CF)O)単位、又は(CFCFCFO)単位から構成されることがより好ましい。ペルフルオロ(ポリオキシアルキレン)鎖は、表面への偏析性が優れるという観点から、(CFCFO)単位から構成されることが特に好ましい。 Examples of the fluorine-containing silane coupling agent include a general formula F 3 C— (CF 2 ) n — (CH 2 ) m —Si (O—R) 3 (where n is an integer of 1 to 11, m Is an integer of 1 to 4 and R is an alkyl group having 1 to 3 carbon atoms.), A polyfluoroalkylene chain and / or a perfluoro (polyoxyalkylene) chain. May be included. A linear perfluoroalkylene group, or a perfluorooxyalkylene group having an etheric oxygen atom inserted between carbon atoms and a carbon atom and having a trifluoromethyl group in the side chain is more preferred. Further, a linear polyfluoroalkylene chain having a trifluoromethyl group at the molecular side chain or molecular structure terminal and / or a linear perfluoro (polyoxyalkylene) chain is particularly preferred. The polyfluoroalkylene chain is preferably a polyfluoroalkylene group having 2 to 24 carbon atoms. The perfluoro (polyoxyalkylene) chain is composed of (CF 2 CF 2 O) units, (CF 2 CF (CF 3 ) O) units, (CF 2 CF 2 CF 2 O) units, and (CF 2 O) units. It is preferably composed of at least one perfluoro (oxyalkylene) unit selected from the group, and is a (CF 2 CF 2 O) unit, (CF 2 CF (CF 3 ) O) unit, or (CF 2 CF 2 More preferably, it is composed of (CF 2 O) units. The perfluoro (polyoxyalkylene) chain is particularly preferably composed of (CF 2 CF 2 O) units from the viewpoint of excellent segregation on the surface.

また、本発明においては、金属アルコキシドは、ポリシランを含むことができる。ポリシランは、シリコン元素が主鎖を構築し、主鎖が―Si−Si―の繰り返しから構成される化合物である。ポリシランに、エネルギー線(例えばUV)を照射することで、―Si−Si―結合が切断され、シロキサン結合が生成する。このため、ポリシランを含むことで、UV照射により、効果的にシロキサン結合を生成でき、金属アルコキシドを原料に、保護層を転写形成する際の転写精度が向上する。   In the present invention, the metal alkoxide can contain polysilane. Polysilane is a compound in which a silicon element constitutes a main chain, and the main chain is composed of repeating -Si-Si-. By irradiating polysilane with energy rays (for example, UV), a —Si—Si— bond is cut and a siloxane bond is generated. For this reason, by containing polysilane, a siloxane bond can be effectively generated by UV irradiation, and the transfer accuracy when the protective layer is transferred and formed using metal alkoxide as a raw material is improved.

また、保護層101は、無機のセグメントと有機のセグメントを含むハイブリッドであってもよい。ハイブリッドであることにより、第2の積層体2を連続的に製造する際の保護層へのクラックや傷を抑制できる。更に、ナノ粒子102や第1のマスク層103の組成にもよるが、ナノ粒子102や第1のマスク層103の保護層101内部への浸透を抑制する効果が大きくなり、結果、転写精度を向上させることが可能となる。ハイブリッドとしては、例えば、無機前駆体と光重合(又は熱重合)可能な樹脂、や、有機ポリマーと無機セグメントが共有結合にて結合した分子、等が挙げられる。無機前駆体としてゾルゲル材料を使用する場合は、シランカップリング剤を含むゾルゲル材料の他に、光重合可能な樹脂を含むことを意味する。ハイブリッドの場合、例えば、金属アルコキシド、光重合性基を具備したシランカップリング材や、例えば、金属アルコキシド、光重合性基を具備したシランカップリング材、ラジカル重合系樹脂等を混合することができる。より転写精度を高めるために、これらにシリコーンを添加してもよい。シランカップリング剤を含む金属アルコキシドと、光重合性樹脂の混合比率は、転写精度の観点から、重量比にて3:7〜7:3の範囲が好ましい。   Further, the protective layer 101 may be a hybrid including an inorganic segment and an organic segment. By being a hybrid, cracks and scratches on the protective layer when the second laminate 2 is continuously produced can be suppressed. Furthermore, although depending on the composition of the nanoparticles 102 and the first mask layer 103, the effect of suppressing the penetration of the nanoparticles 102 and the first mask layer 103 into the protective layer 101 is increased, and as a result, the transfer accuracy is improved. It becomes possible to improve. Examples of the hybrid include a resin that can be photopolymerized (or thermally polymerized) with an inorganic precursor, a molecule in which an organic polymer and an inorganic segment are bonded by a covalent bond, and the like. When the sol-gel material is used as the inorganic precursor, it means that a photopolymerizable resin is included in addition to the sol-gel material containing the silane coupling agent. In the case of a hybrid, for example, a metal alkoxide, a silane coupling material having a photopolymerizable group, a metal alkoxide, a silane coupling material having a photopolymerizable group, a radical polymerization resin, or the like can be mixed. . In order to further improve the transfer accuracy, silicone may be added thereto. The mixing ratio between the metal alkoxide containing the silane coupling agent and the photopolymerizable resin is preferably in the range of 3: 7 to 7: 3 by weight from the viewpoint of transfer accuracy.

[ナノ粒子]
ナノ粒子102の材料については、後述する選択比を満たせば特に限定されず、有機物、無機物或いは有機無機複合体を使用できる。例えば、溶剤に希釈可能な種々の公知樹脂(有機物)、無機前駆体、無機縮合体、メッキ液(クロムメッキ液等)、金属酸化物フィラー、金属酸化物微粒子、金属微粒子、HSQに代表されるシルセスキオキサン、スピンオングラス等を使用できる。ナノ粒子102は、第2の積層体2を使用して、積層体201を転写形成する際の転写精度の観点から、ナノ粒子102と後述する第1のマスク層103とが化学的に結合するか、又は水素結合を形成することが好ましい。転写速度及び精度を向上させるためには、光重合又は熱重合、そしてこれらの複合重合が有用である。そのため、ナノ粒子は、重合性官能基を含まずとも使用することはできるが、光重合可能な光重合性基と熱重合可能な重合性基の両方、又はいずれか一方を含むと特に好ましい。また、ナノ粒子102は、耐ドライエッチング性の観点から、金属元素を含むことが好ましい。更に、ナノ粒子102は、金属酸化物微粒子を含むことにより、被処理体200をドライエッチングする際の加工が、より容易になるため好ましい。
[Nanoparticles]
The material of the nanoparticles 102 is not particularly limited as long as the selection ratio described later is satisfied, and an organic substance, an inorganic substance, or an organic-inorganic composite can be used. For example, various known resins (organic substances) that can be diluted in a solvent, inorganic precursors, inorganic condensates, plating solutions (such as chromium plating solutions), metal oxide fillers, metal oxide fine particles, metal fine particles, and HSQ are representative. Silsesquioxane, spin-on-glass, etc. can be used. From the viewpoint of transfer accuracy when the nanoparticle 102 uses the second laminate 2 to transfer and form the laminate 201, the nanoparticle 102 and the first mask layer 103 described later are chemically bonded. Or forming a hydrogen bond. In order to improve the transfer speed and accuracy, photopolymerization or thermal polymerization, and complex polymerization thereof are useful. Therefore, the nanoparticles can be used without containing a polymerizable functional group, but it is particularly preferable that the nanoparticles contain a photopolymerizable group capable of photopolymerization and / or a polymerizable group capable of thermal polymerization. The nanoparticles 102 preferably contain a metal element from the viewpoint of dry etching resistance. Furthermore, the nanoparticle 102 is preferable because it includes metal oxide fine particles, so that processing when the object to be processed 200 is dry-etched becomes easier.

ナノ粒子102に含まれる金属元素としては、チタン(Ti)、ジルコニウム(Zr)、クロム(Cr)、亜鉛(Zn)、スズ(Sn)、ホウ素(B)、インジウム(In)、アルミニウム(Al)、シリコン(Si)、金(Au)、銀(Ag)、モリブデン(Mo)、タングステン(W)、ゲルマニウム(Ge)、ハフニウム(Hf)からなる群から選ばれた少なくとも1種であることが好ましい。特に、チタン(Ti)、ジルコニウム(Zr)、クロム(Cr)、シリコン(Si)、又は金(Au)であることが好ましい。また、ナノ粒子102に含まれる金属元素が安定に存在し、且つ後述するドライエッチング耐性を満たし、ナノ粒子102/第1のマスク層103/被処理体200から構成される積層体201に対し、ナノ粒子102をマスクとして第1のマスク層103をエッチングする際の加工精度を向上させる観点から、ナノ粒子102は、メタロキサン結合(―O−Me1−O−Me2−O−)を含むことが好ましい。ここで、Me1及びMe2は共に金属元素であり、同一の金属元素であっても異なっていてもよい。Me1又はMe2としては、上記説明した金属元素を採用するこができる。例えば、単一金属元素の場合、―O−Ti−O−Ti−O−や、―O−Zr−O−Zr−O−、そして―O−Si−O−Si−O−等が挙げられる。異種金属元素の場合、―O−Ti−O−Si−O−、―O−Zr−O−Si−O−、―O−Zn−O−Si−O−等が挙げられる。なお、メタロキサン結合中の金属元素種は、3種類以上含まれてもよい。特に、2種類以上含まれる場合、マスク層の転写精度の観点から、少なくともSiを含むことが好ましい。   Examples of the metal elements contained in the nanoparticles 102 include titanium (Ti), zirconium (Zr), chromium (Cr), zinc (Zn), tin (Sn), boron (B), indium (In), and aluminum (Al). And at least one selected from the group consisting of silicon (Si), gold (Au), silver (Ag), molybdenum (Mo), tungsten (W), germanium (Ge), and hafnium (Hf). . In particular, titanium (Ti), zirconium (Zr), chromium (Cr), silicon (Si), or gold (Au) is preferable. In addition, the metal element contained in the nanoparticle 102 stably exists, satisfies the dry etching resistance described later, and the stacked body 201 composed of the nanoparticle 102 / the first mask layer 103 / the object to be processed 200, From the viewpoint of improving the processing accuracy when the first mask layer 103 is etched using the nanoparticles 102 as a mask, the nanoparticles 102 preferably contain a metalloxane bond (—O—Me 1 —O—Me 2 —O—). . Here, Me1 and Me2 are both metal elements and may be the same metal element or different. As Me1 or Me2, the above-described metal element can be employed. For example, in the case of a single metal element, —O—Ti—O—Ti—O—, —O—Zr—O—Zr—O—, —O—Si—O—Si—O—, and the like can be given. . In the case of a dissimilar metal element, —O—Ti—O—Si—O—, —O—Zr—O—Si—O—, —O—Zn—O—Si—O—, and the like can be given. In addition, three or more types of metal element species in the metalloxane bond may be included. In particular, when two or more types are included, it is preferable to include at least Si from the viewpoint of transfer accuracy of the mask layer.

ナノ粒子102がメタロキサン結合を含む場合、ナノ粒子102全体におけるSi元素濃度(CpSi)と、Si以外の金属元素の合計濃度(CpM1)と、の比率(CpM1/CpSi)は、0.02以上24未満であると、ナノ粒子102をマスクとして第1のマスク層103をエッチング加工する際の、加工精度が向上するため好ましい。特に、0.05以上20以下であるとより好ましく、0.1以上15以下であると最も好ましい。 If nanoparticles 102 includes a metalloxane bond, a Si element concentration (C pSi) across nanoparticles 102, the total concentration of the metal elements other than Si (C pM1), the ratio of (C pM1 / C pSi) is 0 0.02 or more and less than 24 is preferable because the processing accuracy is improved when the first mask layer 103 is etched using the nanoparticles 102 as a mask. In particular, it is more preferably 0.05 or more and 20 or less, and most preferably 0.1 or more and 15 or less.

また、金属ナノ粒子や金属酸化物ナノ粒子をナノ粒子102の材料として選定する場合、1次粒子径は500nm以下であると、ナノ粒子102の配列制御性が向上するため第1のマスク層103の加工精度が向上する。同様の効果から、1次粒子径は250nm以下であることが好ましく、100nm以下であることがより好ましく、50nm以下であることが最も好ましい。更に、30nm以下であることにより、2次粒子径の制御性が向上するため好ましい。   In addition, when metal nanoparticles or metal oxide nanoparticles are selected as the material of the nanoparticles 102, the primary mask diameter 103 is improved when the primary particle diameter is 500 nm or less because the array controllability of the nanoparticles 102 is improved. Machining accuracy is improved. From the same effect, the primary particle size is preferably 250 nm or less, more preferably 100 nm or less, and most preferably 50 nm or less. Furthermore, it is preferable that it is 30 nm or less because the controllability of the secondary particle diameter is improved.

一方、ナノ粒子102の材料にナノ粒子を含まない場合、ナノ粒子材料を溶剤に3重量%の濃度にて溶解させた場合の慣性半径が、5nm以下であると、保護層101上に成膜形成されるナノ粒子102の配置精度が向上すると共に、ナノ粒子102をマスクとして第1のマスク層103をエッチング加工する際の加工精度も向上するため好ましい。慣性半径は3nm以下が好ましく、1.5nm以下がより好ましく、1nm以下が最も好ましい。ここで慣性半径とは、波長0.154nmのX線を使用した小角X線散乱による測定より得られる測定結果に対し、Gunier(ギニエ)プロットを適用し計算される半径とする。   On the other hand, when the nanoparticle 102 does not include nanoparticles, the film formed on the protective layer 101 has an inertial radius of 5 nm or less when the nanoparticle material is dissolved in a solvent at a concentration of 3% by weight. This is preferable because the arrangement accuracy of the formed nanoparticles 102 is improved and the processing accuracy when the first mask layer 103 is etched using the nanoparticles 102 as a mask is also improved. The inertia radius is preferably 3 nm or less, more preferably 1.5 nm or less, and most preferably 1 nm or less. Here, the inertia radius is a radius calculated by applying a Gunier plot to a measurement result obtained by measurement by small-angle X-ray scattering using X-rays having a wavelength of 0.154 nm.

このようなメタロキサン結合をナノ粒子に含める方法としては、金属酸化物微粒子を使用するか又は、無機前駆体を縮合させる方法が挙げられる。無機前駆体を縮合する方法としては、例えば、上述した金属アルコキシドの加水分解及び重縮合による反応を利用することができる。   Examples of a method for including such a metalloxane bond in the nanoparticles include a method of using metal oxide fine particles or a method of condensing an inorganic precursor. As a method for condensing the inorganic precursor, for example, the reaction by hydrolysis and polycondensation of the metal alkoxide described above can be used.

ナノ粒子102の材料の粘度は、25℃において10cP以上であると、ナノ粒子102をマスクとして第1のマスク層103をエッチング加工する際の加工精度も向上するため好ましい。同様の効果から、50cP以上であるとより好ましく、100cP以上であると最も好ましい。保護層101上に成膜されるナノ粒子102の第2の積層体2の膜厚方向への重なりを抑制する観点から、10000cP以下であることが好ましく、8000cP以下であるとより好ましく、5000cP以下であると最も好ましい。なお、後述するように、ナノ粒子原料は溶剤により希釈し使用される。   The viscosity of the material of the nanoparticles 102 is preferably 10 cP or more at 25 ° C., because the processing accuracy when the first mask layer 103 is etched using the nanoparticles 102 as a mask is also improved. From the same effect, it is more preferably 50 cP or more, and most preferably 100 cP or more. From the viewpoint of suppressing overlapping of the nanoparticles 102 formed on the protective layer 101 in the film thickness direction of the second laminate 2, it is preferably 10000 cP or less, more preferably 8000 cP or less, and 5000 cP or less. Is most preferable. As will be described later, the nanoparticle raw material is diluted with a solvent and used.

ナノ粒子102に金属元素を含ませる方法として、例えば、金属酸化物微粒子(フィラー)、金属微粒子や金属アルコキシドに代表されるゾルゲル材料をナノ粒子102の材料に含ませる方法が挙げられる。ナノ粒子102に使用されるゾルゲル材料は、例えば上記説明した保護層101を構成する金属アルコキシドを使用できる。   Examples of a method of including a metal element in the nanoparticle 102 include a method of including a metal oxide fine particle (filler), a sol-gel material typified by a metal fine particle or a metal alkoxide in the material of the nanoparticle 102. As the sol-gel material used for the nanoparticles 102, for example, a metal alkoxide constituting the protective layer 101 described above can be used.

また、ナノ粒子102としての耐ドライエッチング性の観点から、Ti、Ta、Zr、Zn、Siからなる群から選ばれる金属元素を金属種に有す金属アルコキシドを含むことが好ましい。特に、転写精度及び転写速度を向上させる観点から、ゾルゲル材料は、金属種の異なる、少なくとも2種類の金属アルコキシドを含むことが好ましい。金属種の異なる2種類の金属アルコキシドの、金属種の組み合わせとしては、例えば、SiとTi、SiとZr、SiとTa、SiとZn等が挙げられる。耐ドライエッチング性の観点から、Siを金属種に持つ金属アルコキシドのモル濃度(CSi)と、Si以外の金属種M1を持つ金属アルコキシド(CM1)との比率CM1/CSiは、0.2〜15であることが好ましい。ナノ粒子材料を保護層101面上に塗工しナノ粒子102を配置する際の塗工乾燥時の安定性の観点から、CM1/CSiは0.5〜15であることが好ましい。物理的強度の観点から、CM1/CSiは5〜8であることがより好ましい。 Further, from the viewpoint of dry etching resistance as the nanoparticle 102, it is preferable to include a metal alkoxide having a metal element selected from the group consisting of Ti, Ta, Zr, Zn, and Si as a metal species. In particular, from the viewpoint of improving transfer accuracy and transfer speed, the sol-gel material preferably contains at least two types of metal alkoxides having different metal types. Examples of combinations of metal species of two types of metal alkoxides having different metal species include Si and Ti, Si and Zr, Si and Ta, and Si and Zn. From the viewpoint of dry etching resistance, the ratio C M1 / C Si of the molar concentration (C Si ) of the metal alkoxide having Si as a metal species and the metal alkoxide (C M1 ) having a metal species M1 other than Si is 0. 2 to 15 is preferable. From the viewpoint of stability at the time of coating and drying when the nanoparticle material is applied on the surface of the protective layer 101 and the nanoparticles 102 are disposed, C M1 / C Si is preferably 0.5 to 15. From the viewpoint of physical strength, C M1 / C Si is more preferably 5 to 8.

なお、CM1/CSiが上記最も広い範囲(0.2〜15)において、0.2〜10の範囲であれば、ナノ粒子102をマスクとして第1のマスク層103をエッチング加工する際のナノ粒子102の形状安定性が向上するため好ましい。特に、0.2〜5の範囲であればナノ粒子102のエッチング時の物理的安定性が向上するため好ましく、0.2〜3.5であるとより好ましい。また、0.23〜3.5であるとナノ粒子102をマスクとして第1のマスク層103をエッチング加工する際のナノ粒子102の輪郭形状安定性が向上するため好ましい。同様の観点から、0.25〜2.5であることが好ましい。 Note that when C M1 / C Si is in the range of 0.2 to 10 in the widest range (0.2 to 15), the first mask layer 103 is etched using the nanoparticles 102 as a mask. This is preferable because the shape stability of the nanoparticles 102 is improved. In particular, the range of 0.2 to 5 is preferable because the physical stability of the nanoparticles 102 during etching is improved, and the range of 0.2 to 3.5 is more preferable. Moreover, it is preferable that it is 0.23 to 3.5 because the contour shape stability of the nanoparticles 102 is improved when the first mask layer 103 is etched using the nanoparticles 102 as a mask. From the same viewpoint, it is preferably 0.25 to 2.5.

ナノ粒子102は、ナノ粒子102の転写精度及び耐ドライエッチング性の観点から、無機のセグメントと有機のセグメントを含むハイブリッドであることが好ましい。ハイブリッドとしては、例えば、無機微粒子と、光重合(又は熱重合)可能な樹脂の組み合わせや、無機前駆体と光重合(又は熱重合)可能な樹脂、や、有機ポリマーと無機セグメントが共有結合にて結合した分子、無機前駆体と光重合性基を分子内に具備する無機前駆体等が挙げられる。無機前駆体としてゾルゲル材料を使用する場合は、シランカップリング剤を含むゾルゲル材料の他に、光重合可能な樹脂を含むことを意味する。ハイブリッドの場合、例えば、金属アルコキシド、光重合性基を具備したシランカップリング材、ラジカル重合系樹脂等を混合することができる。より転写精度を高めるために、これらにシリコーンを添加してもよい。また、ドライエッチング耐性を向上させるために、ゾルゲル材料部分は、予め予備縮合を行ってもよい。シランカップリング剤を含む金属アルコキシドと、光重合性樹脂の混合比率は、耐ドライエッチング性と転写精度の観点から、3:7〜7:3の範囲が好ましい。より好ましくは、3.5:6.5〜6.5:3.5の範囲である。ハイブリッドに使用する樹脂は、光重合可能であれば、ラジカル重合系でも、カチオン重合系でも特に限定されない。   The nanoparticle 102 is preferably a hybrid including an inorganic segment and an organic segment from the viewpoint of the transfer accuracy and dry etching resistance of the nanoparticle 102. Hybrids include, for example, a combination of inorganic fine particles and a resin that can be photopolymerized (or thermally polymerized), a resin that can be photopolymerized (or thermally polymerized) with an inorganic precursor, or an organic polymer and an inorganic segment. And bonded molecules, inorganic precursors and inorganic precursors having a photopolymerizable group in the molecule. When the sol-gel material is used as the inorganic precursor, it means that a photopolymerizable resin is included in addition to the sol-gel material containing the silane coupling agent. In the case of a hybrid, for example, a metal alkoxide, a silane coupling material having a photopolymerizable group, a radical polymerization resin, and the like can be mixed. In order to further improve the transfer accuracy, silicone may be added thereto. In order to improve dry etching resistance, the sol-gel material portion may be pre-condensed in advance. The mixing ratio of the metal alkoxide containing the silane coupling agent and the photopolymerizable resin is preferably in the range of 3: 7 to 7: 3 from the viewpoint of dry etching resistance and transfer accuracy. More preferably, it is in the range of 3.5: 6.5 to 6.5: 3.5. The resin used for the hybrid is not particularly limited as long as it can be photopolymerized, whether it is a radical polymerization system or a cationic polymerization system.

また、ハイブリッドとして無機前駆体と光重合性基を分子内に具備する無機前駆体を採用する場合、無機前駆体としてSi以外の金属元素を金属種に有す金属アルコキシドを採用し、光重合性基を分子内に具備する無機前駆体として光重合性基を具備するシランカップリング材を採用できる。また、これらのシリコーンを含めることもできる。   In addition, when an inorganic precursor having a photopolymerizable group in the molecule is used as a hybrid, a metal alkoxide having a metal element other than Si as a metal species is used as the inorganic precursor, and photopolymerizability is achieved. A silane coupling material having a photopolymerizable group can be employed as an inorganic precursor having a group in the molecule. These silicones can also be included.

更に、第2の積層体2を使用し得られたナノ粒子102/第1のマスク層103/被処理体200から構成される積層体201に対し、ナノ粒子102をマスクとして第1のマスク層103をエッチングする際の、第1のマスク層103側面のラフネスを低減させる観点から、有機ポリマーと無機セグメントが共有結合にて結合した分子、又は、無機前駆体と光重合性基を分子内に具備する無機前駆体を採用することが好ましい。無機前駆体と光重合性基を分子内に具備する無機前駆体としては例えば、無機前駆体として金属アルコキシドを選定し、光重合性基を分子内に具備する無機前駆体として光重合性基を具備するシランカップリング材を選定することが挙げられる。特に、無機前駆体として使用する金属アルコキシドの金属種は、Ti、Ta、Zr又は、Znであると好ましく、Ti、Zr又はZnであると最も好ましい。   Furthermore, with respect to the laminate 201 composed of the nanoparticles 102 / first mask layer 103 / object 200 obtained using the second laminate 2, the first mask layer using the nanoparticles 102 as a mask. From the viewpoint of reducing the roughness of the side surface of the first mask layer 103 when etching 103, a molecule in which an organic polymer and an inorganic segment are bonded by a covalent bond, or an inorganic precursor and a photopolymerizable group are contained in the molecule. It is preferable to employ the inorganic precursor provided. As an inorganic precursor having an inorganic precursor and a photopolymerizable group in the molecule, for example, a metal alkoxide is selected as the inorganic precursor, and a photopolymerizable group is used as the inorganic precursor having the photopolymerizable group in the molecule. For example, selecting a silane coupling material to be provided. In particular, the metal species of the metal alkoxide used as the inorganic precursor is preferably Ti, Ta, Zr or Zn, and most preferably Ti, Zr or Zn.

ナノ粒子102を、保護層101上に配置する際に、ナノ粒子材料を溶剤により希釈し、該希釈されたナノ粒子材料を、保護層101上に塗工する方法が挙げられる。希釈溶剤としては、特に限定されないが、単一溶剤の沸点が40℃〜200℃の溶剤が好ましく、60℃〜180℃がより好ましく、60℃〜160℃がさらに好ましい。希釈剤は2種類以上を使用してもよい。   A method of diluting the nanoparticle material with a solvent when the nanoparticles 102 are disposed on the protective layer 101 and coating the diluted nanoparticle material on the protective layer 101 can be mentioned. Although it does not specifically limit as a dilution solvent, The solvent whose boiling point of a single solvent is 40 to 200 degreeC is preferable, 60 to 180 degreeC is more preferable, and 60 to 160 degreeC is more preferable. Two or more kinds of diluents may be used.

また、ナノ粒子材料を溶剤に3重量%の濃度にて溶解させた場合の、慣性半径が5nm以下である溶剤を選定すると、保護層101上への配置精度が向上すると共に、ナノ粒子102をマスクとして第1のマスク層103をエッチング加工する際の加工精度も向上するため好ましい。慣性半径は3nm以下が好ましく、1.5nm以下がより好ましく、1nm以下が最も好ましい。ここで慣性半径とは、波長0.154nmのX線を使用した小角X線散乱による測定より得られる測定結果に対し、Gunier(ギニエ)プロットを適用し計算される半径とする。   In addition, when a solvent having a radius of inertia of 5 nm or less when a nanoparticle material is dissolved in a solvent at a concentration of 3% by weight, the placement accuracy on the protective layer 101 is improved and the nanoparticles 102 are formed. This is preferable because the processing accuracy when etching the first mask layer 103 as a mask is improved. The inertia radius is preferably 3 nm or less, more preferably 1.5 nm or less, and most preferably 1 nm or less. Here, the inertia radius is a radius calculated by applying a Gunier plot to a measurement result obtained by measurement by small-angle X-ray scattering using X-rays having a wavelength of 0.154 nm.

ナノ粒子102に含まれる光重合性基としては、アクリロイル基、メタクリロイル基、アクリロキシ基、メタクリロキシ基、アクリル基、メタクリル基、ビニル基、エポキシ基、アリル基、オキセタニル基等が挙げられる。   Examples of the photopolymerizable group contained in the nanoparticles 102 include an acryloyl group, a methacryloyl group, an acryloxy group, a methacryloxy group, an acrylic group, a methacryl group, a vinyl group, an epoxy group, an allyl group, and an oxetanyl group.

ナノ粒子102に含まれる公知樹脂としては、光重合性と熱重合性の両方、又はいずれか一方の樹脂が挙げられる。例えば、上記説明した保護層101を構成する樹脂の他、フォトリソグラフィ用途で使用される感光性樹脂や、ナノインプリントリソグラフィ用途で使用される光重合性樹脂及び熱重合性樹脂等が挙げられる。特に、ドライエッチングによる、ナノ粒子102に含まれる樹脂のエッチングレート(Vm1)と、第1のマスク層103のエッチングレート(Vo1)との比率(Vo1/Vm1)が、1≦Vo1/Vm1≦50を満たす樹脂を含有することが好ましい。   Examples of the known resin contained in the nanoparticle 102 include both photopolymerizable and thermal polymerizable resins, or any one of them. For example, in addition to the resin constituting the protective layer 101 described above, a photosensitive resin used in photolithography applications, a photopolymerizable resin and a thermopolymerizable resin used in nanoimprint lithography applications, and the like can be given. In particular, the ratio (Vo1 / Vm1) between the etching rate (Vm1) of the resin contained in the nanoparticles 102 and the etching rate (Vo1) of the first mask layer 103 by dry etching is 1 ≦ Vo1 / Vm1 ≦ 50. It is preferable to contain the resin which satisfy | fills.

ナノ粒子102を形成する材料は、ゾルゲル材料を含むことが好ましい。ゾルゲル材料を含むことで、耐ドライエッチング性の良好なナノ粒子102の、第1のマスク層103をドライエッチングする際の、縦方向のドライエッチングレート(Vr)と、横方向のドライエッチングレート(Vr//)との比率(Vr/Vr//)を大きくすることができる。ゾルゲル材料としては、単一の金属種を持つ金属アルコキシドのみを用いても、異なる金属種を持つ金属アルコキシドを併用してもよいが、金属種M1(ただし、M1は、Ti,Zr,Zn,Sn,B,In,Alからなる群から選択される少なくとも1種の金属元素)を持つ金属アルコキシドと、金属種Siを持つ金属アルコキシドとの、少なくとも2種類の金属アルコキシドを含有することが好ましい。又は、第2のマスク材料として、これらのゾルゲル材料と、公知の光重合性樹脂とのハイブリッドも使用できる。 The material forming the nanoparticles 102 preferably includes a sol-gel material. By including the sol-gel material, the dry etching rate (Vr ) in the vertical direction and the dry etching rate in the horizontal direction when the first mask layer 103 of the nanoparticles 102 having good dry etching resistance is dry-etched. (Vr //) ratio of (Vr / Vr //) can be increased. As the sol-gel material, only a metal alkoxide having a single metal species may be used, or a metal alkoxide having a different metal species may be used in combination, but the metal species M1 (where M1 is Ti, Zr, Zn, It is preferable to contain at least two types of metal alkoxides, ie, a metal alkoxide having at least one metal element selected from the group consisting of Sn, B, In, and Al) and a metal alkoxide having the metal type Si. Alternatively, a hybrid of these sol-gel materials and a known photopolymerizable resin can be used as the second mask material.

第2のマスク材料は、ドライエッチング時の物理的破壊を抑制する観点から、縮合と光重合の両方、又はいずれか一方による硬化後の相分離が小さいことが好ましい。ここで、相分離とは、透過型電子顕微鏡(TEM)のコントラストで確認することが可能である。ナノ粒子102の転写性の観点から、TEMのコントラストより、相分離サイズが20nm以下であることが好ましい。物理的耐久性及び、耐ドライエッチング性の観点から、相分離サイズは15nm以下であることが好ましく、10nm以下であると、より好ましい。なお、相分離を抑制する観点から、ゾルゲル材料中に、光重合性基を具備するシランカップリング剤を含むことが好ましい。   From the viewpoint of suppressing physical destruction during dry etching, the second mask material preferably has a small phase separation after curing due to both condensation and photopolymerization. Here, the phase separation can be confirmed by the contrast of a transmission electron microscope (TEM). From the viewpoint of transferability of the nanoparticles 102, the phase separation size is preferably 20 nm or less from the contrast of TEM. From the viewpoint of physical durability and dry etching resistance, the phase separation size is preferably 15 nm or less, and more preferably 10 nm or less. From the viewpoint of suppressing phase separation, the sol-gel material preferably contains a silane coupling agent having a photopolymerizable group.

ナノ粒子102を構成する光重合可能なラジカル重合系の樹脂としては、上記説明した保護層101を構成する光重合可能なラジカル重合系の樹脂から、フッ素含有(メタ)アクリレートを除いたものを用いることが好ましい。また、ナノ粒子材料に含まれる光重合開始材は、上記説明した保護層101の材料である硬化性樹脂組成物に使用される(C)光重合開始剤を使用することができる。   As the photopolymerizable radical polymerization resin constituting the nanoparticles 102, a resin obtained by removing the fluorine-containing (meth) acrylate from the photopolymerizable radical polymerization resin constituting the protective layer 101 described above is used. It is preferable. Moreover, (C) photoinitiator used for the curable resin composition which is the material of the protective layer 101 demonstrated above can be used for the photoinitiator contained in nanoparticle material.

ナノ粒子102を構成する光重合可能なカチオン重合系の樹脂は、少なくともカチオン硬化性モノマーと、光酸発生剤とを含む組成物を意味する。カチオン硬化性樹脂組成物におけるカチオン硬化性モノマーとは、カチオン重合開始剤の存在下で、例えば、UV照射や加熱等の硬化処理を行うことにより硬化物が得られる化合物である。カチオン硬化性モノマーとしては、エポキシ化合物、オキセタン化合物、及びビニルエーテル化合物が挙げられ、エポキシ化合物としては、脂環式エポキシ化合物、及びグリシジルエーテルが挙げられる。これらの中でも脂環式エポキシ化合物は、重合開始速度が向上し、オキセタン化合物は重合率の向上効果があるので、使用することが好ましく、グリシジルエーテルはカチオン硬化性樹脂組成物の粘度を低下させ、塗工性に効果があるので使用することが好ましい。より好ましくは、脂環式エポキシ化合物とオキセタン化合物とを併用することであり、さらに好ましくは脂環式エポキシ化合物とオキセタン化合物との重量比率が99:1〜51:49の範囲で併用することである。   The photopolymerizable cationic polymerization type resin constituting the nanoparticles 102 means a composition containing at least a cationic curable monomer and a photoacid generator. The cation curable monomer in the cation curable resin composition is a compound from which a cured product can be obtained by performing a curing treatment such as UV irradiation or heating in the presence of a cationic polymerization initiator. Examples of the cationic curable monomer include an epoxy compound, an oxetane compound, and a vinyl ether compound. Examples of the epoxy compound include an alicyclic epoxy compound and glycidyl ether. Among these, the alicyclic epoxy compound has an improved polymerization initiation rate, and the oxetane compound has an effect of improving the polymerization rate. Therefore, the alicyclic epoxy compound is preferably used, and glycidyl ether reduces the viscosity of the cationic curable resin composition It is preferable to use it because it is effective in coating properties. More preferably, the alicyclic epoxy compound and the oxetane compound are used in combination, and more preferably, the weight ratio of the alicyclic epoxy compound and the oxetane compound is used in a range of 99: 1 to 51:49. is there.

光酸発生剤は、光照射により光酸を発生すれば、特に限定されるものではない。例えば、スルホニウム塩、ヨードニウム塩といった芳香族オニウム塩が挙げられる。   The photoacid generator is not particularly limited as long as it generates a photoacid by light irradiation. Examples thereof include aromatic onium salts such as sulfonium salts and iodonium salts.

このような光酸発生材をナノ粒子材料に含めることで、ナノ粒子材料の光酸発生材以外の成分が金属アルコキシドのみである場合であっても、光照射により発生する光酸により、金属アルコキシドの加水分解・重縮合速度を向上させることが可能となり、転写速度及び精度を向上させることができる。光酸発生剤を溶解させる溶剤としては、使用する光酸発生剤を溶解できれば特に限定されないが、例えば、プロピレンカーボネートや、プロピレンカーボネートとアルコール、エーテル、ケトン系溶剤(A)との混合溶剤等が挙げられる。プロピレンカーボネートと溶剤(A)との混合比は、重量比で、溶剤(A)/プロピレンカーボネートが5以上であると、相溶性に優れるため、好ましい。   By including such a photoacid generator in the nanoparticle material, even if the component other than the photoacid generator of the nanoparticle material is only a metal alkoxide, the metal alkoxide is generated by the photoacid generated by light irradiation. It is possible to improve the hydrolysis / polycondensation rate of the toner, and improve the transfer rate and accuracy. The solvent for dissolving the photoacid generator is not particularly limited as long as it can dissolve the photoacid generator to be used. Can be mentioned. The mixing ratio of propylene carbonate and solvent (A) is preferably a weight ratio of solvent (A) / propylene carbonate of 5 or more because of excellent compatibility.

希釈したナノ粒子材料の希釈溶液102Sを、保護層101上に直接塗工した際の濡れ性が悪い場合は、界面活性剤やレベリング材を添加してもよい。これらは、公知市販のものを使用することができるが、同一分子内に光重合性基を具備していることが好ましい。添加濃度は、塗工性の観点から、ナノ粒子材料100重量部に対して、40重量部以上が好ましく、60重量部以上が、より好ましい。一方で、耐ドライエッチング耐性の観点から、500重量部以下であることが好ましく、300重量部以下であると、より好ましく、150重量部以下であると、なお好ましい。   In the case where the wettability when the diluted solution 102S of the diluted nanoparticle material is directly applied on the protective layer 101 is poor, a surfactant or a leveling material may be added. Although these can use a well-known commercially available thing, it is preferable to have comprised the photopolymerizable group in the same molecule | numerator. The additive concentration is preferably 40 parts by weight or more and more preferably 60 parts by weight or more with respect to 100 parts by weight of the nanoparticle material from the viewpoint of coatability. On the other hand, from the viewpoint of resistance to dry etching, it is preferably 500 parts by weight or less, more preferably 300 parts by weight or less, and even more preferably 150 parts by weight or less.

一方、ナノ粒子材料の分散性の向上や、転写精度を向上させる観点から、界面活性剤やレベリング材を使用する場合は、これらの添加濃度は、ナノ粒子材料に対し20重量%以下であることが好ましい。20重量%以下であることで分散性が大きく向上し、15重量%以下であることで転写精度も向上するため好ましい。より好ましくは、10重量%以下である。これらの界面活性剤やレベリング材は、特に、カルボキシル基、ウレタン基、イソシアヌル酸誘導体を有する官能基の少なくとも1つの官能基を含むことが、相溶性の観点から好ましい。なお、イソシアヌル酸誘導体には、イソシアヌル酸骨格を有するもので、窒素原子に結合する少なくとも1つの水素原子が他の基で置換されている構造のものが包含される。これらを満たすものとして、例えば、ダイキン工業社製のオプツールDACが挙げられる。添加剤は、溶剤に溶かした状態で、ナノ粒子材料と混合することが好ましい。   On the other hand, from the viewpoint of improving the dispersibility of the nanoparticle material and improving the transfer accuracy, when using a surfactant or a leveling material, the concentration of these additives should be 20% by weight or less based on the nanoparticle material. Is preferred. Dispersibility is greatly improved when it is 20% by weight or less, and transfer accuracy is also improved when it is 15% by weight or less, which is preferable. More preferably, it is 10% by weight or less. In particular, these surfactants and leveling materials preferably contain at least one functional group of a functional group having a carboxyl group, a urethane group, or an isocyanuric acid derivative from the viewpoint of compatibility. The isocyanuric acid derivatives include those having an isocyanuric acid skeleton and a structure in which at least one hydrogen atom bonded to the nitrogen atom is substituted with another group. As an example that satisfies these conditions, there is an OPTOOL DAC manufactured by Daikin Industries, Ltd. The additive is preferably mixed with the nanoparticle material in a state dissolved in a solvent.

ナノ粒子102を構成するナノ粒子材料中に、希釈塗工後の溶剤揮発過程において様態が変化する材料を含むと、材料自体の面積を小さくするというドライビングフォースも同時に働くと推定されるため、より効果的に保護層101上にナノ粒子102を配列することができる。様態の変化とは、例えば、発熱反応や、粘度の大きくなる変化が挙げられる。例えば、ゾルゲル材料を含むと、溶剤揮発過程で、空気中の水蒸気と反応し、ゾルゲル材料が重縮合する。これにより、ゾルゲル材料のエネルギーが不安定化するため、溶剤乾燥に伴い低下する溶剤液面(溶剤と空気界面)から遠ざかろうとするドライビングフォースが働き、結果、ゾルゲル材料が良好に保護層101表面へと移動するため、ナノ粒子102を生成し、且つ配列することができる。   If the nanoparticle material constituting the nanoparticle 102 includes a material whose state changes in the solvent volatilization process after dilution coating, it is estimated that the driving force to reduce the area of the material itself also works, The nanoparticles 102 can be effectively arranged on the protective layer 101. Examples of the change in mode include an exothermic reaction and a change in viscosity. For example, when a sol-gel material is included, it reacts with water vapor in the air during the solvent volatilization process, and the sol-gel material undergoes polycondensation. As a result, the energy of the sol-gel material is destabilized, so that a driving force that moves away from the solvent liquid surface (solvent-air interface) that decreases as the solvent is dried works. The nanoparticles 102 can be generated and arranged.

また、ナノ粒子102の希釈溶液を保護層101に直接塗工する際の濃度は、30重量%以下であると好ましい。この範囲を満たすことにより、ナノ粒子102の第2の積層体2の膜厚方向への重なりを小さくすることができる。更に、ナノ粒子102の希釈溶液の濃度は、ナノ粒子102の形状均等性を向上させる観点から15重量%以下であることが好ましく、10重量%以下であることがより好ましく、7.5重量%以下であることが最も好ましい。特に、重なりを限りなく無くす観点から、ナノ粒子102の希釈溶液濃度は、7重量%以下であることが好ましく、5重量%以下であることがより好ましく、3.5重量%以下であることが最も好ましい。   The concentration when the diluted solution of nanoparticles 102 is directly applied to the protective layer 101 is preferably 30% by weight or less. By satisfying this range, the overlap of the nanoparticles 102 in the film thickness direction of the second stacked body 2 can be reduced. Further, the concentration of the diluted solution of the nanoparticles 102 is preferably 15% by weight or less, more preferably 10% by weight or less, more preferably 7.5% by weight from the viewpoint of improving the shape uniformity of the nanoparticles 102. Most preferably: In particular, from the viewpoint of eliminating overlap as much as possible, the concentration of the diluted solution of the nanoparticles 102 is preferably 7% by weight or less, more preferably 5% by weight or less, and 3.5% by weight or less. Most preferred.

また、ナノ粒子102の希釈溶液を保護層101に直接塗工する粘度が、100cP以下であると、ナノ粒子102の希釈溶液の塗工性が良好になると共に、ナノ粒子102の配列精度が向上する。同様の効果から、50cP以下であることが好ましく、20cP以下であることがより好ましく、10cP以下であることが最も好ましい。また、ダイコートやマイクログラビアコートを良好に適用することができるため、8cP以下であることが好ましく、5cP以下であることがより好ましく、3.5cP以下であることが最も好ましい。なお、上記粘度は25℃において測定されるものとする。   Further, when the viscosity of directly applying the diluted solution of nanoparticles 102 to the protective layer 101 is 100 cP or less, the coating property of the diluted solution of nanoparticles 102 is improved and the alignment accuracy of the nanoparticles 102 is improved. To do. From the same effect, it is preferably 50 cP or less, more preferably 20 cP or less, and most preferably 10 cP or less. In addition, since die coating and micro gravure coating can be applied satisfactorily, it is preferably 8 cP or less, more preferably 5 cP or less, and most preferably 3.5 cP or less. The viscosity is measured at 25 ° C.

更に、ナノ粒子102は透明であっても着色されていてもよい。透明であることにより、支持基材(図7A及び図7B中100)/保護層101/ナノ粒子102/第1のマスク層103/被処理体200から構成される第3の積層体に対しエネルギー線を照射する際に、支持基材越しにエネルギー線を照射することが可能となる。一方、着色されている場合、第3の積層体から支持基材及び保護層101を剥離した際の、転写性を目視或いは光学検査により容易に確認することができる。   Furthermore, the nanoparticles 102 may be transparent or colored. By being transparent, energy is applied to the third laminate composed of the supporting substrate (100 in FIGS. 7A and 7B) / protective layer 101 / nanoparticles 102 / first mask layer 103 / target object 200. When irradiating a line | wire, it becomes possible to irradiate an energy ray through a support base material. On the other hand, when colored, the transferability when the support substrate and the protective layer 101 are peeled from the third laminate can be easily confirmed by visual observation or optical inspection.

[第1のマスク層]
第2の積層体2の第1のマスク層103を被処理体200に貼合して接着した後に、保護層101を剥離することで、容易にナノ粒子102を第1のマスク層103を介し被処理体200上へと転写することができる。
[First mask layer]
After the first mask layer 103 of the second stacked body 2 is bonded and bonded to the object 200, the protective layer 101 is peeled off so that the nanoparticles 102 can be easily passed through the first mask layer 103. It can be transferred onto the workpiece 200.

第1のマスク層103は、後述のエッチングレート比(選択比)を満たせば特に限定されず、光重合性樹脂、熱重合性樹脂、熱可塑性樹脂等を採用することができる。特に、反応性希釈材及び重合開始材を含むことで、第1のマスク層103を被処理体200に貼合する際の接着性が向上し、第1のマスク層103とナノ粒子102との界面接着強度が向上すると共に、第1のマスク層103とナノ粒子102及び第1のマスク層103と被処理体200との接着強度を固定化できる。この結果、ナノ粒子102の転写精度が向上する。   The first mask layer 103 is not particularly limited as long as an etching rate ratio (selection ratio) described later is satisfied, and a photopolymerizable resin, a thermopolymerizable resin, a thermoplastic resin, or the like can be employed. In particular, by including the reactive diluent and the polymerization initiator, the adhesiveness when the first mask layer 103 is bonded to the target object 200 is improved, and the first mask layer 103 and the nanoparticles 102 are bonded. The interface adhesive strength is improved, and the adhesive strength between the first mask layer 103 and the nanoparticles 102 and between the first mask layer 103 and the object to be processed 200 can be fixed. As a result, the transfer accuracy of the nanoparticles 102 is improved.

ナノ粒子102と第1のマスク層103とは、転写精度の観点から、化学的に結合することが好ましい。そのため、ナノ粒子102が光重合性基を含む場合は、第1のマスク層103も光重合性基を含むことが好ましい。また、ナノ粒子102が熱重合性基を含む場合は、第1のマスク層103も熱重合性基を含むことが好ましい。   The nanoparticles 102 and the first mask layer 103 are preferably chemically bonded from the viewpoint of transfer accuracy. Therefore, when the nanoparticle 102 contains a photopolymerizable group, it is preferable that the 1st mask layer 103 also contains a photopolymerizable group. Moreover, when the nanoparticle 102 contains a thermopolymerizable group, it is preferable that the 1st mask layer 103 also contains a thermopolymerizable group.

また、ナノ粒子102中のゾルゲル材料との縮合により、化学結合を生成するために、第1のマスク層103にゾルゲル材料を含んでもよい。光重合方式としては、ラジカル系とカチオン系が存在するが、硬化速度とドライエッチング耐性の観点から、ラジカル系のみ、又は、ラジカル系とカチオン系のハイブリッド系が好ましい。ハイブリッドの場合、ラジカル重合系樹脂とカチオン重合系樹脂を、重量比率で、3:7〜7:3で混合することが好ましく、3.5:6.5〜6.5:3.5であるとより好ましい。   Further, the first mask layer 103 may contain a sol-gel material in order to generate a chemical bond by condensation with the sol-gel material in the nanoparticles 102. As the photopolymerization method, there are a radical system and a cation system. From the viewpoint of curing speed and dry etching resistance, only a radical system or a hybrid system of a radical system and a cation system is preferable. In the case of a hybrid, it is preferable to mix a radical polymerization resin and a cationic polymerization resin in a weight ratio of 3: 7 to 7: 3, which is 3.5: 6.5 to 6.5: 3.5. And more preferred.

ドライエッチング時の第1のマスク層103の物理的安定性、及びハンドリングの観点から、硬化後の第1のマスク層103のTg(ガラス転位温度)は、30℃〜250℃であることが好ましく、60℃〜200℃であるとより好ましい。   From the viewpoint of physical stability and handling of the first mask layer 103 during dry etching, the Tg (glass transition temperature) of the first mask layer 103 after curing is preferably 30 ° C. to 250 ° C. , 60 ° C to 200 ° C is more preferable.

第1のマスク層103及び被処理体200、又は第1のマスク層103及びナノ粒子102の密着性の観点から、第1のマスク層103の比重法による収縮率は、5%以下であることが好ましい。   From the viewpoint of adhesion between the first mask layer 103 and the target object 200, or the first mask layer 103 and the nanoparticles 102, the shrinkage rate of the first mask layer 103 by the specific gravity method is 5% or less. Is preferred.

第1のマスク層103はバインダー樹脂を含んでもよい。バインダー樹脂を含むことで、第1のマスク層103の物理的安定性が向上すると共に、第1のマスク層103を被処理体200に貼合する際の貼合精度が向上する。また、第1のマスク層103が、バインダー樹脂、反応性希釈材及び重合開始材を含むことで、第2の積層体2における第1のマスク層103の精度安定性を向上できる。このため、第2の積層体2のナノ粒子102の微細パタン配列精度及び第1のマスク層103の膜厚精度を、被処理体200へと反映させ転写することができる。   The first mask layer 103 may include a binder resin. By including the binder resin, the physical stability of the first mask layer 103 is improved, and the bonding accuracy when the first mask layer 103 is bonded to the workpiece 200 is improved. Moreover, the 1st mask layer 103 can improve the precision stability of the 1st mask layer 103 in the 2nd laminated body 2 because binder resin, a reactive diluent, and a polymerization initiator are included. Therefore, the fine pattern arrangement accuracy of the nanoparticles 102 of the second stacked body 2 and the film thickness accuracy of the first mask layer 103 can be reflected and transferred to the object 200 to be processed.

また、バインダー樹脂を含むことにより、第1のマスク層103をドライ化することが可能となる。すなわち、第1のマスク層103をタック性の極めて低い(半)固体として扱うことが可能となる。このため、第2の積層体2を、被処理体200上に貼合するまでのハンドリングが向上すると共に、被処理体200への貼合及び接着に熱圧着(熱貼合)を使用することが可能となり、貼合・転写精度がいっそう向上する。   In addition, by including the binder resin, the first mask layer 103 can be dried. That is, the first mask layer 103 can be handled as a (semi) solid with extremely low tack. For this reason, while handling until the 2nd laminated body 2 is bonded on the to-be-processed object 200 improves, using thermocompression bonding (thermal bonding) for bonding to the to-be-processed body 200 and adhesion | attachment. This makes it possible to further improve the bonding / transfer accuracy.

なお、バインダー樹脂と反応性希釈材を含む場合、バインダー樹脂の反応形式と反応性希釈剤の反応形式と、は異なっていてもよい。例えば、バインダー樹脂が光重合性及び熱重合性であり、バインダー樹脂が熱重合性の場合、光硬化により反応性希釈剤の架橋が進行し、続く加熱によりバインダー樹脂と架橋された反応性希釈材と、を更に架橋することができる。同様に、バインダー樹脂及び反応性希釈剤が共に熱及び光反応性の場合も、光による架橋と熱による架橋を併用し、バインダー樹脂と反応性希釈材をクロスリンクすることが可能となる。   When the binder resin and the reactive diluent are included, the reaction mode of the binder resin and the reaction mode of the reactive diluent may be different. For example, when the binder resin is photopolymerizable and thermopolymerizable, and the binder resin is thermopolymerizable, the reactive diluent cross-links with the binder resin by photocuring and then crosslinks with the binder resin by subsequent heating. And can be further crosslinked. Similarly, when both the binder resin and the reactive diluent are thermally and photoreactive, it is possible to crosslink the binder resin and the reactive diluent by using both crosslinking by light and crosslinking by heat.

バインダー樹脂は反応性バインダー樹脂であると、第1のマスク層103のガラス転移温度を向上させることができる。ガラス転移温度を大きくすることにより、被処理体200をエッチングする際の熱耐性が向上するため、被処理体200上に設けられる微細パタン220の加工精度を向上させることができる。反応性バインダー樹脂の反応部位は、反応性希釈材が光反応性の場合は光反応性であることが好ましく、反応性希釈材が熱反応性の場合は、熱反応性であることが好ましい。又は、光反応性部位と熱反応性部を併せ持つ構造であってもよい。この場合、光反応による架橋と熱反応による架橋を併用できるため、ガラス転移温度の増加程度が大きくなる。バインダー樹脂が反応性バインダー樹脂であることで、第1のマスク層103と被処理体200との接着性の固定化、及び第1のマスク層103とナノ粒子102との接着性の固定化がいっそう向上するため、転写精度が向上する。   When the binder resin is a reactive binder resin, the glass transition temperature of the first mask layer 103 can be improved. By increasing the glass transition temperature, the heat resistance when the workpiece 200 is etched is improved, so that the processing accuracy of the fine pattern 220 provided on the workpiece 200 can be improved. The reactive site of the reactive binder resin is preferably photoreactive when the reactive diluent is photoreactive, and is preferably thermally reactive when the reactive diluent is thermally reactive. Or the structure which has a photoreactive site | part and a heat-reactive part together may be sufficient. In this case, since the crosslinking by the photoreaction and the crosslinking by the thermal reaction can be used together, the increase degree of the glass transition temperature becomes large. Since the binder resin is a reactive binder resin, the adhesiveness between the first mask layer 103 and the object to be processed 200 and the adhesiveness between the first mask layer 103 and the nanoparticles 102 can be fixed. Since it is further improved, the transfer accuracy is improved.

バインダー樹脂は第2の積層体2の用途により適宜選定することができるが、被処理体200を加工する観点からは、バインダー樹脂の幹ポリマーのガラス転移温度は45℃以上であることが好ましく、80℃以上であることがより好ましく、115℃以上であることが最も好ましい。   The binder resin can be appropriately selected depending on the use of the second laminate 2, but from the viewpoint of processing the object to be processed 200, the glass transition temperature of the backbone polymer of the binder resin is preferably 45 ° C. or higher. The temperature is more preferably 80 ° C. or higher, and most preferably 115 ° C. or higher.

更に、バインダー樹脂はアルカリ可溶性樹脂であってもよい。アルカリ可溶性であることで、被処理体200上に微細パタン220を形成した後に、アルカリ現像を適用し、第1のマスク層103からなる残渣を容易に除去することが可能となり、そのため、被処理体200の加工マージンが広がる。被処理体200との密着性及びアルカリ現像性の観点から、バインダー樹脂にカルボキシル基が含まれることが好ましい。カルボキシル基の量は、酸当量で100〜600が好ましく、より好ましくは300〜450である。酸当量とは、その中に1当量のカルボキシル基を有する線状重合体の質量を示す。なお、酸当量の測定は、平沼産業社製平沼自動滴定装置(COM−555)を使用し、0.1mol/Lの水酸化ナトリウム水溶液を用いて電位差滴定法により行われる。   Furthermore, the binder resin may be an alkali-soluble resin. By being alkali-soluble, it is possible to easily remove the residue formed of the first mask layer 103 by applying alkali development after the fine pattern 220 is formed on the object to be processed 200. The processing margin of the body 200 increases. From the viewpoints of adhesion to the object 200 and alkali developability, the binder resin preferably contains a carboxyl group. The amount of the carboxyl group is preferably from 100 to 600, more preferably from 300 to 450, as an acid equivalent. An acid equivalent shows the mass of the linear polymer which has a 1 equivalent carboxyl group in it. The acid equivalent is measured by a potentiometric titration method using a Hiranuma automatic titration apparatus (COM-555) manufactured by Hiranuma Sangyo Co., Ltd., using a 0.1 mol / L sodium hydroxide aqueous solution.

また、バインダー樹脂の重量平均分子量は、貼合性と転写精度の観点から、5000〜500000であることが好ましい。第1のマスク層103の物理的安定性を向上させると共に、第1のマスク層103を被処理体200に貼合する際の貼合精度を向上させるという効果をよりいっそう発揮するため、バインダー樹脂の重量平均分子量は、5000〜100000であることがより好ましく、さらに好ましくは5000〜60000である。   Moreover, it is preferable that the weight average molecular weight of binder resin is 5000-500000 from a viewpoint of pasting property and a transfer precision. In order to further improve the physical stability of the first mask layer 103 and to further improve the bonding accuracy when the first mask layer 103 is bonded to the object 200, a binder resin. The weight average molecular weight is more preferably 5,000 to 100,000, still more preferably 5,000 to 60,000.

分散度(分子量分布と呼ぶこともある)は、重量平均分子量と数平均分子量の比で表される((分散度)=(重量平均分子量)/(数平均分子量))。分散度は概ね1〜6程度のものが用いられ、1〜4であることが好ましい。なお、分子量は、日本分光社製ゲルパーミエーションクロマトグラフィー(GPC)、(ポンプ:Gulliver、PU−1580型、カラム:昭和電工社製Shodex(登録商標)(KF−807、KF−806M、KF−806M、KF−802.5)4本直列、移動層溶剤:テトラヒドロフラン、ポリスチレン標準サンプルによる検量線使用)により重量平均分子量(ポリスチレン換算)として求められる。   The degree of dispersion (sometimes referred to as molecular weight distribution) is represented by the ratio of the weight average molecular weight to the number average molecular weight ((dispersion degree) = (weight average molecular weight) / (number average molecular weight)). A dispersion degree of about 1 to 6 is used, preferably 1 to 4. The molecular weight was measured by Gel Permeation Chromatography (GPC) manufactured by JASCO Corporation (pump: Gulliver, PU-1580 type, column: Shodex (registered trademark) manufactured by Showa Denko KK (KF-807, KF-806M, KF- 806M, KF-802.5) 4 in series, moving bed solvent: tetrahydrofuran, using a calibration curve based on polystyrene standard sample) to determine the weight average molecular weight (polystyrene conversion).

また、後述する選択比の観点から、バインダー樹脂の側鎖、主鎖内部又は側鎖及び主鎖内部に下記化学式(4)で示される部位を有すことが好ましい。   Moreover, it is preferable to have the site | part shown by following Chemical formula (4) in the side chain of a binder resin, the inside of a principal chain, or a side chain and the inside of a principal chain from the viewpoint of the selection ratio mentioned later.

第1のマスク層103に含まれる反応性希釈材のうち、光重合性希釈材は、特に限定されないが、例えば、上記ナノ粒子102にて説明した樹脂(光重合性樹脂)を使用することができる。耐ドライエッチング性の観点から、光重合性希釈材の平均官能基数は、1.5以上であることが好ましい。また、第1のマスク層103の成膜安定性の観点から、平均官能基数は、4.5以下であることが好ましい。被処理体200をドライエッチングする際に塩素系ガスを使用する場合は、耐ドライエッチング性の観点から、上記化学式(4)に示す部位を分子内に含む反応性希釈材を含むことが好ましい。また、ナノ粒子102と第1のマスク層103との接着性の観点から、ナノ粒子102及び第1のマスク層103中に同様の反応性希釈材を少なくとも1種含むことが好ましい。   Of the reactive diluents included in the first mask layer 103, the photopolymerizable diluent is not particularly limited. For example, the resin (photopolymerizable resin) described in the nanoparticle 102 may be used. it can. From the viewpoint of dry etching resistance, the average number of functional groups of the photopolymerizable diluent is preferably 1.5 or more. Further, from the viewpoint of film formation stability of the first mask layer 103, the average number of functional groups is preferably 4.5 or less. In the case where a chlorine-based gas is used when dry-etching the workpiece 200, it is preferable to include a reactive diluent containing in the molecule the site represented by the chemical formula (4) from the viewpoint of dry etching resistance. From the viewpoint of adhesion between the nanoparticles 102 and the first mask layer 103, it is preferable that the nanoparticles 102 and the first mask layer 103 contain at least one similar reactive diluent.

また、第1のマスク層103に含まれる反応性希釈材のガラス転移温度は80℃以上であると、被処理体200の加工精度が向上するため好ましい。特に、第1のマスク層103全体のガラス転移温度を向上させる観点から、第1のマスク層103に含まれる反応性希釈材のガラス転移温度は120℃以上であることが好ましく、150℃以上であることがより好ましく、180℃以上であることが最も好ましい。   The glass transition temperature of the reactive diluent contained in the first mask layer 103 is preferably 80 ° C. or higher because the processing accuracy of the workpiece 200 is improved. In particular, from the viewpoint of improving the glass transition temperature of the entire first mask layer 103, the glass transition temperature of the reactive diluent contained in the first mask layer 103 is preferably 120 ° C. or higher, and is 150 ° C. or higher. More preferably, it is most preferably 180 ° C. or higher.

また、1分子内に少なくとも1つのOH基と少なくとも1つの付加重合性不飽和結合とを有する化合物を反応性希釈材として採用してもよい。このような分子を反応性希釈材として採用することで、ナノ粒子102及び被処理体200との密着性を向上させることができる。分子内のOH基は複数であってもよい。OH基はアルコール性であってもフェノール性であってもよいが、密着性、耐エッチング性の観点から、アルコール性が好ましい。分子内の付加重合性不飽和結合は複数であってもよい。   A compound having at least one OH group and at least one addition polymerizable unsaturated bond in one molecule may be employed as the reactive diluent. By adopting such a molecule as a reactive diluent, the adhesion between the nanoparticles 102 and the object to be processed 200 can be improved. There may be a plurality of OH groups in the molecule. The OH group may be alcoholic or phenolic, but alcoholic is preferable from the viewpoint of adhesion and etching resistance. There may be a plurality of addition polymerizable unsaturated bonds in the molecule.

第1のマスク層103を構成する重合開始材のうち、光重合開始材としては、上記ナノ粒子102にて説明した光重合開始材を使用することができる。   Among the polymerization initiators constituting the first mask layer 103, as the photopolymerization initiator, the photopolymerization initiator described for the nanoparticles 102 can be used.

バインダー樹脂の第1のマスク層103全体に対する割合は、貼合性、耐ドライエッチング性の観点から20質量%〜90質量%の範囲であり、好ましくは30質量%〜70質量%である。   The ratio of the binder resin to the entire first mask layer 103 is in the range of 20% by mass to 90% by mass, preferably 30% by mass to 70% by mass, from the viewpoints of bonding properties and dry etching resistance.

アルカリ可溶性バインダー樹脂の含有量は、第1のマスク層103の全質量基準で30質量%以上75質量%以下の範囲が好ましい。より好ましくは40質量%以上65質量%以下である。アルカリ現像性を発現する観点から、30質量%以上であることが好ましく、硬化性、転写性、耐ドライエッチング性の観点から、75質量%以下であることが好ましい。   The content of the alkali-soluble binder resin is preferably in the range of 30% by mass to 75% by mass based on the total mass of the first mask layer 103. More preferably, it is 40 mass% or more and 65 mass% or less. From the viewpoint of developing alkali developability, it is preferably 30% by mass or more, and from the viewpoint of curability, transferability, and dry etching resistance, it is preferably 75% by mass or less.

また、第1のマスク層103内部に残存する溶剤の濃度は、以下の基準に従い測定した際に、2000(g/ml)/m以下であると好ましい。この範囲を満たすことにより、第2の積層体2の被処理体に対する貼合精度、ナノ粒子102による第1のマスク層103n加工精度、第1のマスク層103による被処理体200の加工精度を向上させることができる。前記効果をより発揮する観点から、1000(g/ml)/m以下であることが好ましく、500(g/ml)/m以下であることがより好ましく、200(g/ml)/m以下であることが最も好ましい。更に、保護層101の凹凸構造101aの配列や形状によらず、ナノ粒子102及び第1のマスク層103の転写精度を向上させる観点から、150(g/ml)/m以下であることが好ましく、130(g/ml)/m以下であることがより好ましく、120(g/ml)/m以下であることが最も好ましい。
1.保護層101上に、第1のマスク層103のみを、ナノ粒子102のある場合と同様の条件にて成膜し、ナノ粒子102を具備しない第2の積層体2を得る。
2.第2の積層体2を20mm×20mmにカットし、10mLのアセトンにてメスアップし、溶液を採取する。
3.採取した溶液を、GC/MS装置を使用しSIM法により分析を行い、溶剤量を「g/ml」のディメンジョンにて求める。
4.1.にて作製した積層体の第1のマスク層103の平均厚みhave[m]を求める。
5.3.の結果を、第1のマスク層103の体積(0.02m×0.02m×have)にて除した値を第1のマスク層103内部に残存する溶剤の濃度とする。
The concentration of the solvent remaining in the first mask layer 103 is preferably 2000 (g / ml) / m 3 or less when measured according to the following criteria. By satisfying this range, the bonding accuracy of the second laminate 2 to the object to be processed, the processing accuracy of the first mask layer 103n by the nanoparticles 102, and the processing accuracy of the object 200 by the first mask layer 103 are increased. Can be improved. From the viewpoint of more exerting the effect, it is preferably 1000 (g / ml) / m 3 or less, more preferably 500 (g / ml) / m 3 or less, and 200 (g / ml) / m. Most preferably, it is 3 or less. Furthermore, from the viewpoint of improving the transfer accuracy of the nanoparticles 102 and the first mask layer 103 regardless of the arrangement and shape of the concavo-convex structure 101a of the protective layer 101, it is 150 (g / ml) / m 3 or less. Preferably, it is 130 (g / ml) / m 3 or less, and most preferably 120 (g / ml) / m 3 or less.
1. On the protective layer 101, only the first mask layer 103 is formed under the same conditions as in the case where the nanoparticles 102 are present, and the second stacked body 2 that does not include the nanoparticles 102 is obtained.
2. The 2nd laminated body 2 is cut into 20 mm x 20 mm, measured up with 10 mL acetone, and a solution is extract | collected.
3. The collected solution is analyzed by the SIM method using a GC / MS apparatus, and the amount of the solvent is obtained with a dimension of “g / ml”.
4.1. The average thickness have [m] of the first mask layer 103 of the laminated body manufactured in the above is obtained.
5.3. The value obtained by dividing the result by the volume of the first mask layer 103 (0.02 m × 0.02 m × have) is used as the concentration of the solvent remaining in the first mask layer 103.

更に、第1のマスク層103に染料、顔料等の着色物質を含有させることもできる。着色物を含有することで、第2の積層体2を用いて積層体201を転写形成した際に、転写が良好に行われているかを、目視判断することができる。更に、保護層101上に成膜された第1のマスク層103の品質管理に、着色物質の吸収を利用することができる。   Further, the first mask layer 103 can contain coloring substances such as dyes and pigments. By containing the colored material, it is possible to visually determine whether or not the transfer is performed well when the laminate 201 is transferred and formed using the second laminate 2. Furthermore, absorption of a colored substance can be used for quality control of the first mask layer 103 formed on the protective layer 101.

用いられる着色物質としては、例えば、フクシン、フタロシアニングリーン、オーラミン塩基、カルコキシドグリーンS,パラマジエンタ、クリスタルバイオレット、メチルオレンジ、ナイルブルー2B、ビクトリアブルー、マラカイトグリーン(保土ヶ谷化学社製アイゼン(登録商標)MALACHITEGREEN)、ベイシックブルー20、ダイアモンドグリーン(保土ヶ谷化学社製アイゼン(登録商標)DIAMONDGREENGH)等が挙げられる。   Examples of the coloring substance used include fuchsin, phthalocyanine green, auramine base, chalcoxide green S, paramadienta, crystal violet, methyl orange, Nile Blue 2B, Victoria Blue, Malachite Green (Eisen (registered trademark) MALACHITEGREEN manufactured by Hodogaya Chemical Co., Ltd.). ), Basic Blue 20, Diamond Green (Eisen (registered trademark) DIAMOND GREENGH manufactured by Hodogaya Chemical Co., Ltd.) and the like.

同様の効果から、第1のマスク層103に光照射により発色する発色系染料を含有させることもできる。用いられる発色系染料としては、例えば、ロイコ染料又はフルオラン染料と、ハロゲン化合物の組み合わせがある。   From the same effect, the first mask layer 103 can contain a coloring dye that develops color when irradiated with light. Examples of the coloring dye used include a combination of a leuco dye or a fluorane dye and a halogen compound.

ロイコ染料としては、例えば、トリス(4−ジメチルアミノ−2−メチルフェニル)メタン[ロイコクリスタルバイオレット]、トリス(4−ジメチルアミノ−2−メチルフェニル)メタン[ロイコマラカイトグリーン]等が挙げられる。   Examples of the leuco dye include tris (4-dimethylamino-2-methylphenyl) methane [leuco crystal violet], tris (4-dimethylamino-2-methylphenyl) methane [leucomalachite green], and the like.

ハロゲン化合物としては、臭化アミル、臭化イソアミル、臭化イソブチレン、臭化エチレン、臭化ジフェニルメチル、臭化ベンザル、臭化メチレン、トリブロモメチルフェニルスルフォン、四臭化炭素、トリス(2,3−ジブロモプロピル)ホスフェート、トリクロロアセトアミド、ヨウ化アミル、ヨウ化イソブチル、1,1,1−トリクロロ−2,2−ビス(p−クロロフェニル)エタン、ヘキサクロロエタン、トリアジン化合物等が挙げられる。該トリアジン化合物としては、2,4,6−トリス(トリクロロメチル)−s−トリアジン、2−(4−メトキシフェニル)−4,6−ビス(トリクロロメチル)−s−トリアジンが挙げられる。このような発色系染料の中でも、トリブロモメチルフェニルスルフォンとロイコ染料との組み合わせや、トリアジン化合物とロイコ染料との組み合わせが有用である。   Halogen compounds include amyl bromide, isoamyl bromide, isobutylene bromide, ethylene bromide, diphenylmethyl bromide, benzal bromide, methylene bromide, tribromomethylphenyl sulfone, carbon tetrabromide, tris (2,3 -Dibromopropyl) phosphate, trichloroacetamide, amyl iodide, isobutyl iodide, 1,1,1-trichloro-2,2-bis (p-chlorophenyl) ethane, hexachloroethane, triazine compounds and the like. Examples of the triazine compound include 2,4,6-tris (trichloromethyl) -s-triazine and 2- (4-methoxyphenyl) -4,6-bis (trichloromethyl) -s-triazine. Among such coloring dyes, a combination of tribromomethylphenylsulfone and a leuco dye or a combination of a triazine compound and a leuco dye is useful.

更に、第1のマスク層103の安定性を向上させるために、第1のマスク層103中にラジカル重合禁止剤を含有させることは好ましい。このようなラジカル重合禁止剤としては、例えば、p−メトキシフェノール、ハイドロキノン、ピロガロール、ナフチルアミン、tert−ブチルカテコール、塩化第一銅、2,6−ジ−tert−ブチル−p−クレゾール、2,2’−メチレンビス(4−エチル−6−tert−ブチルフェノール)、2,2’−メチレンビス(4−メチル−6−tert−ブチルフェノール)、ジフェニルニトロソアミン等が挙げられる。   Further, in order to improve the stability of the first mask layer 103, it is preferable to include a radical polymerization inhibitor in the first mask layer 103. Examples of such radical polymerization inhibitors include p-methoxyphenol, hydroquinone, pyrogallol, naphthylamine, tert-butylcatechol, cuprous chloride, 2,6-di-tert-butyl-p-cresol, 2,2 Examples include '-methylenebis (4-ethyl-6-tert-butylphenol), 2,2'-methylenebis (4-methyl-6-tert-butylphenol), diphenylnitrosamine and the like.

また、第1のマスク層103中に、必要に応じて可塑剤等の添加剤を含有させることもできる。そのような添加剤としては、例えば、ジエチルフタレート等のフタル酸エステル類やpートルエンスルホンアミド、ポリプロピレングリコール、ポリエチレングリコールモノアルキルエーテル等が挙げられる。   In addition, the first mask layer 103 may contain an additive such as a plasticizer as necessary. Examples of such additives include phthalic esters such as diethyl phthalate, p-toluenesulfonamide, polypropylene glycol, polyethylene glycol monoalkyl ether, and the like.

更に、第1のマスク層103はn層(n≧2)以上の多層構造であってもよい。例えば、第1の積層体1のナノ粒子102上に2層の第1のマスク層103−1,103−2を設けることができる。同様に、第Nの第1のマスク層103−N上に第(N+1)の第1のマスク層103−(N+1)を設けることができる。このようなn層構成の第1のマスク層103を採用することにより、ナノ粒子102/n層の第1のマスク層103/被処理体200から構成される積層体に対し、ナノ粒子102面側からエッチング(ドライエッチング)を行った際に、第1のマスク層103の有する傾斜角度等の制御性が向上する。そのため、続く、被処理体200の加工の自由度が向上する。本効果を発揮する観点から、多層の第1のマスク層103の場合の多層度nは、2以上10以下であることが好ましく、2以上5以下がより好ましく、2以上4以下が最も好ましい。また、多層の第1のマスク層103の場合、第n層の体積をVnとした場合、第1のマスク層103の全体積VはV1+V2+…Vnとなる。この時、後述する選択比(ドライエッチングレート比)範囲を満たす第1のマスク層103が、第1のマスク層103全体の体積Vに対して50%以上の体積を有することが好ましい。例えば、3層構成の第1のマスク層103の場合、第一層の第1のマスク層103の体積がV1,第二層の第1のマスク層103の体積がV2,第三層の第1のマスク層103の体積がV3となる。また、選択比を満たす第1のマスク層103が、第二層と第三層の場合、(V2+V3)/(V1+V2+V3)は0.5以上であることが好ましい。同様に、第三層のみが選択比範囲を満たす場合、(V3)/(V1+V2+V3)が0.5以上であることが好ましい。特に、多層の第1のマスク層103の加工精度と、被処理体200の加工精度の観点から、体積比率は0.65(65%)以上がより好ましく、0.7(70%)以上が最も好ましい。なお、n層全てが選択比範囲を満たすことで、被処理体200の加工精度が大きく向上するため、体積比率は1(100%)であると望ましい。更に、n層の第1のマスク層103の場合、最も外側(凹凸構造101aから最も遠い側の層)の組成が、上述した[第1のマスク層]にて説明した組成を満たせばよく、それ以外の層は、上述した[第1のマスク層]にて説明した組成の他、上述したナノ粒子102の組成、或いは保護層101の組成物を採用することもできる。このような中で、第1のマスク層103としての安定性の観点から、n層全てを上述した[第1のマスク層]にて説明した組成範囲内にて調整することが好ましい。   Further, the first mask layer 103 may have a multilayer structure of n layers (n ≧ 2) or more. For example, two first mask layers 103-1 and 103-2 can be provided on the nanoparticles 102 of the first laminate 1. Similarly, the (N + 1) th first mask layer 103- (N + 1) can be provided over the Nth first mask layer 103-N. By adopting the first mask layer 103 having such an n-layer structure, the surface of the nanoparticle 102 is formed with respect to the laminate composed of the nanoparticle 102 / n-layer first mask layer 103 / object 200. When etching (dry etching) is performed from the side, controllability such as an inclination angle of the first mask layer 103 is improved. Therefore, the freedom degree of the process of the to-be-processed object 200 which continues is improved. From the viewpoint of exerting this effect, the multilayer degree n in the case of the multilayer first mask layer 103 is preferably 2 or more, 10 or less, more preferably 2 or more and 5 or less, and most preferably 2 or more and 4 or less. In the case of the multilayer first mask layer 103, when the volume of the nth layer is Vn, the total volume V of the first mask layer 103 is V1 + V2 +... Vn. At this time, it is preferable that the first mask layer 103 satisfying a selection ratio (dry etching rate ratio) range described later has a volume of 50% or more with respect to the volume V of the entire first mask layer 103. For example, in the case of the first mask layer 103 having a three-layer structure, the volume of the first mask layer 103 of the first layer is V1, the volume of the first mask layer 103 of the second layer is V2, and the first layer of the third layer is V2. The volume of one mask layer 103 is V3. Further, when the first mask layer 103 that satisfies the selection ratio is the second layer and the third layer, (V2 + V3) / (V1 + V2 + V3) is preferably 0.5 or more. Similarly, when only the third layer satisfies the selection ratio range, (V3) / (V1 + V2 + V3) is preferably 0.5 or more. In particular, from the viewpoint of the processing accuracy of the multilayer first mask layer 103 and the processing accuracy of the workpiece 200, the volume ratio is more preferably 0.65 (65%) or more, and 0.7 (70%) or more. Most preferred. In addition, since all the n layers satisfy the selection ratio range, the processing accuracy of the object to be processed 200 is greatly improved. Furthermore, in the case of the n-layer first mask layer 103, the composition on the outermost side (the layer farthest from the concavo-convex structure 101a) only needs to satisfy the composition described in the above [First mask layer], For the other layers, the composition of the nanoparticles 102 or the composition of the protective layer 101 described above can be adopted in addition to the composition described in the above [First mask layer]. Under such circumstances, from the viewpoint of stability as the first mask layer 103, it is preferable to adjust all the n layers within the composition range described in [First Mask Layer] described above.

[選択比]
ドライエッチングによる、ナノ粒子102のエッチングレート(Vm1)と、第1のマスク層103のエッチングレート(Vo1)との比率(Vo1/Vm1)は、ナノ粒子102をマスクとして第1のマスク層103をエッチングする際の加工精度に影響を与える。Vo1/Vm1>1は、ナノ粒子102が第1のマスク層103よりもエッチングされにくいことを意味するため、(Vo1/Vm1)は大きいほど好ましい。
[Selection ratio]
The ratio (Vo1 / Vm1) between the etching rate (Vm1) of the nanoparticles 102 by dry etching and the etching rate (Vo1) of the first mask layer 103 is determined by using the first mask layer 103 as a mask. This affects the processing accuracy when etching. Since Vo1 / Vm1> 1 means that the nanoparticles 102 are less likely to be etched than the first mask layer 103, (Vo1 / Vm1) is preferably as large as possible.

(Vo1/Vm1)は、ナノ粒子102の塗工性の観点から、Vo1/Vm1≦150を満たすことが好ましく、Vo1/Vm1≦100を満たすことがより好ましい。また、(Vo1/Vm1)は、耐エッチング性の観点から、3≦Vo1/Vm1(式(4))を満たすことが好ましく、10≦Vo1/Vm1を満たすことがより好ましく、15≦Vo1/Vm1を満たすことがなお好ましい。   (Vo1 / Vm1) preferably satisfies Vo1 / Vm1 ≦ 150, and more preferably satisfies Vo1 / Vm1 ≦ 100, from the viewpoint of the coating property of the nanoparticles 102. Further, (Vo1 / Vm1) preferably satisfies 3 ≦ Vo1 / Vm1 (formula (4)) from the viewpoint of etching resistance, more preferably satisfies 10 ≦ Vo1 / Vm1, and 15 ≦ Vo1 / Vm1. It is still more preferable to satisfy | fill.

上記範囲を満たすことにより、厚みのある第1のマスク層103を、ナノ粒子102をマスクとしてドライエッチングすることにより、容易に微細加工することができる。これにより、ドライエッチングによって微細加工されたナノ粒子102及び第1のマスク層103からなる微細マスクパタン202aを、被処理体200上に形成することができる。このような、微細パタン構造体202を用いることで、上記説明した機能を得たり、また、被処理体200を容易にドライエッチング加工することができる。   By satisfying the above range, the thick first mask layer 103 can be easily finely processed by dry etching using the nanoparticles 102 as a mask. As a result, a fine mask pattern 202a composed of the nanoparticles 102 and the first mask layer 103 finely processed by dry etching can be formed on the target object 200. By using such a fine pattern structure 202, the above-described functions can be obtained, and the object 200 can be easily dry-etched.

一方、第1のマスク層103のエッチング時のエッチング異方性(横方向のエッチングレート(Vo//)と、縦方向のエッチングレート(Vo)との比率(Vo/Vo//)は、Vo/Vo//>1を満たすこことが好ましく、より大きいほど好ましい。第1のマスク層103のエッチングレートと、被処理体200とのエッチングレートの比率にもよるが、Vo/Vo//≧2を満たすことが好ましく、Vo/Vo//≧3.5を満たすことがより好ましく、Vo/Vo//≧10を満たすことがなお好ましい。 On the other hand, the etching anisotropy in etching the first mask layer 103 (lateral etching rate (Vo //), the ratio of the vertical etching rate (Vo ⊥) (Vo ⊥ / Vo //) is , Vo⊥ / Vo // > 1 is preferably satisfied and larger is more preferable, depending on the ratio of the etching rate of the first mask layer 103 to the etching rate of the object 200, but Vo⊥ / it is preferable to satisfy the Vo // ≧ 2, it is more preferable to satisfy the Vo / Vo // ≧ 3.5, it is further preferable to satisfy the Vo / Vo // ≧ 10.

なお、縦方向とは、第1のマスク層103の膜厚方向を意味し、横方向とは、第1のマスク層103の面方向を意味する。ピッチがサブミクロン以下の領域においては、厚みのある第1のマスク層103を安定的に形成し、被処理体200を容易にドライエッチングするために、第1のマスク層103の幅を大きく保つ必要がある。上記範囲を満たすことにより、ドライエッチング後の第1のマスク層103の幅(幹の太さ)を大きく保つことができるため、好ましい。   Note that the vertical direction means the film thickness direction of the first mask layer 103, and the horizontal direction means the surface direction of the first mask layer 103. In a region where the pitch is less than or equal to submicron, the first mask layer 103 is kept large in order to stably form the thick first mask layer 103 and easily dry-etch the workpiece 200. There is a need. By satisfying the above range, it is preferable because the width (the thickness of the trunk) of the first mask layer 103 after dry etching can be kept large.

ドライエッチングによる、被処理体200のエッチングレート(Vi2)と、第1のマスク層103のエッチングレート(Vo2)との比率(Vo2/Vi2)は、小さいほど好ましい。Vo2/Vi2<1を満たせば、第1のマスク層103のエッチングレートの方が、被処理体200のエッチングレートよりも小さいため、被処理体200を容易に加工することができる。第1のマスク層103の塗工性及び、エッチング精度の観点から、(Vo2/Vi2)は、Vo2/Vi2≦3を満たすことが好ましく、Vo2/Vi2≦2.5を満たすとより好ましい。(Vo2/Vi2)は、Vo2/Vi2≦2を満たすと、第1のマスク層103を薄くできるためより好ましい。なお、最も好ましくは、Vo2/Vi2<1である。   The ratio (Vo2 / Vi2) between the etching rate (Vi2) of the target object 200 and the etching rate (Vo2) of the first mask layer 103 by dry etching is preferably as small as possible. If Vo2 / Vi2 <1 is satisfied, the etching rate of the first mask layer 103 is smaller than the etching rate of the workpiece 200, so that the workpiece 200 can be easily processed. From the viewpoint of the coating property of the first mask layer 103 and the etching accuracy, (Vo2 / Vi2) preferably satisfies Vo2 / Vi2 ≦ 3, and more preferably satisfies Vo2 / Vi2 ≦ 2.5. (Vo2 / Vi2) is more preferable when Vo2 / Vi2 ≦ 2 is satisfied because the first mask layer 103 can be thinned. Most preferably, Vo2 / Vi2 <1.

被処理体200のエッチングレートとハードマスク層のエッチングレートの比率ハードマスク層は、加工性の観点から1以上であることが好ましく、3以上であることがより好ましい。被処理体200のアスペクト比を高く加工する観点からは、選択比は5以上であることが好ましく10以上であるとより好ましい。ハードマスク層を薄くする観点からは、選択比は15以上であるとなお好ましい。   Ratio of etching rate of workpiece 200 and etching rate of hard mask layer The hard mask layer is preferably 1 or more and more preferably 3 or more from the viewpoint of workability. From the viewpoint of processing the workpiece 200 with a high aspect ratio, the selection ratio is preferably 5 or more, and more preferably 10 or more. From the viewpoint of reducing the thickness of the hard mask layer, the selection ratio is more preferably 15 or more.

なお、微細パタンに対するドライエッチングレートは、微細パタンの影響を色濃く受けるため、これらの選択比率は、各種材料のフラット膜(ベタ膜)に対し測定される値である。   In addition, since the dry etching rate with respect to a fine pattern receives the influence of a fine pattern deeply, these selection ratios are the values measured with respect to the flat film (solid film) of various materials.

例えば、第1のマスク層103に対するエッチングレートは、Si又は石英等の基材上に、第1のマスク層103を成膜して得られたフラット膜に対して、ドライエッチング又はウェットエッチングを行うことで算出している。第1のマスク層103が反応性の場合は、硬化させてフラット膜を得る。   For example, the etching rate for the first mask layer 103 is dry etching or wet etching on a flat film obtained by forming the first mask layer 103 on a substrate such as Si or quartz. It is calculated by. When the first mask layer 103 is reactive, it is cured to obtain a flat film.

ナノ粒子102に対するエッチングレートは、Si又は石英等の基材上に、ナノ粒子102を成膜して得られたフラット膜に対して、ドライエッチングを行うことで算出している。ナノ粒子102が反応性の場合は、硬化させてフラット膜を得る。   The etching rate for the nanoparticles 102 is calculated by performing dry etching on a flat film obtained by forming the nanoparticles 102 on a substrate such as Si or quartz. When the nanoparticles 102 are reactive, they are cured to obtain a flat film.

また、ハードマスク層に対するエッチングレートは、Si又は石英等の基材上にハードマスク層を成膜し、ドライエッチング又はウェットエッチングを行うことで算出している。   The etching rate for the hard mask layer is calculated by forming a hard mask layer on a substrate such as Si or quartz and performing dry etching or wet etching.

被処理体200に対するエッチングレートは、被処理体200に対してドライエッチング又はウェットエッチングを行うことで算出している。   The etching rate for the object 200 is calculated by performing dry etching or wet etching on the object 200.

また、上記エッチングレート比を求める際は、同様のエッチング条件を適用したエッチングレートの比率として算出している。例えば、Vo1/Vm1は、第1のマスク層103のフラット膜とナノ粒子102のフラット膜に対し、同様のドライエッチング処理を行い、得られたそれぞれのエッチングレート(Vo1及びVm1)の比率として算出している。   Moreover, when calculating | requiring the said etching rate ratio, it calculates as a ratio of the etching rate which applied the same etching conditions. For example, Vo1 / Vm1 is calculated as the ratio of the respective etching rates (Vo1 and Vm1) obtained by performing the same dry etching process on the flat film of the first mask layer 103 and the flat film of the nanoparticles 102. doing.

同様に、Vo2/Vi2は、第1のマスク層103のフラット膜と被処理体200に対し、同様のドライエッチング又はウェットエッチング処理を行い、得られたそれぞれのエッチングレート(Vo2及びVi2)の比率として算出している。   Similarly, Vo2 / Vi2 is the ratio of the respective etching rates (Vo2 and Vi2) obtained by performing the same dry etching or wet etching process on the flat film of the first mask layer 103 and the target object 200. It is calculated as

同様に、被処理体200のエッチングレート/ハードマスク層のエッチングレートは、被処理体200とハードマスク層に対し同様のドライエッチング又はウェットエッチング処理を行い、得られたそれぞれのエッチングレート(被処理体200のエッチングレート及びハードマスク層のエッチングレート)の比率として算出している。   Similarly, the etching rate of the object to be processed 200 / the etching rate of the hard mask layer is obtained by performing the same dry etching or wet etching process on the object to be processed 200 and the hard mask layer. The ratio of the etching rate of the body 200 and the etching rate of the hard mask layer is calculated.

[被処理体]
第2の積層体2を用いて作製された微細マスクパタン202aをマスクとして加工する被処理体200は、用途により適宜選択すればよく特に限定されない。無機基材から有機基材、フィルムまで使用することができる。例えば、上記説明した支持基材100や、保護層101を構成する材料を選択できる。その他にも、LEDの内部量子効率の改善と、光取出し効率の改善を同時に満たすような用途の場合、被処理体200としてサファイア基板、スピネル基板、Si基板、SiC基板或いは窒化物半導体基板を挙げることができる。この場合、得られた微細マスクパタン202aをマスクとして基板を加工することになる。一方で、光取出し向上目的でGaN基板を選択することもできる。この場合、得られた微細マスクパタン202aをマスクとしてGaN基板を加工することになる。その他にも、GaAsP、GaP、AlGaAs、InGaN、GaN、AlGaN、ZnSe、AlHaInP、ZnO、ITO等から構成される基材を使用することもできる。大面積な微細パタンにより無反射表面ガラスを作製する目的であれば、ガラス板やガラスフィルム等を選択できる。太陽電池用途等で、光の吸収効率や変換効率等を向上させるために、Si基板を採用することもできる。また、超撥水性のフィルム、超親水性のフィルムを作製する場合は、フィルム基材を使用することができる。また、完全黒体を目的とすれば、カーボンブラックが練りこまれた、又は表面に塗布された基材等を採用することができる。また、ナノ粒子102に金属を採用した場合、被処理体200表面に転写形成されたマスク層自体が機能を発現し、センサ(光学式センサ)として応用できる。この場合、基材はセンサの使用環境の観点で適宜選択すればよい。
[To-be-processed object]
The target object 200 to be processed using the fine mask pattern 202a manufactured using the second stacked body 2 as a mask is not particularly limited as long as it is appropriately selected depending on the application. From inorganic substrates to organic substrates and films can be used. For example, the material which comprises the support base material 100 and the protective layer 101 which were demonstrated above can be selected. In addition, in the case of an application that satisfies the improvement of the internal quantum efficiency of the LED and the improvement of the light extraction efficiency at the same time, examples of the object 200 include a sapphire substrate, a spinel substrate, a Si substrate, a SiC substrate, or a nitride semiconductor substrate. be able to. In this case, the substrate is processed using the obtained fine mask pattern 202a as a mask. On the other hand, a GaN substrate can be selected for the purpose of improving light extraction. In this case, the GaN substrate is processed using the obtained fine mask pattern 202a as a mask. In addition, a base material made of GaAsP, GaP, AlGaAs, InGaN, GaN, AlGaN, ZnSe, AlHaInP, ZnO, ITO, or the like can also be used. For the purpose of producing a non-reflective surface glass with a large area fine pattern, a glass plate, a glass film, or the like can be selected. In order to improve light absorption efficiency, conversion efficiency, etc. for solar cell applications, a Si substrate can also be employed. Moreover, when producing a super water-repellent film and a super hydrophilic film, a film base material can be used. For the purpose of a complete black body, a substrate or the like in which carbon black is kneaded or coated on the surface can be employed. In addition, when a metal is used for the nanoparticle 102, the mask layer itself transferred and formed on the surface of the object 200 exhibits a function and can be applied as a sensor (optical sensor). In this case, the base material may be appropriately selected from the viewpoint of the usage environment of the sensor.

続いて、保護層101の表面に凹凸構造が形成されている場合について説明する。保護層101の表面に凹凸構造がある場合、凹凸構造の凹部内部にナノ粒子102が配置される。このため、ナノ粒子102の配列及び形状を保護層101の凹凸構造により保護することができる。なお、保護層101に凹凸構造のある場合であっても、第2の積層体2の好ましい要件は、上記説明した範囲を満たす。   Subsequently, a case where an uneven structure is formed on the surface of the protective layer 101 will be described. When the surface of the protective layer 101 has a concavo-convex structure, the nanoparticles 102 are disposed inside the concavo-convex structure. For this reason, the arrangement and shape of the nanoparticles 102 can be protected by the uneven structure of the protective layer 101. Even when the protective layer 101 has a concavo-convex structure, preferable requirements for the second laminate 2 satisfy the above-described range.

図8に示すように、保護層101の凹凸構造101aの凹部101cの内部にナノ粒子102が設けられ、ナノ粒子102及び凹凸構造101aを覆うように第1のマスク層103が設けられる。ここで、ナノ粒子102は、凹凸構造101aの凹部101c内に配置され、凹凸構造101aの凸部頂部より外側へとはみ出さない配置であると、ナノ粒子102の配置精度及び転写精度、そして第1のマスク層103の加工精度が向上するため好ましい。   As shown in FIG. 8, nanoparticles 102 are provided inside the recesses 101c of the uneven structure 101a of the protective layer 101, and a first mask layer 103 is provided so as to cover the nanoparticles 102 and the uneven structure 101a. Here, the nanoparticle 102 is disposed in the concave portion 101c of the concavo-convex structure 101a and does not protrude outward from the top of the convex portion of the concavo-convex structure 101a. This is preferable because the processing accuracy of one mask layer 103 is improved.

このように、凹凸構造101aの凹部101c内部を埋めるようにナノ粒子102が配置される。これにより、第1のマスク層103を被処理体200に貼合し、さらにドライエッチングを行って、図7Cに示すように、被処理体200上に第1のマスク層103及びナノ粒子102からなる積層体201を作製した際に、第1のマスク層103の凹部内に配置されるナノ粒子102のない状態にすることができる。この結果、被処理体200上に微細マスクパタン202aを形成する際のドライエッチング工程において、残膜に相当する積層体201の第1のマスク層103の凹部底部に配置されるナノ粒子102を除去する工程を省くことができる。よって、被処理体200上に形成される微細マスクパタン202aの加工精度を向上させることが可能となり、被処理体200上に更なる加工により設けられる微細パタン220の精度が向上する。   In this way, the nanoparticles 102 are arranged so as to fill the concave portion 101c of the concave-convex structure 101a. As a result, the first mask layer 103 is bonded to the object to be processed 200, and dry etching is further performed. As shown in FIG. 7C, the first mask layer 103 and the nanoparticles 102 are formed on the object to be processed 200. When the laminated body 201 to be manufactured is manufactured, the nanoparticles 102 arranged in the recesses of the first mask layer 103 can be removed. As a result, in the dry etching process when the fine mask pattern 202a is formed on the target object 200, the nanoparticles 102 disposed on the bottom of the concave portion of the first mask layer 103 of the stacked body 201 corresponding to the remaining film are removed. The process to perform can be omitted. Therefore, it is possible to improve the processing accuracy of the fine mask pattern 202a formed on the target object 200, and the accuracy of the fine pattern 220 provided on the target object 200 by further processing is improved.

[凹凸構造]
第2の積層体2における保護層101が有する凹凸構造101aの形状は、特に限定されないが、例えば、複数の柵状体が配列したラインアンドスペース構造、複数の柵状体が交差した格子構造、複数のドット(凸部、突起)状構造が配列したドット構造、複数のホール(凹部)状構造が配列したホール構造等が挙げられる。ドット構造やホール構造は例えば、円錐、円柱、四角錐、四角柱、二重リング状、多重リング状等の構造が挙げられる。なお、これらの形状は底面の外径が歪んだ形状や、側面が湾曲した形状を含む。
[Uneven structure]
The shape of the concavo-convex structure 101a of the protective layer 101 in the second stacked body 2 is not particularly limited. For example, a line and space structure in which a plurality of fence-like bodies are arranged, a lattice structure in which a plurality of fence-like bodies intersect, Examples include a dot structure in which a plurality of dot (convex portion, protrusion) -like structures are arranged, a hole structure in which a plurality of hole (concave) -like structures are arranged, and the like. Examples of the dot structure and the hole structure include a cone, a cylinder, a quadrangular pyramid, a quadrangular prism, a double ring shape, and a multiple ring shape. These shapes include a shape in which the outer diameter of the bottom surface is distorted and a shape in which the side surface is curved.

凹凸構造101aの形状がドット状であると、ドット間の連続的な隙間をナノ粒子材料の希釈溶液の塗工に利用でき、ナノ粒子102の配列精度及び形状精度が向上する。一方、第2の積層体2の使用に関し、転写形成されたナノ粒子102をマスクとして機能させる場合は、凹凸構造101aの形状はホール形状であることが好ましい。更に、凹凸構造101aの形状がホール形状であることで、ナノ粒子材料の希釈溶液を、凹凸構造101aに直接塗工する際の、凹凸構造の耐久性(物理的破壊に対する耐性)が向上する。   When the concavo-convex structure 101a has a dot shape, a continuous gap between dots can be used for application of the diluted solution of the nanoparticle material, and the arrangement accuracy and shape accuracy of the nanoparticles 102 are improved. On the other hand, regarding the use of the second laminate 2, when the transferred nanoparticles 102 function as a mask, the shape of the concavo-convex structure 101 a is preferably a hole shape. Furthermore, since the shape of the concavo-convex structure 101a is a hole shape, the durability of the concavo-convex structure (resistance to physical destruction) when a diluted solution of the nanoparticle material is directly applied to the concavo-convex structure 101a is improved.

なお、凹凸構造101aがドット形状の場合、隣接するドットが滑らかな凹部を通じつながっていると上記効果をより発揮するため好ましい。また、凹凸構造101aがホール形状の場合、隣接するホールが滑らかな凸部を通じつながっていると、上記効果をより発揮するため好ましい。   In the case where the concavo-convex structure 101a has a dot shape, it is preferable that adjacent dots are connected through a smooth concave portion because the above-described effect is more exhibited. Moreover, when the uneven | corrugated structure 101a is a hole shape, since the said effect is exhibited more when the adjacent hole is connected through the smooth convex part, it is preferable.

ここで、「ドット形状」とは、「柱状体(錐状体)が複数配置された形状」であり、「ホール形状」とは、「柱状(錐状)の穴が複数形成された形状」である。すなわち、ドット形状とは、図9Aに示すように、複数の凸部101b(柱状体(錐状体))が配置された形状であり、凸部101b間の凹部101cは連続性のある状態である。一方、ホール形状とは、図9Bに示すように、複数の凹部101c(柱状(錐状)の穴)が配置された形状であり、隣接する凹部101c同士は凸部101bにより隔離されている状態である。   Here, the “dot shape” is “a shape in which a plurality of columnar bodies (conical bodies) are arranged”, and the “hole shape” is a “shape in which a plurality of columnar (conical) holes are formed”. It is. That is, as shown in FIG. 9A, the dot shape is a shape in which a plurality of convex portions 101b (columnar bodies (conical bodies)) are arranged, and the concave portions 101c between the convex portions 101b are in a continuous state. is there. On the other hand, the hole shape is a shape in which a plurality of concave portions 101c (columnar (conical) holes) are arranged as shown in FIG. 9B, and adjacent concave portions 101c are separated from each other by the convex portions 101b. It is.

凹凸構造101aにおいて、ドット形状における凸部101b同士の中心間距離又はホール形状における凹部101c同士の中心間距離が50nm以上5000nm以下であり、凸部101bの高さ又は凹部101cの深さが10nm以上2000nm以下であることが好ましい。特に、ドット形状における凸部101b同士の中心間距離又はホール形状における凹部101c同士の中心間距離が100nm以上1000nm以下であり、凸部101bの高さ又は凹部101cの深さが50nm以上1000nm以下であることが好ましい。これらの範囲を満たすことにより、凹凸構造101aの凹部101c内に設けられるナノ粒子102の形状の制御性を向上させることができる。ここで、凸部101bとは、凹凸構造101aの平均高さより高い部位をいい、凹部101cとは、凹凸構造101aの平均高さより低い部位をいうものとする。   In the concavo-convex structure 101a, the center-to-center distance between the protrusions 101b in the dot shape or the center-to-center distance between the recesses 101c in the hole shape is 50 nm or more and 5000 nm or less, and the height of the protrusion 101b or the depth of the recess 101c is 10 nm or more. It is preferable that it is 2000 nm or less. In particular, the center-to-center distance between the protrusions 101b in the dot shape or the center-to-center distance between the recesses 101c in the hole shape is 100 nm to 1000 nm, and the height of the protrusion 101b or the depth of the recess 101c is 50 nm to 1000 nm. Preferably there is. By satisfying these ranges, the controllability of the shape of the nanoparticles 102 provided in the recesses 101c of the concavo-convex structure 101a can be improved. Here, the convex portion 101b refers to a portion higher than the average height of the concavo-convex structure 101a, and the concave portion 101c refers to a portion lower than the average height of the concavo-convex structure 101a.

凹凸構造101aの配列は、正六方配列、準六方配列、準四方配列、正四方配列、ランダム配列や、これらを規則的或いは規則性低く組み合わせた配列等を採用することができる。例えば、六方配列から四方配列へと徐々に変化した後に六方配列に戻る配列等も採用できる。特に、ナノ粒子102の配置精度の観点から、正六方配列における配列の歪が±15%以下の六方配列が好ましい。ここで、配列の歪は単位格子スケールにて不規則にもうけられても、単位格子スケールの歪が連続的に長周期的な変化をする場合であっても、複数の単位格子を1組にしそれぞれの組に設けられる歪が非規則的に設けられても、規則的にもうけられてもよい。   As the arrangement of the concavo-convex structure 101a, a regular hexagonal arrangement, a quasi-hexagonal arrangement, a quasi-tetragonal arrangement, a regular tetragonal arrangement, a random arrangement, an arrangement obtained by combining these regularly or with low regularity, and the like can be adopted. For example, an array that gradually changes from a hexagonal array to a tetragonal array and then returns to the hexagonal array can be employed. In particular, from the viewpoint of the arrangement accuracy of the nanoparticles 102, a hexagonal array in which the distortion of the array in the regular hexagonal array is ± 15% or less is preferable. Here, even if the distortion of the arrangement is irregularly generated on the unit cell scale, or even when the distortion of the unit cell scale changes continuously over a long period, a plurality of unit cells are combined into one set. The distortion provided in each set may be provided irregularly or may be provided regularly.

凹凸構造101aの形状は、凹部深さ、凹部底部の幅、凸部頂部の幅、凹部側面の角度、凹部側面の変曲点の数といった変数により記載することができる。一方、配列はピッチを変数とすることで記載可能である。ここで、変数をxとした時に、xに対する標準偏差と相加平均と、の比率(標準偏差/相加平均)が0.025以上であることにより、ナノ粒子102に乱れを加えることができる。このため、ナノ粒子102をマスクにエッチング加工された第1のマスク層103や、更に加工された被処理体200の微細パタン220に乱れを加えることができる。このような乱れを含ませることにより、微細パタン220を具備する被処理体200を光学用途、例えばLEDやOLEDに使用した際に、散乱性を強く付加することができる。   The shape of the concavo-convex structure 101a can be described by variables such as the concave depth, the width of the concave bottom, the width of the convex top, the angle of the concave side, and the number of inflection points on the concave side. On the other hand, the arrangement can be described by using the pitch as a variable. Here, when the variable is x, the ratio of the standard deviation to the arithmetic average with respect to x and the arithmetic average (standard deviation / arithmetic average) is 0.025 or more, so that the nanoparticles 102 can be disturbed. . For this reason, disturbance can be applied to the first mask layer 103 etched using the nanoparticles 102 as a mask and the fine pattern 220 of the processed object 200 further processed. By including such a disturbance, when the target object 200 having the fine pattern 220 is used for an optical application, for example, an LED or an OLED, scattering can be strongly added.

上述したように、標準偏差/相加平均が0.025以上であれば散乱性を強く付加することが可能となる。より散乱性を強くし、光学素子としての性能を向上させる観点から、標準偏差/相加平均は0.03以上であると好ましい。一方、上限値は、ナノ粒子102の配置精度の観点から、0.5以下であると好ましい。また、第1のマスク層103の加工精度を向上させる観点から0.35以下が好ましく、0.25以下であることがより好ましく、0.15以下であることが最も好ましい。   As described above, if the standard deviation / arithmetic mean is 0.025 or more, it is possible to strongly add scattering. From the viewpoint of enhancing the scattering property and improving the performance as an optical element, the standard deviation / arithmetic average is preferably 0.03 or more. On the other hand, the upper limit value is preferably 0.5 or less from the viewpoint of the arrangement accuracy of the nanoparticles 102. Further, from the viewpoint of improving the processing accuracy of the first mask layer 103, it is preferably 0.35 or less, more preferably 0.25 or less, and most preferably 0.15 or less.

また、保護層101の凹凸構造101aは、図10A及び図10Bに示すように、面内において直交する第1方向と第2方向に対し、第1方向にピッチPで凸部101b(又は凹部101c、以下同様)が配列し、かつ、第2方向にピッチSで凸部101bが配列する場合において、第2方向に列をなす凸部101bの第1方向に対するずれ(シフト量(α))の規則性が高い配列であってもよいし(図10A参照)、シフト量(α)の規則性が低い配列であってもよい(図10B参照)。シフト量(α)とは、第1方向に平行な隣り合う列において、最も近接する凸部101bの中心を通る第2方向に平行な線分間の距離をいう。例えば、図10Aに示すように、第1方向に平行な第(N)列の任意の凸部101bの中心を通る第2方向に平行な線分と、この凸部101bから最も近い距離にある第(N+1)列の凸部101bの中心を通る第2方向に平行な線分との間の距離が、シフト量(α)と規定される。図10Aに示す配列は、どの列を第(N)列としても、シフト量(α)はほぼ一定であるため、周期性を備えた配列といえる。一方、図10Bに示す配列は、どの列を第(N)列とするかによって、シフト量(α)の値が変わるため、非周期性を備えた配列といえる。   10A and 10B, the concavo-convex structure 101a of the protective layer 101 has convex portions 101b (or concave portions 101c) at a pitch P in the first direction with respect to the first direction and the second direction orthogonal to each other in the plane. , The same applies hereinafter) and the protrusions 101b are arranged at a pitch S in the second direction, the deviation (shift amount (α)) of the protrusions 101b forming a row in the second direction with respect to the first direction. It may be an array with high regularity (see FIG. 10A) or an array with low regularity of the shift amount (α) (see FIG. 10B). The shift amount (α) refers to the distance between line segments parallel to the second direction passing through the center of the closest convex portion 101b in adjacent rows parallel to the first direction. For example, as shown in FIG. 10A, the line segment parallel to the second direction passing through the center of the arbitrary convex portion 101b in the (N) th row parallel to the first direction and the closest distance from the convex portion 101b. The distance from the line segment parallel to the second direction passing through the center of the convex portion 101b in the (N + 1) th row is defined as the shift amount (α). The array shown in FIG. 10A can be said to be an array having periodicity because the shift amount (α) is almost constant regardless of which column is the (N) th column. On the other hand, the array shown in FIG. 10B can be said to be an array having aperiodicity because the value of the shift amount (α) varies depending on which column is the (N) th column.

ピッチP及びピッチSは、想定する用途に応じて適宜設計することができる。例えば、ピッチPとピッチSとは等しいピッチであってもよい。また、図10A及び図10Bにおいては、凸部101bが重なりを持たず独立した状態で描かれているが、第1方向と第2方向の両方、又はいずれか一方に配列する凸部101bが重なっていてもよい。   The pitch P and the pitch S can be appropriately designed according to the intended use. For example, the pitch P and the pitch S may be equal. In FIGS. 10A and 10B, the convex portions 101b are depicted in an independent state without overlapping, but the convex portions 101b arranged in both the first direction and the second direction overlap. It may be.

例えば、LEDのサファイア基材(被処理体200)表面の加工を行う場合、LEDの内部量子効率を向上させるために、保護層101の凹凸構造101aは、ピッチP、Sが200nm〜800nm、高さが50nm〜800nmであることが好ましい。配列は、正規配列が好ましい。特に、内部量子効率を向上させ、且つ光取り出し効率を向上させるために、上記説明した非周期性を備えた配列が好ましい。その他にも、内部量子効率及び光取り出し効率を同時に向上させるために、保護層101の凹凸構造101aは、ナノスケールで正規配列をなし、かつ、マイクロスケールの大きな周期性を有する、ピッチP、Sにマイクロスケールの周期を有する変調を加えたホール形状であるとより好ましい。   For example, when processing the surface of the sapphire substrate (object 200) of the LED, in order to improve the internal quantum efficiency of the LED, the concavo-convex structure 101a of the protective layer 101 has a pitch P, S of 200 nm to 800 nm, high Is preferably 50 nm to 800 nm. The sequence is preferably a regular sequence. In particular, in order to improve the internal quantum efficiency and improve the light extraction efficiency, the arrangement having the non-periodicity described above is preferable. In addition, in order to improve the internal quantum efficiency and the light extraction efficiency at the same time, the uneven structure 101a of the protective layer 101 has a pitch P, S having a regular arrangement on the nanoscale and a large periodicity on the microscale. It is more preferable to have a hole shape in which modulation having a microscale period is added.

第2の積層体2を製造するにあたり、ナノ粒子102を凹凸構造101aの凹部内部に配置する観点から、次に示す構造及びナノ粒子材料を用いることが好ましい。   When manufacturing the 2nd laminated body 2, it is preferable to use the structure and nanoparticle material which are shown next from a viewpoint which arrange | positions the nanoparticle 102 inside the recessed part of the uneven structure 101a.

図11A及び図11Bは、第2の積層体2における保護層101のドット形状の凹凸構造101aを示す断面模式図である。保護層101の凹凸構造101aがドット形状の場合、1つの凸部頂部101b−1を形成する面における、最長の線分の長さ(lx)がサブミクロンスケールであると、希釈塗工したナノ粒子材料が、系のエネルギーを減少させるように、効率的に凹部101c内部へと充填されるため好ましい。特に、最長の線分の長さが、500nm以下であると、上記効果をよりいっそう発揮できるため好ましく、より好ましくは、300nm以下、最も好ましくは、150nm以下である。なお、1つの凸部頂部101b−1を形成する面とは、各凸部101bの頂部位置を通る面と、1つの凸部頂部101b−1とが交わる面を意味する。   11A and 11B are schematic cross-sectional views showing the dot-shaped concavo-convex structure 101 a of the protective layer 101 in the second stacked body 2. When the concavo-convex structure 101a of the protective layer 101 has a dot shape, the length of the longest line segment (lx) on the surface on which one convex portion top 101b-1 is formed is submicron scale. The particulate material is preferable because it is efficiently filled into the recess 101c so as to reduce the energy of the system. In particular, the length of the longest line segment is preferably 500 nm or less because the above effect can be further exhibited, more preferably 300 nm or less, and most preferably 150 nm or less. In addition, the surface which forms one convex part top part 101b-1 means the surface where the surface which passes through the top part position of each convex part 101b, and one convex part top part 101b-1.

図11Aに示すように、凸部101bは、凸部底部101b−2の面積の方が凸部頂部101b−1の面積より大きい構造、すなわち、凸部101bが傾斜を持つ構造であると、上記効果をより発揮できるため好ましい。更に、図11Bに示すように、凸部頂部101b−1と傾斜部101b−3とは、連続的に滑らかにつながっていると、ナノ粒子102を希釈し塗工する際の、固液気界面におけるピン止め効果(TPCLよるピン止め効果)を抑制でき、上記効果をよりいっそう発揮できるため好ましい。   As shown in FIG. 11A, the convex part 101b has a structure in which the area of the convex part bottom part 101b-2 is larger than the area of the convex part top part 101b-1, that is, the convex part 101b has a slope. This is preferable because the effect can be further exhibited. Furthermore, as shown in FIG. 11B, when the convex part top part 101b-1 and the inclined part 101b-3 are continuously connected smoothly, the solid-liquid interface at the time of diluting and coating the nanoparticles 102 is applied. This is preferable because the pinning effect in (a pinning effect by TPCL) can be suppressed and the above effect can be further exhibited.

図12は、第2の積層体2における保護層101のホール形状の凹凸構造101aを示す平面模式図である。保護層101の凹凸構造101aがホール形状の場合、1つのホール(A)と、ホール(A)に最近接するホール(B)において、ホール(A)の開口淵部と、ホール(B)の開口淵部をつなぐ、最短の線分(ly)の長さがサブミクロンスケールであると、希釈塗工したナノ粒子材料が、系のエネルギーを減少させるように、効率的に凹部101c内部へと充填される結果、第2の積層体2の膜厚方向への重なりの少ないナノ粒子102を得ることができる。特に、最短の線分の長さが、500nm以下であると、上記効果をよりいっそう発揮できるため好ましく、より好ましくは、400nm以下、最も好ましくは、300nm以下である。その中でも、最短の線分の長さは、150nm以下であることが好ましく、さらに好ましくは100nm以下、最も好ましくは0nmである。なお、最短の線分の長さが0nmとは、ホール(A)とホール(B)との開口淵部の一部が重なり合う状態を意味している。   FIG. 12 is a schematic plan view showing the hole-shaped concavo-convex structure 101 a of the protective layer 101 in the second stacked body 2. When the concavo-convex structure 101a of the protective layer 101 has a hole shape, in one hole (A) and the hole (B) closest to the hole (A), the opening flange of the hole (A) and the opening of the hole (B) When the length of the shortest line segment (ly) connecting the ridges is submicron scale, the diluted nanoparticle material is efficiently filled into the recess 101c so as to reduce the energy of the system. As a result, the nanoparticles 102 with little overlap in the film thickness direction of the second laminate 2 can be obtained. In particular, it is preferable that the length of the shortest line segment is 500 nm or less because the above effect can be further exhibited, more preferably 400 nm or less, and most preferably 300 nm or less. Among these, the length of the shortest line segment is preferably 150 nm or less, more preferably 100 nm or less, and most preferably 0 nm. In addition, the length of the shortest line segment of 0 nm means a state in which part of the opening flange portion of the hole (A) and the hole (B) overlaps.

また、ホール開口部の面積が、ホール底部の面積よりも大きいと、上記効果をより発揮できるため好ましい。更に、開口淵と凹部側面とは、連続的に滑らかにつながっていると、ナノ粒子材料を希釈し塗工する際の、固液気界面におけるピン止め効果(TPCLよるピン止め効果)を抑制でき、上記効果をよりいっそう発揮できるため好ましい。   Moreover, it is preferable that the area of the hole opening is larger than the area of the hole bottom because the above-described effect can be further exhibited. In addition, if the opening wrinkles and the side surfaces of the recesses are connected continuously and smoothly, the pinning effect at the solid-liquid interface (pinning effect by TPCL) when diluting and applying the nanoparticle material can be suppressed. It is preferable because the above effect can be further exhibited.

更に、第2の積層体2においては、保護層101の凹凸構造101aのピッチP及びピッチSはともに1500nm以下であると上記効果をより発揮するため好ましく、1000nm以下であるとより好ましい。特に、互いに重なり合うナノ粒子102を少なくし第1のマスク層103の加工精度を向上させる観点から、800nm以下であることが好ましく、500nm以下であることがより好ましく、350nm以下であることが最も好ましい。また、ナノ粒子102の充填配置精度及び転写性の観点からピッチは50nm以上であることが好ましい。保護層101の凹凸構造上へナノ粒子材料を塗工し、凹部内部へとナノ粒子102を充填する際に、開口率が45%以上であると、ピッチP、Sが50nmから1000nmの範囲内にある場合には、ナノ粒子102は凹凸構造101aを認識することができ、凹凸構造101a内部に形成されるナノ粒子102の仮想液滴の曲率半径を極大化するように、パタン内部へと濡れ広がるため、好ましい。仮想液滴とは、保護層101の凹凸構造101aの凹部内部に存在すると仮定した、ナノ粒子材料の液滴を意味する。特に50%以上であることが好ましく、55%以上であるとより好ましい。更に、開口率が65%以上であると、上記効果に加え、保護層101の凹凸構造101aの凸部上から凹部内部方向へのポテンシャルが働き凹内部へ液滴が充填された後に、凸上へとナノ粒子材料が再移動することを回避できるため、より好ましい。また、上記効果をよりいっそう発揮するために、開口率は、70%以上が望ましい。より好ましくは、開口率は75%以上であり、80%以上であるとさらに好ましい。   Furthermore, in the second laminate 2, the pitch P and pitch S of the concavo-convex structure 101a of the protective layer 101 are both preferably 1500 nm or less in order to further exhibit the above effects, and more preferably 1000 nm or less. In particular, from the viewpoint of reducing the number of nanoparticles 102 overlapping each other and improving the processing accuracy of the first mask layer 103, it is preferably 800 nm or less, more preferably 500 nm or less, and most preferably 350 nm or less. . Moreover, it is preferable that a pitch is 50 nm or more from a viewpoint of the filling arrangement | positioning precision of the nanoparticle 102, and transcription | transfer property. When the nanoparticle material is applied onto the concavo-convex structure of the protective layer 101 and the nanoparticles 102 are filled into the recesses, the pitch P and S are within the range of 50 nm to 1000 nm when the aperture ratio is 45% or more. In this case, the nanoparticle 102 can recognize the concavo-convex structure 101a and wets into the pattern so as to maximize the radius of curvature of the virtual droplet of the nanoparticle 102 formed inside the concavo-convex structure 101a. Since it spreads, it is preferable. The virtual droplet means a droplet of a nanoparticle material that is assumed to exist inside the recess of the uneven structure 101a of the protective layer 101. In particular, it is preferably 50% or more, and more preferably 55% or more. Furthermore, when the aperture ratio is 65% or more, in addition to the above effect, the potential from the convex portion of the concavo-convex structure 101a of the protective layer 101 toward the concave portion works, and after the droplet is filled into the concave portion, It is more preferable because the nanoparticle material can be prevented from re-moving to the surface. Moreover, in order to exhibit the said effect much more, 70% or more of aperture ratio is desirable. More preferably, the aperture ratio is 75% or more, and further preferably 80% or more.

なお、保護層101の凹凸構造101aがホール状の場合は、図13Aに示すように、凹凸構造101aの主面と平行な面内において、凹凸構造101a上の単位面積(Sc)下に含まれる、凹部101cの面積(Sh)の比率が開口率である。図13Cは、図13Aに示す単位面積(Sc)下に含まれる凹凸構造101aを抜き出した模式図である。図13Cに示す例では、単位面積(Sc)内に微細ホール(凹部101c)が12個含まれている。この12個の微細ホール(凹部101c)の開口部面積(Sh1〜Sh12)の和がShとして与えられ、開口率Arは(Sh/Sc)で与えられる。一方で、凹凸構造101aがドット状の場合は、図13Bに示すように、凹凸構造101aの主面と平行な面内において、凹凸構造101a上の単位面積(Sc)下に含まれる、凹部101cの面積(Sc−Sh)の比率が開口率である。図13Cは、図13Bに示す単位面積(Sc)下に含まれる凹凸構造101aを抜き出した模式図である。図13Cに示す例では、単位面積(Sc)内に微細ドット(凸部101b)が12個含まれている。この12個の微細ドット(凸部101b)の頂部面積(Sh1〜Sh12)の和がShとして与えられ、開口率Arは((Sc−Sh)/Sc)で与えられる。開口率Arを100倍すればパーセントとして表記できる。   In addition, when the concavo-convex structure 101a of the protective layer 101 has a hole shape, as shown in FIG. 13A, it is included below the unit area (Sc) on the concavo-convex structure 101a in a plane parallel to the main surface of the concavo-convex structure 101a. The ratio of the area (Sh) of the recess 101c is the aperture ratio. FIG. 13C is a schematic diagram in which the concavo-convex structure 101a included under the unit area (Sc) illustrated in FIG. 13A is extracted. In the example shown in FIG. 13C, 12 fine holes (recesses 101c) are included in the unit area (Sc). The sum of the opening area (Sh1 to Sh12) of the twelve fine holes (recesses 101c) is given as Sh, and the opening ratio Ar is given by (Sh / Sc). On the other hand, when the concavo-convex structure 101a is dot-shaped, as shown in FIG. 13B, the concave portion 101c included under the unit area (Sc) on the concavo-convex structure 101a in a plane parallel to the main surface of the concavo-convex structure 101a. The area ratio (Sc-Sh) is the aperture ratio. FIG. 13C is a schematic diagram in which the concavo-convex structure 101a included under the unit area (Sc) illustrated in FIG. 13B is extracted. In the example shown in FIG. 13C, twelve fine dots (convex portions 101b) are included in the unit area (Sc). The sum of the top areas (Sh1 to Sh12) of the twelve fine dots (projections 101b) is given as Sh, and the aperture ratio Ar is given by ((Sc-Sh) / Sc). If the aperture ratio Ar is multiplied by 100, it can be expressed as a percentage.

例えば、図14に示すような、開口径(φ)が430nm、x軸方向のピッチPxが398nm、y軸方向のピッチPyが460nmの凹部が六方最密充填配列で並んだ凹凸構造101aの場合、Sh/Scは0.79(開口率79%)となる。   For example, in the case of the concavo-convex structure 101a as shown in FIG. 14, in which concave portions having an opening diameter (φ) of 430 nm, a pitch Px in the x-axis direction of 398 nm, and a pitch Py in the y-axis direction of 460 nm are arranged in a hexagonal close-packed array. Sh / Sc is 0.79 (aperture ratio 79%).

同様に、例えば開口径(φ)が180nm、x軸方向のピッチPxが173nm、y軸方向のピッチPyが200nmの凹部が六方最密充填配列で並んだ凹凸構造に対しては、(Sh/Sc)は0.73(開口率73%)となる。   Similarly, for example, for a concavo-convex structure in which concave portions having an opening diameter (φ) of 180 nm, a pitch Px in the x-axis direction of 173 nm, and a pitch Py in the y-axis direction of 200 nm are arranged in a hexagonal close-packed arrangement, Sc) is 0.73 (aperture ratio 73%).

同様に、例えば、開口径(φ)が680nm、x軸方向のピッチPxが606nm、y軸方向のピッチPyが700nmの凹部が六方最密充填配列で並んだ凹凸構造に対しては、(Sh/Sc)は0.86(開口率86%)となる。   Similarly, for example, for a concavo-convex structure in which recesses having an aperture diameter (φ) of 680 nm, a pitch Px in the x-axis direction of 606 nm, and a pitch Py in the y-axis direction of 700 nm are arranged in a hexagonal close-packed arrangement, / Sc) is 0.86 (aperture ratio 86%).

例えば、図14に示すような、凸部頂部径(φ)が80nm、x軸方向のピッチPxが398nm、y軸方向のピッチPyが460nmの凸部が六方最密充填配列で並んだ凹凸構造101aの場合、(Sc−Sh)/Scは0.97(開口率97%)となる。   For example, as shown in FIG. 14, a concavo-convex structure in which convex portions having a convex top diameter (φ) of 80 nm, a pitch Px in the x-axis direction of 398 nm, and a pitch Py in the y-axis direction of 460 nm are arranged in a hexagonal close-packed arrangement. In the case of 101a, (Sc-Sh) / Sc is 0.97 (opening ratio 97%).

同様に、例えば凸部頂部径(φ)が30nm、x軸方向のピッチPxが173nm、y軸方向のピッチPyが200nmの凸部が六方最密充填配列で並んだ凹凸構造に対しては、((Sc−Sh)/Sc)は0.98(開口率98%)となる。   Similarly, for example, for a concavo-convex structure in which convex portions having a convex portion top diameter (φ) of 30 nm, a pitch Px in the x-axis direction of 173 nm, and a pitch Py in the y-axis direction of 200 nm are arranged in a hexagonal close-packed arrangement, ((Sc-Sh) / Sc) is 0.98 (aperture ratio 98%).

同様に、例えば、凸部頂部径(φ)が100nm、x軸方向のピッチPxが606nm、y軸方向のピッチPyが700nmの凸部が六方最密充填配列で並んだ凹凸構造に対しては、((Sc−Sh)/Sc)は0.98(開口率98%)となる。   Similarly, for example, for a concavo-convex structure in which convex portions having a convex top diameter (φ) of 100 nm, a pitch Px in the x-axis direction of 606 nm, and a pitch Py in the y-axis direction of 700 nm are arranged in a hexagonal close-packed arrangement , ((Sc-Sh) / Sc) is 0.98 (aperture ratio 98%).

また、保護層101の凹凸構造101aは、塗工改善構造を含んでもよい。塗工改善構造は、保護層101の凹凸構造101aにおいて、ナノ粒子102を充填配置する領域(基本構造)を挟みこむように配置されており、塗工改善構造のピッチは、基本構造よりも大きいことが好ましい。特に、塗工改善構造中のピッチが、基本構造側から、フィルム端部へと、徐々に大きくなることが好ましい。例えば、ホール形状の場合、基本構造部の開口率よりも、塗工改善構造部の開口率が小さくなるように設定することが、好ましい。   Further, the uneven structure 101a of the protective layer 101 may include a coating improving structure. The coating improvement structure is arranged so as to sandwich the region (basic structure) in which the nanoparticles 102 are filled and arranged in the uneven structure 101a of the protective layer 101, and the pitch of the coating improvement structure is larger than the basic structure Is preferred. In particular, it is preferable that the pitch in the coating improving structure gradually increases from the basic structure side to the film edge. For example, in the case of a hole shape, it is preferable to set the aperture ratio of the coating improvement structure portion to be smaller than the aperture ratio of the basic structure portion.

次に、本実施の形態に係る第2の積層体2の製造方法について詳細に説明する。
[製造方法]
第2の積層体2は、上記説明した要件を満たすことで効果を発現するため、その製造方法は特に限定されない。例えば、保護層表面に、ナノ粒子102の分散された分散液を塗布し、溶剤を除去することでナノ粒子102を配列させ、その後、ナノ粒子を全て被覆するように第1のマスク層材料を成膜する方法が挙げらえる。このような、ナノ粒子102のエネルギー安定化をドライビングフォースとした自己配列によっても本実施の形態に係る第2の積層体2は製造できるが、ナノ粒子102の所定面内における配列を制御し、ナノ粒子102同士の重なりを抑制し第1のマスク層103の加工精度を向上させるためにも、以下に示す製造方法を採用すると好ましい。以下に記す製造方法は、ナノ粒子102を配列するガイドを利用することを特徴とする。
Next, the manufacturing method of the 2nd laminated body 2 which concerns on this Embodiment is demonstrated in detail.
[Production method]
Since the 2nd laminated body 2 expresses an effect by satisfy | filling the requirements demonstrated above, the manufacturing method is not specifically limited. For example, a dispersion liquid in which nanoparticles 102 are dispersed is applied to the surface of the protective layer, the nanoparticles 102 are arranged by removing the solvent, and then the first mask layer material is coated so as to cover all the nanoparticles. A method for forming a film can be mentioned. Although the second laminate 2 according to the present embodiment can also be manufactured by such self-alignment using the energy stabilization of the nanoparticles 102 as a driving force, the array in the predetermined plane of the nanoparticles 102 is controlled, In order to suppress the overlapping of the nanoparticles 102 and improve the processing accuracy of the first mask layer 103, it is preferable to employ the following manufacturing method. The manufacturing method described below uses a guide for arranging the nanoparticles 102.

リール状や平板状といったその外形に関わらず、保護層101の凹凸構造101a面上に、ナノ粒子材料の希釈溶液を塗工する工程と、余剰な溶剤を除去する工程を少なくともこの順に含むことで第1の積層体1が製造される。続いて、第1の積層体1の凹凸構造101a面上に、第1のマスク層材料の希釈溶液を塗工する工程と、余剰な溶剤を除去する工程を少なくともこの順に含むこと第2の積層体2が製造される。   Regardless of its outer shape such as a reel shape or a flat plate shape, it includes a step of applying a diluted solution of a nanoparticle material on the surface of the concavo-convex structure 101a of the protective layer 101 and a step of removing excess solvent at least in this order. The 1st laminated body 1 is manufactured. Subsequently, a step of applying a diluted solution of the first mask layer material and a step of removing excess solvent on the surface of the concavo-convex structure 101a of the first laminated body 1 at least in this order is included. The body 2 is manufactured.

[リール状微細パタン形成用積層体]
リール状の第2の積層体2は、(1)リール状の支持基材100を用いた光重合性樹脂転写又は、熱重合性樹脂の転写により、又は、支持基材100を用いない熱可塑性樹脂への転写を経ることでリール状の保護層101を作製し(リール状保護層作製工程)、続いて、(2)必要な場合は金属層を成膜し(金属層作製工程)、続いて、(3)必要な場合は離型層を形成し(離型層形成工程)、(4)ナノ粒子材料を凹凸構造101a内部に導入(ナノ粒子充填工程)し、最後に(5)第1のマスク層103を成膜する(第1のマスク層成膜工程)ことで作製できる。なお、ナノ粒子充填工程まで行い得られた積層体が、第1の積層体1である。
[Laminate for forming reel-like fine pattern]
The reel-shaped second laminate 2 is (1) thermoplastic by transfer of a photopolymerizable resin using a reel-shaped support substrate 100 or by transfer of a thermopolymerizable resin, or without using the support substrate 100. A reel-shaped protective layer 101 is manufactured by transferring to a resin (reel-shaped protective layer manufacturing step), and then (2) a metal layer is formed if necessary (metal layer manufacturing step). (3) If necessary, a release layer is formed (release layer forming step), (4) the nanoparticle material is introduced into the concavo-convex structure 101a (nanoparticle filling step), and finally (5) The first mask layer 103 can be formed (first mask layer forming step). The laminate obtained up to the nanoparticle filling step is the first laminate 1.

(1)リール状保護層作製工程
1−1:支持基材あり
以下の工程(1)〜(4)を順に行うことで、リール状の保護層101(支持基材100あり)を作製することができる。図15A〜図15Cは、リール状の保護層101の作製工程の説明図である。なお、以下の工程は、ロール・ツー・ロールの連続転写プロセスで作製することが好ましい。
工程(1):支持基材100上に硬化性樹脂組成物111を塗布する工程(樹脂を塗工する工程、図15A参照)。
工程(2):塗布した硬化性樹脂組成物111を、離型処理を施したマスターモールド110に押圧する工程(樹脂を鋳型に押圧する工程、図15B参照)。
工程(3):硬化性樹脂組成物111を硬化させ硬化物を得る工程(樹脂を硬化させる工程)。
工程(4):硬化物をマスターモールド110から剥離し、マスターモールド110のパタン形状の反転形状を具備した保護層101を得る工程(硬化物を鋳型から剥離する工程、樹脂保護層Aを得る工程、図15C参照)。
(1) Reel-shaped protective layer production step 1-1: With a supporting base material Reel-shaped protective layer 101 (with a supporting base material 100) is produced by sequentially performing the following steps (1) to (4). Can do. 15A to 15C are explanatory views of a manufacturing process of the reel-shaped protective layer 101. The following steps are preferably produced by a roll-to-roll continuous transfer process.
Process (1): The process of apply | coating the curable resin composition 111 on the support base material 100 (process of apply | coating resin, refer FIG. 15A).
Step (2): A step of pressing the applied curable resin composition 111 against the master mold 110 that has been subjected to a release treatment (step of pressing a resin against a mold, see FIG. 15B).
Step (3): a step of curing the curable resin composition 111 to obtain a cured product (step of curing the resin).
Step (4): A step of peeling the cured product from the master mold 110 to obtain a protective layer 101 having a reverse shape of the pattern shape of the master mold 110 (a step of peeling the cured product from the mold, a step of obtaining the resin protective layer A) FIG. 15C).

工程(2)において、上記説明したEs/Eb値を満たす表面離型性に優れた樹脂保護層を作製する場合や、硬化性樹脂組成物111に内添した離型材成分を樹脂保護層Aの凹凸構造101a面側(マスターモールド110の微細パタン面側)に偏析させる場合は、離型処理を施したマスターモールド110を使用する。一方で、後の工程(2)金属層作製工程又は/及び(3)離型層形成工程を経る場合は、マスターモールド110への離型処理は行わなくてもよい。   In the step (2), when producing a resin protective layer excellent in surface releasability satisfying the Es / Eb value described above, a release agent component internally added to the curable resin composition 111 is used for the resin protective layer A. When segregating to the uneven structure 101a surface side (the fine pattern surface side of the master mold 110), the master mold 110 which has been subjected to a release treatment is used. On the other hand, when the subsequent step (2) metal layer preparation step and / or (3) release layer formation step is performed, the release treatment to the master mold 110 may not be performed.

硬化性樹脂組成物111として、光重合性樹脂組成物を使用する場合は、ロール・ツー・ロールプロセスを経る場合は特に、マスターモールド110としては円筒状マスターモールドを使用すると、連続的に高い転写精度にて保護層101を製造できる。また、工程(3)において支持基材100側から光を照射して硬化を行う。ここで光照射の光源は、光重合性樹脂組成物の組成により適宜選定できるため、特に限定されないが、UV−LED光源、メタルハライド光源、高圧水銀灯光源等を採用できる。また、光を照射し始めてから照射し終えるまでの積算光量は、500mJ/cm〜5000mJ/cmの範囲であると、転写精度が向上するため好ましい。より好ましくは、800mJ/cm〜2500mJ/cmである。更に、光照射は、複数の光源によりおこなってもよい。また、硬化性樹脂組成物111として、熱重合性樹脂組成物を使用する場合は、工程(3)において支持基材100側とマスターモールド110側の両方、もしくはいずれか一方、又は支持基材100、マスターモールド110及び硬化性樹脂組成物111全体を加熱できる系を準備して硬化を行う。特に、連続的に生産性高く、且つ、転写精度高く樹脂保護層Aを得るという観点から、硬化性樹脂組成物111は光重合性樹脂組成物であることが好ましい。 When a photopolymerizable resin composition is used as the curable resin composition 111, particularly when a roll-to-roll process is used, a continuous high transfer is achieved when a cylindrical master mold is used as the master mold 110. The protective layer 101 can be manufactured with accuracy. In the step (3), curing is performed by irradiating light from the supporting base material 100 side. Here, the light source for light irradiation can be appropriately selected depending on the composition of the photopolymerizable resin composition, and is not particularly limited, but a UV-LED light source, a metal halide light source, a high-pressure mercury lamp light source, or the like can be employed. Further, the integrated light quantity of until after irradiated from the start of irradiation with light, when a range of 500mJ / cm 2 ~5000mJ / cm 2 , preferably for improving the transfer accuracy. More preferably 800mJ / cm 2 ~2500mJ / cm 2 . Further, the light irradiation may be performed by a plurality of light sources. Moreover, when using a thermopolymerizable resin composition as the curable resin composition 111, in the step (3), both or one of the support substrate 100 side and the master mold 110 side, or the support substrate 100 is used. A system capable of heating the entire master mold 110 and the entire curable resin composition 111 is prepared and cured. In particular, from the viewpoint of obtaining the resin protective layer A continuously with high productivity and high transfer accuracy, the curable resin composition 111 is preferably a photopolymerizable resin composition.

なお、マスターモールド110は、図面では平板状に記載してあるが、円筒状のマスターモールドであることが好ましい。円筒の表面に微細パタンが具備されたロールをマスターモールド110として使用することで、保護層101を連続プロセスにて製造することが可能となる。   In addition, although the master mold 110 is described in a flat plate shape in the drawing, it is preferably a cylindrical master mold. By using a roll having a fine pattern on the surface of the cylinder as the master mold 110, the protective layer 101 can be manufactured by a continuous process.

なお、工程(4)で得られた樹脂保護層Aを鋳型として、図16A〜図16Dに示すように樹脂保護層Bを作製し、この樹脂保護層Bをリール状の保護層101として使用してもよい。なお、樹脂保護層Aから樹脂保護層Bを作製する際に用いる、硬化性樹脂組成物111は光重合性樹脂組成物であることが好ましく、より好ましくはフッ素含有光硬化性樹脂組成物であり、上述したEs/Ebを満たす組成物が転写精度の観点から最も好ましい。
工程(4−1):支持基材100上に硬化性樹脂組成物111を塗布する工程(樹脂を塗布する工程、図16A参照)。
工程(4−2):塗布した硬化性樹脂組成物111を樹脂保護層Aに押圧する工程(樹脂を鋳型に押圧する工程、図16B参照)。
工程(4−3):樹脂保護層Aの支持基材100側と樹脂保護層Bの支持基材100側の両方、又はいずれか一方から光照射を行い、硬化性樹脂組成物111を光ラジカル重合させ硬化物を得る工程(樹脂を光硬化させる工程、図16C参照)。
工程(4−4):硬化物を樹脂保護層Aから剥離し、マスターモールド110の微細パタン形状と同様の形状を具備した保護層101を得る工程(硬化物から鋳型を剥離する工程、樹脂保護層Bを得る工程、図16D参照)。
A resin protective layer B is produced as shown in FIGS. 16A to 16D using the resin protective layer A obtained in step (4) as a mold, and this resin protective layer B is used as a reel-shaped protective layer 101. May be. In addition, it is preferable that the curable resin composition 111 used when producing the resin protective layer B from the resin protective layer A is a photopolymerizable resin composition, and more preferably a fluorine-containing photocurable resin composition. A composition satisfying the above Es / Eb is most preferable from the viewpoint of transfer accuracy.
Process (4-1): The process of apply | coating the curable resin composition 111 on the support base material 100 (process of apply | coating resin, refer FIG. 16A).
Step (4-2): A step of pressing the applied curable resin composition 111 against the resin protective layer A (step of pressing a resin against a mold, see FIG. 16B).
Step (4-3): Light irradiation is performed from either or both of the support base material 100 side of the resin protective layer A and the support base material 100 side of the resin protective layer B, and the curable resin composition 111 is photoradical. A step of obtaining a cured product by polymerization (a step of photocuring a resin, see FIG. 16C).
Step (4-4): A step of peeling the cured product from the resin protective layer A to obtain a protective layer 101 having a shape similar to the fine pattern shape of the master mold 110 (step of peeling the mold from the cured product, resin protection Step of obtaining layer B, see FIG. 16D).

工程(1),(4−1)における塗布方法としては、ローラーコート法、バーコート法、ダイコート法、噴霧コート法、エアーナイフコート法、グラビアコート法、マイクログラビアコート法、フローコート法、カーテンコート法、インクジェット法等が挙げられる。   As the coating method in the steps (1) and (4-1), a roller coating method, a bar coating method, a die coating method, a spray coating method, an air knife coating method, a gravure coating method, a micro gravure coating method, a flow coating method, a curtain Examples thereof include a coating method and an ink jet method.

工程(4),(4−4)の後に、カバーフィルムを被せ(合わせ)、巻き取る工程を加えてもよい。なお、カバーフィルムとしては、例えば、上述したカバーフィルムを採用することができる。   After steps (4) and (4-4), a cover film may be put (matched) and wound up. In addition, as a cover film, the cover film mentioned above is employable, for example.

1−2:支持基材なし
以下の工程(11)〜(12)を順に行うことで、リール状の保護層101(支持基材100なし)を作製することができる。図17A〜図17Cは、リール状の保護層101の作製工程の説明図である。なお、以下の工程は、ロール・ツー・ロールの連続転写プロセスで作製することが好ましい。
1-2: No supporting base material The reel-shaped protective layer 101 (without the supporting base material 100) can be produced by sequentially performing the following steps (11) to (12). 17A to 17C are explanatory views of a manufacturing process of the reel-shaped protective layer 101. The following steps are preferably produced by a roll-to-roll continuous transfer process.

工程(11):熱可塑性樹脂組成物121に、離型処理を施したマスターモールド110を、熱可塑性樹脂組成物121のTg以上の温度の加熱環境下で押圧する工程(鋳型を樹脂に押圧する工程、図17A,図17B参照)。
工程(12):熱可塑性樹脂組成物121のTg未満の温度で、マスターモールド110を熱可塑性樹脂組成物121から剥離する工程(鋳型から剥離する工程、樹脂保護層Aを得る工程、図17C参照)。
Step (11): A step of pressing the master mold 110 obtained by subjecting the thermoplastic resin composition 121 to the mold release treatment in a heating environment at a temperature equal to or higher than the Tg of the thermoplastic resin composition 121 (pressing the mold onto the resin). Step, see FIGS. 17A and 17B).
Step (12): Step of peeling master mold 110 from thermoplastic resin composition 121 at a temperature lower than Tg of thermoplastic resin composition 121 (step of peeling from mold, step of obtaining resin protective layer A, see FIG. 17C ).

工程(12)の後に、カバーフィルムを被せ(合わせ)、巻き取る工程を加えてもよい。なお、カバーフィルムとしては、例えば、上述したカバーフィルムを採用することができる。   After the step (12), a step of covering (matching) and winding the cover film may be added. In addition, as a cover film, the cover film mentioned above is employable, for example.

なお、マスターモールド110は、図面では平板状に記載してあるが、円筒状のマスターモールドであることが好ましい。円筒の表面に微細パタンが具備されたロールをマスターモールド110として使用することで、保護層101を連続プロセスで製造することが可能となる。   In addition, although the master mold 110 is described in a flat plate shape in the drawing, it is preferably a cylindrical master mold. By using a roll having a fine pattern on the surface of the cylinder as the master mold 110, the protective layer 101 can be manufactured by a continuous process.

(2)金属層形成工程
(1)で得られたリール状の保護層101の凹凸構造101a面上に、必要な場合は、金属、金属酸化物又は金属及び金属酸化物から構成される金属層を形成する。金属層の形成方法は、ウェットプロセスとドライプロセスに分類できる。
(2) Metal layer formation step If necessary, a metal layer composed of metal, metal oxide, or metal and metal oxide on the surface of the concavo-convex structure 101a of the reel-shaped protective layer 101 obtained in (1). Form. The formation method of the metal layer can be classified into a wet process and a dry process.

ウェットプロセス場合、金属アルコキシドやメッキ液に代表される金属層前駆体溶液中に、リール状の保護層101を潜らせ、続いて、25℃〜200℃の温度で前駆体を部分反応させる。又は、金属アルコキシドやメッキ液に代表される金属層前駆体溶液を、リール状の保護層101の凹凸構造101a面に塗工し、続いて、25℃〜200℃の温度で前駆体を部分反応させる。続いて、余剰前駆体を洗浄することで、金属層を形成することができる。前駆体溶液に浸す前に、リール状の保護層101の凹凸構造101a面側を、UV−O3やエキシマ等で活性処理してもよい。   In the case of a wet process, the reel-shaped protective layer 101 is immersed in a metal layer precursor solution typified by a metal alkoxide or a plating solution, and then the precursor is partially reacted at a temperature of 25 ° C. to 200 ° C. Alternatively, a metal layer precursor solution typified by metal alkoxide or plating solution is applied to the surface of the concavo-convex structure 101a of the reel-shaped protective layer 101, and then the precursor is partially reacted at a temperature of 25 ° C to 200 ° C. Let Subsequently, the metal layer can be formed by washing the excess precursor. Before immersing in the precursor solution, the surface of the concavo-convex structure 101a of the reel-shaped protective layer 101 may be activated with UV-O3, excimer, or the like.

ドライプロセスの場合、金属アルコキシドに代表される金属層前駆体の蒸気の中にリール状樹脂保護層を通過させることで、金属層を形成可能である。前駆体蒸気に晒す前に、リール状樹脂保護層の凹凸構造101a面側を、UV−O3やエキシマ等で活性処理してもよい。一方で、スパッタや蒸着により金属層を形成することもできる。金属層の均質性の観点から、スパッタで形成することが好ましい。   In the case of the dry process, the metal layer can be formed by passing the reel-shaped resin protective layer through the vapor of the metal layer precursor represented by metal alkoxide. Before the exposure to the precursor vapor, the surface of the concavo-convex structure 101a of the reel-shaped resin protective layer may be activated with UV-O3, excimer, or the like. On the other hand, a metal layer can also be formed by sputtering or vapor deposition. From the viewpoint of the homogeneity of the metal layer, it is preferably formed by sputtering.

(3)離型層形成工程
(1)で得られたリール状の保護層101、又は、(2)で得られた金属層を成膜したリール状の保護層101に、必要な場合は離型層を形成する。以下、金属層を成膜した保護層101も、金属層を成膜しない保護層101も、単にリール状の保護層101と表記する。離型層形成方法は、ウェットプロセスとドライプロセスに分類できる。
(3) Release layer forming step The reel-like protective layer 101 obtained in (1) or the reel-like protective layer 101 on which the metal layer obtained in (2) is formed are separated if necessary. A mold layer is formed. Hereinafter, the protective layer 101 in which the metal layer is formed and the protective layer 101 in which the metal layer is not formed are simply referred to as a reel-like protective layer 101. The release layer forming method can be classified into a wet process and a dry process.

ウェットプロセスの場合、リール状の保護層101を、離型材溶液中に潜らせる、又は、離型材溶液を塗工する。続いて、25℃〜200℃の乾燥雰囲気を通過させ、最後に余剰離型材を洗浄し、乾燥させる。離型材溶液に浸漬させる前に、リール状の保護層101の凹凸構造101a面側を、UV−O3やエキシマ等で活性処理してもよい。   In the case of a wet process, the reel-shaped protective layer 101 is submerged in the release material solution, or the release material solution is applied. Subsequently, a dry atmosphere at 25 ° C. to 200 ° C. is passed, and finally the excess release material is washed and dried. Before the immersion in the release agent solution, the surface of the concavo-convex structure 101a of the reel-shaped protective layer 101 may be activated with UV-O3 or excimer.

一方、ドライプロセスの場合は、離型材蒸気の中をリール状の保護層101を通過させることで、離型層を形成可能である。離型蒸気に晒す前に、リール状樹脂保護層の凹凸構造101a面側を、UV−O3やエキシマ等で活性処理してもよい。また、加熱時に減圧してもよい。   On the other hand, in the case of the dry process, the release layer can be formed by passing the reel-shaped protective layer 101 through the release material vapor. Prior to exposure to the release vapor, the surface of the concavo-convex structure 101a of the reel-shaped resin protective layer may be activated with UV-O3, excimer, or the like. Further, the pressure may be reduced during heating.

(4)ナノ粒子充填工程
(1)〜(3)で得られたリール状の保護層101の凹凸構造101a内部に、ナノ粒子102を充填することで、第1の積層体1を作製できる。図18A〜図18C、及び図19A〜図19Cは、第1の積層体1の作製工程の説明図である。以下では、(1)で得られたリール状の保護層101、(2)で得られた金属層を成膜したリール状の保護層101、又は(3)で得られた離型層を成膜したリール状保護層も、単にリール状の保護層101と表記する。
工程(5):リール状の保護層101の凹凸構造101a上に(図18A、図19A参照)、ナノ粒子材料の希釈溶液102Sを塗工する工程(図18B、図19B参照)。
工程(6):余剰な溶剤を乾燥除去し、ナノ粒子102を得る工程(図18C、図19C、参照)。
(4) Nanoparticle filling process The 1st laminated body 1 can be produced by filling the nanoparticle 102 inside the uneven structure 101a of the reel-shaped protective layer 101 obtained in (1) to (3). FIG. 18A to FIG. 18C and FIG. 19A to FIG. 19C are explanatory views of a manufacturing process of the first stacked body 1. Hereinafter, the reel-shaped protective layer 101 obtained in (1), the reel-shaped protective layer 101 obtained by forming the metal layer obtained in (2), or the release layer obtained in (3) is formed. The formed reel-shaped protective layer is also simply referred to as a reel-shaped protective layer 101.
Step (5): A step of applying a diluted solution 102S of nanoparticle material onto the concavo-convex structure 101a of the reel-shaped protective layer 101 (see FIGS. 18A and 19A) (see FIGS. 18B and 19B).
Step (6): A step of removing excess solvent by drying to obtain nanoparticles 102 (see FIGS. 18C and 19C).

工程(5)における塗工方法としては、ローラーコート法、バーコート法、ダイコート法、リバースコート法、噴霧コート法、グラビアコート法、マイクログラビアコート法、インクジェット法、エアーナイフコート法、フローコート法、カーテンコート法等が適用できる。特に、マイクログラビア法、リバースコート法、ダイコート法であることがナノ粒子102の塗工精度を向上できるため好ましい。ナノ粒子材料は希釈して使用することが好ましく、濃度は、単位体積当たりのナノ粒子材料固形分量が、単位面積下に存在する凹凸構造の体積より小さくなれば特に限定されない。なお、ナノ粒子102の充填に係る塗工方法に関しては、おって詳述する。   As a coating method in the step (5), a roller coating method, a bar coating method, a die coating method, a reverse coating method, a spray coating method, a gravure coating method, a micro gravure coating method, an ink jet method, an air knife coating method, and a flow coating method. The curtain coating method can be applied. In particular, the micro gravure method, the reverse coating method, and the die coating method are preferable because the coating accuracy of the nanoparticles 102 can be improved. The nanoparticle material is preferably used after being diluted, and the concentration is not particularly limited as long as the solid content of the nanoparticle material per unit volume is smaller than the volume of the concavo-convex structure existing under the unit area. The coating method relating to the filling of the nanoparticles 102 will be described in detail later.

ゾルゲル材料をナノ粒子102に含む場合、工程(6)は、溶剤乾燥のほか、ゾルゲル材料の縮合も兼ねている。また、ゾルゲル材料をナノ粒子102に含む場合、巻き取った後に養生する工程を加えてもよい。養生は、室温〜120℃の間で行うことが好ましい。特に、室温〜105℃であることが好ましい。   When the sol-gel material is included in the nanoparticles 102, the step (6) serves not only for solvent drying but also for condensation of the sol-gel material. Moreover, when the sol-gel material is included in the nanoparticles 102, a step of curing after winding may be added. Curing is preferably performed between room temperature and 120 ° C. In particular, the temperature is preferably room temperature to 105 ° C.

(5)第1のマスク層成膜工程
(1)〜(4)で得られた第1の積層体1の凹凸構造101a面上に第1のマスク層103を成膜することで、第2の積層体2を製造することができる。図20A〜図20Cは、第2の積層体2の作製工程の説明図である。以下では、(1)で得られたリール状の保護層101、又は、(2)で得られた金属層を成膜したリール状の保護層101、又は(3)で得られた離型層を成膜したリール状保護層のいずれを使用した場合でも、第1の積層体1と表記する。
工程(7):第1の積層体1の凹凸構造101a上に(図20A参照)、第1のマスク層材料の希釈溶液103Sを塗工する工程(図20B参照)。
工程(8):余剰な溶剤を乾燥除去し、第1のマスク層103を得る工程(図20C参照)。
(5) 1st mask layer film-forming process 2nd by forming the 1st mask layer 103 on the uneven | corrugated structure 101a surface of the 1st laminated body 1 obtained by (1)-(4). The laminate 2 can be manufactured. 20A to 20C are explanatory diagrams of a manufacturing process of the second stacked body 2. Hereinafter, the reel-shaped protective layer 101 obtained in (1), the reel-shaped protective layer 101 obtained by forming the metal layer obtained in (2), or the release layer obtained in (3). Any of the reel-like protective layers on which the film is formed is denoted as the first laminate 1.
Step (7): A step of applying a diluted solution 103S of the first mask layer material (see FIG. 20B) on the concavo-convex structure 101a of the first laminate 1 (see FIG. 20A).
Step (8): A step of removing excess solvent by drying to obtain a first mask layer 103 (see FIG. 20C).

工程(7)における塗工方法としては、ローラーコート法、バーコート法、ダイコート法、噴霧コート法、グラビアコート法、マイクログラビアコート法、インクジェット法、エアーナイフコート法、フローコート法、カーテンコート法等が適用できる。特に、ダイコート法であることが第1のマスク層103の塗工精度を向上できるため好ましい。なお、第1のマスク層103の成膜に係る塗工方法に関しては、おって詳述する。   As the coating method in the step (7), roller coating method, bar coating method, die coating method, spray coating method, gravure coating method, micro gravure coating method, ink jet method, air knife coating method, flow coating method, curtain coating method Etc. are applicable. In particular, the die coating method is preferable because the coating accuracy of the first mask layer 103 can be improved. A coating method relating to the formation of the first mask layer 103 will be described in detail later.

[平板状微細パタン形成用積層体]
続いて、平板状の第2の積層体2について説明する。平板状の第2の積層体2は、(1)平板状の保護層101を作製し(平板状保護層作製工程)、続いて、(2)必要な場合は金属層を成膜し(金属層作製工程)、続いて、(3)必要な場合は離型層を形成し(離型層形成工程)、(4)ナノ粒子102を微細パタン内部に導入する(ナノ粒子充填工程)し、最後に(5)第1のマスク層103を成膜する(第1のマスク層成膜工程)ことで作製できる。なお、ナノ粒子充填工程まで行い得られた積層体が、第1の積層体1である。
[Laminate for forming flat fine pattern]
Next, the flat second laminate 2 will be described. The flat plate-like second laminate 2 is manufactured by (1) producing a flat protective layer 101 (a flat protective layer producing step), and subsequently (2) forming a metal layer if necessary (metal Layer preparation step), then (3) forming a release layer if necessary (release layer formation step), (4) introducing nanoparticles 102 into the fine pattern (nanoparticle filling step), Finally, (5) the first mask layer 103 can be formed (first mask layer forming step). The laminate obtained up to the nanoparticle filling step is the first laminate 1.

(1)平板状保護層作製工程
1−1:支持基材あり
平板状の保護層101は、(A)上記得られたリール状の保護層101を切り出し、平板状の支持基材100に貼合する手法や、(B)上記得られたリール状の保護層101をテンプレートに用い、平板状の支持基材100上に硬化性樹脂組成物の転写構造を形成する手法か、又は(C)平板状マスターをテンプレートに用い、平板状の支持基材100上に硬化性樹脂組成物の転写構造を形成する手法や、下記1−2で説明する支持基材のない平板状保護層の凹凸構造とは反対側の面上に支持基材100を配置することで作製することができる。
(1) Flat protective layer preparation step 1-1: With support base The flat protective layer 101 cuts out the reel-shaped protective layer 101 obtained above (A) and pastes it to the flat support base 100. Or (B) a method of forming a transfer structure of the curable resin composition on the flat support substrate 100 using the reel-shaped protective layer 101 obtained as a template, or (C) A method of forming a transfer structure of a curable resin composition on a flat support substrate 100 using a flat master as a template, or a concavo-convex structure of a flat protective layer without a support substrate described in 1-2 below It can be produced by disposing the support base material 100 on the opposite surface.

1−2:支持基材なし
平板状基材に対し直接微細パタン加工を施すことで、平板状の保護層101を作製できる。加工方法としては、レーザー切削法、電子線描画法、フォトリソグラフィ法、半導体レーザーを用いた直接描画リソグラフィー法、干渉露光法、電鋳法、陽極酸化法、熱リソグラフィ法等があげられる。これらの中でも、加工方法としては、フォトリソグラフィ法、半導体レーザーを用いた直接描画リソグラフィー法、干渉露光法、電鋳法、陽極酸化法が好ましく、半導体レーザーを用いた直接描画リソグラフィー法、干渉露光法、陽極酸化法がより好ましい。また、上記直接加工により作製した平板状の保護層101の凹凸構造を、熱可塑性樹脂やPDMSに代表される熱硬化性樹脂に転写形成することでも平板状の保護層101を作製することができる。また、これらの平板状の保護層101をNiに代表される電鋳を行うことで、Ni製の平板状の保護層101を得ることもできる。なお、平板状の保護層101を円筒状に接ぎ加工し、得られた円筒状マスターをテンプレートにすることで、上記説明したリール状の保護層を得ることができる。
1-2: No support base material The flat protective layer 101 can be produced by performing fine pattern processing directly on the flat base material. Examples of the processing method include a laser cutting method, an electron beam drawing method, a photolithography method, a direct drawing lithography method using a semiconductor laser, an interference exposure method, an electroforming method, an anodic oxidation method, and a thermal lithography method. Among these, as a processing method, a photolithography method, a direct drawing lithography method using a semiconductor laser, an interference exposure method, an electroforming method, and an anodizing method are preferable, and a direct drawing lithography method using a semiconductor laser, an interference exposure method. An anodic oxidation method is more preferable. Further, the flat protective layer 101 can also be produced by transferring the concavo-convex structure of the flat protective layer 101 produced by the direct processing to a thermosetting resin typified by a thermoplastic resin or PDMS. . Moreover, the flat protective layer 101 made of Ni can be obtained by electroforming these flat protective layers 101 typified by Ni. The above-described reel-shaped protective layer can be obtained by processing the flat protective layer 101 in a cylindrical shape and using the obtained cylindrical master as a template.

(2)金属層形成工程
(1)で得られた平板状の保護層101の凹凸構造101a面上に、必要な場合は、金属層を形成する。金属層の形成方法は、ウェットプロセスとドライプロセスに分類できる。
(2) Metal layer forming step If necessary, a metal layer is formed on the concavo-convex structure 101a surface of the flat protective layer 101 obtained in (1). The formation method of the metal layer can be classified into a wet process and a dry process.

ウェットプロセス場合、金属アルコキシドやメッキ液に代表される金属層前駆体溶液中に、平板状の保護層101を潜らせ、続いて、25℃〜200℃の温度で前駆体を部分反応させる。続いて、余剰前駆体を洗浄することで、金属層を形成することができる。前駆体溶液に浸す前に、平板状の保護層101の凹凸構造101a面側を、UV−O3やエキシマ等で処理してもよい。また、平板状の保護層101の凹凸構造101a面上に前駆体溶液をキャストする手法や、スピンコート法を採用することもできる。また、前駆体の部分反応工程や、洗浄工程を加えることが好ましい。   In the case of a wet process, the flat protective layer 101 is submerged in a metal layer precursor solution typified by a metal alkoxide or a plating solution, and then the precursor is partially reacted at a temperature of 25 ° C. to 200 ° C. Subsequently, the metal layer can be formed by washing the excess precursor. Before dipping in the precursor solution, the surface of the concavo-convex structure 101a of the flat protective layer 101 may be treated with UV-O3, excimer, or the like. Further, a method of casting a precursor solution on the surface of the concavo-convex structure 101a of the flat protective layer 101, or a spin coating method can be employed. Moreover, it is preferable to add a precursor partial reaction step and a washing step.

ドライプロセスの場合、金属アルコキシドに代表される金属層前駆体の蒸気の中に平板状の保護層101を静置することで、金属層を形成可能である。前駆体蒸気に晒す前に、平板状の保護層101の微細パタン面側を、UV−O3やエキシマ等で処理してもよい。一方で、スパッタや蒸着により金属層を形成することもできる。金属層の均質性の観点から、スパッタが好ましい。   In the case of the dry process, the metal layer can be formed by allowing the flat protective layer 101 to stand in the vapor of the metal layer precursor represented by the metal alkoxide. Before the exposure to the precursor vapor, the fine pattern surface side of the flat protective layer 101 may be treated with UV-O3, excimer or the like. On the other hand, a metal layer can also be formed by sputtering or vapor deposition. Sputtering is preferable from the viewpoint of the homogeneity of the metal layer.

(3)離型層形成工程
(1)で得られた平板状の保護層101、又は、(2)で得られた金属層を成膜した平板状の保護層101に、必要な場合は離型層を形成する。以下、金属層を成膜した保護層101も、金属層を成膜しない保護層101も、単に平板状の保護層101と表記する。離型層形成方法は、ウェットプロセスとドライプロセスに分類できる。
(3) Release layer forming step The flat protective layer 101 obtained in (1) or the flat protective layer 101 on which the metal layer obtained in (2) is formed may be separated if necessary. A mold layer is formed. Hereinafter, the protective layer 101 having a metal layer formed thereon and the protective layer 101 having no metal layer formed thereon are simply referred to as a flat protective layer 101. The release layer forming method can be classified into a wet process and a dry process.

ウェットプロセスの場合、平板状の保護層101を、離型材溶液中に潜らせる。続いて、25℃〜200℃の乾燥雰囲気を通過させ、最後に余剰離型材を洗浄し、乾燥させる。離型材溶液に浸漬させる前に、平板状の保護層101の凹凸構造101a面側を、UV−O3やエキシマ等で処理してもよい。また、平板状の保護層101の微細パタン面上に離型材溶液をキャストする手法や、スピンコート法を採用することもできる。また、乾燥雰囲気を通過させる工程や、洗浄工程を加えることが好ましい。   In the case of the wet process, the flat protective layer 101 is submerged in the release material solution. Subsequently, a dry atmosphere at 25 ° C. to 200 ° C. is passed, and finally the excess release material is washed and dried. Before dipping in the release agent solution, the surface of the concavo-convex structure 101a of the flat protective layer 101 may be treated with UV-O3, excimer, or the like. Moreover, the method of casting a mold release material solution on the fine pattern surface of the flat protective layer 101, or a spin coating method can also be employed. Moreover, it is preferable to add the process of allowing a dry atmosphere to pass through, and the washing process.

一方、ドライプロセスの場合は、離型材蒸気の中を平板状の保護層101を通過させることで、離型層を形成可能である。離型蒸気に晒す前に、平板状の保護層101の凹凸構造101a面側を、UV−O3やエキシマ等で処理してもよい。また、加熱時に減圧してもよい。   On the other hand, in the case of a dry process, the release layer can be formed by passing the flat protective layer 101 through the release material vapor. Prior to exposure to the release vapor, the surface of the concavo-convex structure 101a of the flat protective layer 101 may be treated with UV-O3, excimer, or the like. Further, the pressure may be reduced during heating.

(4)ナノ粒子充填工程
(1)〜(3)で得られた平板状の保護層101の凹凸構造101a内部に、ナノ粒子102を充填することで、第1の積層体1を作製できる。図18A〜図18C、図19A〜図19Cは、第1の積層体1の作製工程を説明するための説明図である。以下では、(1)で得られた平板状の保護層101、又は、(2)で得られた金属層を成膜した平板状の保護層101、又は(3)で得られた離型層を成膜した平板状の保護層101も、単に平板状の保護層101と表記する。
工程(5):平板状の保護層101の凹凸構造101a上に(図18A、図19A参照)、ナノ粒子材料の希釈溶液102Sを塗工する工程(図18B、図19B参照)。
工程(6):余剰な溶剤を乾燥除去し、ナノ粒子102を得る工程(図18C、図19C参照)。
(4) Nanoparticle filling process The 1st laminated body 1 can be produced by filling the nanoparticle 102 inside the uneven structure 101a of the flat protective layer 101 obtained in (1) to (3). FIG. 18A to FIG. 18C and FIG. 19A to FIG. 19C are explanatory views for explaining a manufacturing process of the first stacked body 1. Hereinafter, the flat protective layer 101 obtained in (1), the flat protective layer 101 obtained by forming the metal layer obtained in (2), or the release layer obtained in (3). The flat protective layer 101 on which the film is formed is also simply referred to as a flat protective layer 101.
Step (5): A step of applying a diluted solution 102S of the nanoparticle material on the concavo-convex structure 101a of the flat protective layer 101 (see FIGS. 18A and 19A) (see FIGS. 18B and 19B).
Step (6): A step of removing excess solvent by drying to obtain nanoparticles 102 (see FIGS. 18C and 19C).

工程(5)における塗工方法としては、例えばスピンコート法が挙げられる。その他にも、スリットコート法、キャスト法、ダイコート法、ディップ法等の後にスピンコート法を行う方法が挙げられる。スピンコート法の場合、ナノ粒子102の希釈溶液102Sを平板状の保護層101の凹凸構造101a上に液膜として形成し、その後スピンコートする方法が望ましい。ゾルゲル材料をナノ粒子102に含む場合、工程(6)は、溶剤乾燥のほか、ゾルゲル材料の縮合も兼ねている。なお、ナノ粒子102の充填に係る塗工方法に関しては後述する。   Examples of the coating method in the step (5) include a spin coating method. In addition, a method of performing a spin coating method after a slit coating method, a casting method, a die coating method, a dipping method, or the like can be given. In the case of the spin coating method, it is desirable to form a diluted solution 102S of nanoparticles 102 as a liquid film on the uneven structure 101a of the flat protective layer 101, and then spin coat. When the sol-gel material is included in the nanoparticles 102, the step (6) serves not only for solvent drying but also for condensation of the sol-gel material. The coating method related to the filling of the nanoparticles 102 will be described later.

(5)第1のマスク層成膜工程
(1)〜(4)で得られた第1の積層体1の凹凸構造101a面上に第1のマスク層103を成膜することで、第2の積層体2を製造することができる。図20A〜図20Cは、第2の積層体2の作製工程を説明するための説明図である。以下では、(1)で得られた平板状の保護層101、又は、(2)で得られた金属層を成膜した平板状の保護層101、又は(3)で得られた離型層を成膜した平板状の保護層のいずれを使用した場合でも、第1の積層体1と表記する。
工程(7):第1の積層体1の凹凸構造101a上に(図20A参照)、第1のマスク層材料の希釈溶液103Sを塗工する工程(図20B参照)。
工程(8):余剰な溶剤を乾燥除去し、第1のマスク層103を得る工程(図20C参照)
(5) 1st mask layer film-forming process 2nd by forming the 1st mask layer 103 on the uneven | corrugated structure 101a surface of the 1st laminated body 1 obtained by (1)-(4). The laminate 2 can be manufactured. 20A to 20C are explanatory diagrams for explaining a manufacturing process of the second stacked body 2. Hereinafter, the flat protective layer 101 obtained in (1), the flat protective layer 101 obtained by forming the metal layer obtained in (2), or the release layer obtained in (3). Even when any of the flat protective layers on which the film is formed is used, it is referred to as the first laminate 1.
Step (7): A step of applying a diluted solution 103S of the first mask layer material (see FIG. 20B) on the concavo-convex structure 101a of the first laminate 1 (see FIG. 20A).
Step (8): A step of removing the excess solvent by drying to obtain the first mask layer 103 (see FIG. 20C).

工程(7)における塗工方法としては、例えばスピンコート法が挙げられる。その他にも、スリットコート法、キャスト法、ダイコート法、ディップ法等の後にスピンコート法を行う方法が挙げられる。スピンコート法の場合、希釈した第1のマスク層103を第1の積層体1の凹凸構造101a上に液膜として形成し、その後スピンコートする方法が望ましい。なお、第1のマスク層103の成膜に係る塗工方法に関しては後述する。   Examples of the coating method in the step (7) include a spin coating method. In addition, a method of performing a spin coating method after a slit coating method, a casting method, a die coating method, a dipping method, or the like can be given. In the case of the spin coating method, it is desirable to form a diluted first mask layer 103 as a liquid film on the concavo-convex structure 101a of the first laminate 1, and then spin coat. A coating method related to the formation of the first mask layer 103 will be described later.

次に、保護層101の凹凸構造101a面上にナノ粒子材料を塗工する方法、及び第1のマスク層103を成膜する方法について更に詳述する。   Next, a method for coating a nanoparticle material on the surface of the concavo-convex structure 101a of the protective layer 101 and a method for forming the first mask layer 103 will be described in more detail.

上述したように、第1の積層体1は、保護層101の凹凸構造101a面上にナノ粒子材料の希釈溶液102Sを塗工する塗工工程(図18B、図19B参照)と、余分な溶液を除去してナノ粒子102を形成する溶剤除去工程(図18C、図19C参照)と、を経て作製される。   As described above, the first laminate 1 includes a coating step (see FIGS. 18B and 19B) for applying the diluted solution 102S of the nanoparticle material on the surface of the uneven structure 101a of the protective layer 101, and an extra solution. And a solvent removing step (see FIGS. 18C and 19C) for forming nanoparticles 102.

(塗工工程)
塗工工程においては、塗工工程の塗工領域内における、保護層101の一主面に平行な面内における単位面積をScとし、塗工工程における希釈溶液102Sの塗工膜厚(ウェット膜厚)をhcとし、ナノ粒子材料の希釈溶液102Sの体積濃度をCとし、かつ、単位面積Scの領域下に存在する凹凸構造101aの凹部体積をVcとしたときに、下記式(4)を満たすように凹凸構造101a上にナノ粒子材料の希釈溶液102Sを塗工する。下記式(4)を満たすことにより、凹凸構造101aの凹部101cの内部に均一にナノ粒子材料を充填可能となる。なお、塗工方法は、下記式を満たせば特に限定されず、上述した方式を採用できる。
式(4)
Sc・hc・C<Vc
(Coating process)
In the coating process, Sc is a unit area in a plane parallel to one main surface of the protective layer 101 in the coating region of the coating process, and the coating film thickness (wet film) of the diluted solution 102S in the coating process is used. (Thickness) is hc, the volume concentration of the diluted solution 102S of the nanoparticle material is C, and the concave volume of the concave-convex structure 101a existing under the unit area Sc is Vc, The dilute solution 102S of the nanoparticle material is applied on the concavo-convex structure 101a so as to fill. By satisfy | filling following formula (4), it becomes possible to fill a nanoparticle material uniformly into the inside of the recessed part 101c of the uneven structure 101a. In addition, the coating method will not be specifically limited if the following formula is satisfy | filled, The system mentioned above is employable.
Formula (4)
Sc · hc · C <Vc

(単位面積Sc)
保護層101の一主面に平行な面内における単位面積(Sc)とは、図21A及び図21Bに示すように保護層101の凹凸構造101aの上部に配置され、保護層101(支持基材(100))の一主面と平行な面の面積を示す。図21A及び図21Bは、凹凸構造101aと単位面積(Sc)との関係を示す図面である。図21Aは凹凸構造101aの上面模式図であり、図21Bは断面模式図である。図21Bに示すように、単位面積(Sc)は、凹凸構造101a上部に配置され、かつ、保護層101(支持基材(100))の一主面と平行な面における面積を示す。単位面積(Sc)の大きさは、単位セル面積(Ac)以上として定義される。単位セル面積Acは次のように定義される。
(Unit area Sc)
The unit area (Sc) in a plane parallel to one main surface of the protective layer 101 is arranged on the top of the concavo-convex structure 101a of the protective layer 101 as shown in FIGS. 21A and 21B. (100)) indicates the area of a plane parallel to one principal surface. 21A and 21B are diagrams showing the relationship between the concavo-convex structure 101a and the unit area (Sc). 21A is a schematic top view of the concavo-convex structure 101a, and FIG. 21B is a schematic cross-sectional view. As shown in FIG. 21B, the unit area (Sc) is an area on a plane parallel to one main surface of the protective layer 101 (support base material (100)), which is disposed on the concavo-convex structure 101a. The size of the unit area (Sc) is defined as the unit cell area (Ac) or more. The unit cell area Ac is defined as follows.

1.凹凸構造101aがドット形状又はホール形状であり、規則性のある配列の場合。
図22Aは、凹凸構造101aがドット形状又はホール形状であり、かつ、規則性を持って配列されている状態を示している。これらの凹凸構造101aから、N列をなす凹凸構造101a群(n)と、N+1列をなす凹凸構造101a群(n+1)とを選択する。続いて、凹凸構造101a群(n)の中から、隣り合う2つの構造m及びm+1を選択する。続いて凹凸構造101a群(n+1)の中から、構造m及びm+1に最も近い距離にある、凹凸構造101al及びl+1を選択する。これらの構造m、m+1、l及びl+1の中心を結び作られる領域を、単位セルとし、単位セルの面積をAcとする。
1. In the case where the concavo-convex structure 101a has a dot shape or a hole shape and has a regular arrangement.
FIG. 22A shows a state in which the concavo-convex structure 101a has a dot shape or a hole shape and is arranged with regularity. From the concavo-convex structure 101a, the concavo-convex structure 101a group (n) forming N rows and the concavo-convex structure 101a group (n + 1) forming N + 1 rows are selected. Subsequently, two adjacent structures m and m + 1 are selected from the uneven structure 101a group (n). Subsequently, the concavo-convex structures 101al and l + 1 that are closest to the structures m and m + 1 are selected from the concavo-convex structure 101a group (n + 1). A region connecting the centers of these structures m, m + 1, l, and l + 1 is defined as a unit cell, and the area of the unit cell is defined as Ac.

2.凹凸構造101aがドット形状又はホール形状であり、規則性の弱い配列又はランダム配列の場合。
図22Bは、凹凸構造101aがドット形状又はホール形状であり、規則性の弱い配列又はランダム配列されている状態を示している。この場合には、凹凸構造101aの平均ピッチPavが500nmよりも小さい場合は、これらの凹凸構造101aを有する領域内に、1μm×1μmの正方形をとり、これを単位セルとする。なお、凹凸構造101aの平均ピッチPavが500nm以上1000nm以下の場合は、前記正方形は2μm×2μmとし、微細パタンの平均ピッチPavが1000nm超1500nm以下の場合は、前記正方形は3μm×3μmとする。
2. The concavo-convex structure 101a has a dot shape or a hole shape, and has a regular arrangement or a random arrangement.
FIG. 22B shows a state in which the concavo-convex structure 101a has a dot shape or a hole shape and is arranged in a weak regularity or randomly. In this case, when the average pitch Pav of the concavo-convex structure 101a is smaller than 500 nm, a square of 1 μm × 1 μm is taken in the region having the concavo-convex structure 101a, and this is used as a unit cell. When the average pitch Pav of the concavo-convex structure 101a is 500 nm or more and 1000 nm or less, the square is 2 μm × 2 μm, and when the average pitch Pav of the fine pattern is more than 1000 nm and 1500 nm or less, the square is 3 μm × 3 μm.

3.凹凸構造101aがラインアンドスペース構造の場合。
図22Cは、凹凸構造101aがラインアンドスペース構造を示している。各ラインは、等間隔で配列されていても、間隔が変動していてもよい。これらの凹凸構造101aから、N列目のラインと、N+1列目のラインとを選択する。続いて、これらのライン上に、それぞれ1μmの線分を引く。これらの線分の端点を結んでできた正方形又は長方形を単位セルとする。
3. The uneven structure 101a is a line and space structure.
In FIG. 22C, the concavo-convex structure 101a shows a line and space structure. Each line may be arranged at equal intervals, or the intervals may vary. From these concavo-convex structures 101a, the Nth line and the (N + 1) th line are selected. Subsequently, a line segment of 1 μm is drawn on each of these lines. A square or rectangle formed by connecting the end points of these line segments is defined as a unit cell.

なお、図21A及び図21Bにおける凹凸構造101aの配列や形状は、単位面積(Sc)の定義には影響を与えず、凹凸構造101aの配列や形状は上述した形状を採用することができる。   Note that the arrangement and shape of the uneven structure 101a in FIGS. 21A and 21B do not affect the definition of the unit area (Sc), and the above-described shape can be adopted as the arrangement and shape of the uneven structure 101a.

(凹部体積Vc)
凹部体積(Vc)は、図23A及び図23Bに示すように、単位面積(Sc)の領域下に存在する凹凸構造101aの凹部体積として定義される。図23A及び図23Bは、凹凸構造101a、単位面積(Sc)及び凹部体積(Vc)の関係を示す図である。図23Aは凹凸構造101aの上面模式図であり、図23Bは断面模式図である。図23Bに示すように、単位面積(Sc)を保護層101(支持基材(100))の主面方向に垂直に降下させたときに、単位面積(Sc)が、凹凸構造101aの頂部と交わってから底部と交わり終えるまでに通過した、凹凸構造101aの空隙部(凹部101c)体積が凹部体積(Vc)である。なお、図23A及び図23Bは、凹凸構造101aがホール状の場合を代表して記載しているが、ドット状の場合もラインアンドスペース状の場合も同様に凹部体積Vcを定義する。なお、図23A及び図23Bにおける凹凸構造の配列や形状は、凹部体積(Vc)の定義には影響を与えず、凹凸構造の配列や形状は上述した形状を採用することができる。
(Recess volume Vc)
The recess volume (Vc) is defined as the recess volume of the concavo-convex structure 101a existing under the unit area (Sc) as shown in FIGS. 23A and 23B. FIG. 23A and FIG. 23B are diagrams showing the relationship among the concavo-convex structure 101a, the unit area (Sc), and the concave volume (Vc). FIG. 23A is a schematic top view of the concavo-convex structure 101a, and FIG. 23B is a schematic cross-sectional view. As shown in FIG. 23B, when the unit area (Sc) is lowered perpendicularly to the main surface direction of the protective layer 101 (supporting base material (100)), the unit area (Sc) becomes the top of the concavo-convex structure 101a. The volume of the void (concave portion 101c) of the concavo-convex structure 101a that has passed from the intersection to the end of the intersection is the concave portion volume (Vc). Although FIG. 23A and FIG. 23B describe the case where the concavo-convex structure 101a has a hole shape as a representative, the concave volume Vc is defined similarly in the case of a dot shape or a line and space shape. Note that the arrangement and shape of the concavo-convex structure in FIGS. 23A and 23B do not affect the definition of the concave volume (Vc), and the above-described shape can be adopted as the arrangement and shape of the concavo-convex structure.

(塗工膜厚hc)
塗工膜厚(hc)は、希釈溶液102Sの塗工膜厚(ウェット膜厚)として定義されるが、凹凸構造101aに塗工した状態での塗工膜厚の測定は困難であるため、凹凸構造101aと概ね同等の材質で作製したフラット膜面上での膜厚を塗工膜厚(hc)として定義する。すなわち、凹凸構造101aを構成する材質と略同等又は同等の材質によるフラット膜に対し、凹凸構造101a上の成膜条件と同様の条件にて塗工した膜の膜厚を、塗工膜厚(hc)として採用する。塗工膜厚(hc)の範囲は、上記式(4)を満たすように適宜設定できるため、特に限定されないが、塗工精度の観点から、0.1μm以上20μm以下であることが好ましい。
(Coating thickness hc)
The coating film thickness (hc) is defined as the coating film thickness (wet film thickness) of the dilute solution 102S, but it is difficult to measure the coating film thickness in a state where it is applied to the concavo-convex structure 101a. The film thickness on the flat film surface produced with the material substantially equivalent to the uneven | corrugated structure 101a is defined as a coating film thickness (hc). That is, the film thickness of a film applied on a flat film made of a material substantially the same as or equivalent to the material constituting the concavo-convex structure 101a under the same conditions as the film formation conditions on the concavo-convex structure 101a is defined as the coating film thickness ( hc). The range of the coating film thickness (hc) is not particularly limited because it can be appropriately set so as to satisfy the above formula (4), but from the viewpoint of coating accuracy, it is preferably 0.1 μm or more and 20 μm or less.

(体積濃度C)
体積濃度(C)は、ナノ粒子材料の希釈溶液102Sの体積濃度として定義される。 単位面積(Sc)、塗工膜厚(hc)、体積濃度(C)及び凹部体積(Vc)の関係が、上記式(4)を満たすことにより、凹凸構造101aの凹部101c内部にナノ粒子102を配置可能となる。凹凸構造101aの凹部101c内部に配置する配置精度の観点から、Sc・hc・C≦0.9Vcがより好ましく、Sc・hc・C≦0.8Vcを満たすとなお好ましい。
(Volume concentration C)
The volume concentration (C) is defined as the volume concentration of the diluted solution 102S of nanoparticle material. When the relationship between the unit area (Sc), the coating film thickness (hc), the volume concentration (C), and the recess volume (Vc) satisfies the above formula (4), the nanoparticles 102 are formed inside the recesses 101c of the uneven structure 101a. Can be placed. From the viewpoint of arrangement accuracy to be arranged inside the concave portion 101c of the concavo-convex structure 101a, Sc · hc · C ≦ 0.9Vc is more preferable, and Sc · hc · C ≦ 0.8Vc is more preferable.

以下、凹凸構造101aの構造、塗工膜厚(hc)、体積濃度(C)の具体的な値を用いて説明するが、本発明の製造方法は、これに限定されない。   Hereinafter, although it demonstrates using the specific value of the structure of the uneven structure 101a, coating film thickness (hc), and volume concentration (C), the manufacturing method of this invention is not limited to this.

図24A及び図24Bは、凹凸構造101aにおいて、開口径(φ)が430nm、x軸方向のピッチが398nm、y軸方向のピッチが460nm、深さ(高さ)が460nmの先端の丸まった円柱状凹部が六方最密充填配列で並んでいる構造を示している。この場合、上記式(4)を満たす関係は、次のように考えることができる。   24A and 24B show a rounded circle at the tip of the concavo-convex structure 101a having an opening diameter (φ) of 430 nm, a pitch in the x-axis direction of 398 nm, a pitch in the y-axis direction of 460 nm, and a depth (height) of 460 nm. It shows a structure in which columnar recesses are arranged in a hexagonal close-packed arrangement. In this case, the relationship satisfying the above equation (4) can be considered as follows.

図24Aに示すように、単位面積(Sc)を六角形の単位格子として設定すると、単位面積(Sc)及び凹部体積(Vc)の値は決定され、hc・C<Vc/Sc=364と算出される。なお、一つの先端の丸まった円柱状凹部の体積は、一つの円柱状凹部の体積の8割と定義した。塗工膜厚(hc)を1000nmから5000nmの間で考えると、塗工膜厚(hc)と体積濃度(C)との関係は図25のように整理できる。図25は、hc・C<Vc/Sc=364を満たす塗工膜厚(hc)及び体積濃度(C)の関係、すなわち図24A及び図24Bに示す凹凸構造101aに対する塗工工程条件を示す図である。図25の横軸は塗工膜厚(hc)をディメンジョンnmで表示した軸であり、縦軸は体積濃度(C)(vol./vol.)であり、100倍すると体積%となる。図25に示されるプロットはhc・C=Vc/Sc=364を満たすプロットであり、プロットより下側(図25中灰色の部分)が塗工可能な条件となる。   As shown in FIG. 24A, when the unit area (Sc) is set as a hexagonal unit cell, the values of the unit area (Sc) and the recess volume (Vc) are determined and calculated as hc · C <Vc / Sc = 364. Is done. In addition, the volume of the cylindrical recessed part with one rounded tip was defined as 80% of the volume of one cylindrical recessed part. When the coating film thickness (hc) is considered between 1000 nm and 5000 nm, the relationship between the coating film thickness (hc) and the volume concentration (C) can be arranged as shown in FIG. FIG. 25 is a diagram showing the relationship between the coating film thickness (hc) and the volume concentration (C) satisfying hc · C <Vc / Sc = 364, that is, the coating process conditions for the concavo-convex structure 101a shown in FIGS. 24A and 24B. It is. The horizontal axis in FIG. 25 is an axis in which the coating film thickness (hc) is expressed in the dimension nm, and the vertical axis is the volume concentration (C) (vol./vol.). The plot shown in FIG. 25 is a plot that satisfies hc · C = Vc / Sc = 364, and the lower side of the plot (gray portion in FIG. 25) is a condition that can be applied.

同様に、例えば、開口径(φ)が180nm、x軸方向のピッチが173nm、y軸方向のピッチが200nm、深さ(高さ)が200nmの先端の丸まった円柱状凹部が六方最密充填配列で並んだ凹凸構造101aに対しては、図26に示す条件が塗工工程となる。図26の横軸は塗工膜厚(hc)をディメンジョンnmで表示した軸であり、縦軸は体積濃度(C)(vol./vol.)であり、100倍すると体積%となる。図26に示されるプロットはhc・C=Vc/Sc=147を満たすプロットであり、プロットより下側(図26中灰色の部分)が塗工可能な条件となる。   Similarly, for example, a cylindrical recess with a rounded tip with an opening diameter (φ) of 180 nm, a pitch in the x-axis direction of 173 nm, a pitch in the y-axis direction of 200 nm, and a depth (height) of 200 nm is hexagonally close packed. For the concavo-convex structure 101a arranged in an array, the conditions shown in FIG. The horizontal axis in FIG. 26 is an axis in which the coating film thickness (hc) is expressed in the dimension nm, and the vertical axis is the volume concentration (C) (vol./vol.). The plot shown in FIG. 26 is a plot that satisfies hc · C = Vc / Sc = 147, and the lower side of the plot (the gray portion in FIG. 26) is a condition that can be applied.

同様に、例えば、開口径(φ)が680nm、x軸方向のピッチが606nm、y軸方向のピッチが700nm、深さ(高さ)が700nmの先端の丸まった円柱状凹部が六方最密充填配列で並んだ凹凸構造101aに対しては、図27に示す条件が本発明の塗工工程となる。図27の横軸は塗工膜厚(hc)をディメンジョンnmで表示した軸であり、縦軸は体積濃度(C)(vol./vol.)であり、100倍すると体積%となる。図27に示されるプロットはhc・C=Vc/Sc=599を満たすプロットであり、プロットより下側(図27中灰色の部分)が塗工可能な条件となる。   Similarly, for example, a cylindrical recess having a rounded tip with an opening diameter (φ) of 680 nm, a pitch in the x-axis direction of 606 nm, a pitch in the y-axis direction of 700 nm, and a depth (height) of 700 nm is hexagonally close packed. For the uneven structure 101a arranged in an array, the conditions shown in FIG. 27 are the coating process of the present invention. The horizontal axis in FIG. 27 is an axis in which the coating film thickness (hc) is expressed in the dimension nm, and the vertical axis is the volume concentration (C) (vol./vol.). The plot shown in FIG. 27 is a plot that satisfies hc · C = Vc / Sc = 599, and the lower side of the plot (gray portion in FIG. 27) is a condition that can be applied.

(塗工圧力)
塗工に係る圧力は、1kPa以上であると、ナノ粒子材料の希釈溶液102Sの凹凸構造101aの内部も含めた塗工性が向上するため好ましい。特に、ナノ粒子102を凹凸構造101aの凹部101cの内部に充填配置する精度を向上させる観点から、塗工に係る圧力は10kPa以上であることが好ましく、20kPa以上であることが好ましく、100kPa以上であることが最も好ましい。
(Coating pressure)
The pressure related to coating is preferably 1 kPa or more because coating properties including the inside of the concavo-convex structure 101a of the diluted solution 102S of the nanoparticle material are improved. In particular, from the viewpoint of improving the accuracy of filling and arranging the nanoparticles 102 in the concave portion 101c of the concavo-convex structure 101a, the pressure applied to the coating is preferably 10 kPa or higher, preferably 20 kPa or higher, and 100 kPa or higher. Most preferably it is.

(溶剤除去工程)
凹凸構造101a上にナノ粒子材料の希釈溶液102Sを、上記式(4)を満たすように塗工した後に(図19B参照)、溶剤除去工程を経ることで、凹凸構造101aの凹部101c内部にナノ粒子102を充填することができ、第1の積層体1を作製できる(図19C参照)。
(Solvent removal process)
After applying the diluted solution 102S of the nanoparticle material on the concavo-convex structure 101a so as to satisfy the above formula (4) (see FIG. 19B), a nanoparticle inside the concavo-convex 101c of the concavo-convex structure 101a is obtained through a solvent removal step. The particles 102 can be filled, and the first laminate 1 can be manufactured (see FIG. 19C).

溶剤除去工程における温度及び時間は、ナノ粒子材料の希釈溶液102Sを作製する際に使用する溶剤の蒸気圧や沸点等、及び塗工膜厚(hc)により適宜設定できるため特に限定はされない。概ね、温度20℃〜120℃、時間10秒〜1時間の範囲であると、ナノ粒子102の配置精度が高まるため好ましい。また、使用溶剤の沸点をTsとした時に、溶剤除去工程の温度(T)がT<Tsを満たす溶剤除去工程(1)を含むと、ナノ粒子102の配置精度がより向上するため好ましく、T<Ts/2を満たすことがより好ましい。更に、溶剤除去工程(1)の後に、T≒Tsを満たす溶剤除去工程(2)を含むと、第1の積層体1の保存安定性や使用時の転写精度が向上するため、好ましい。なお、T≒Tsは、概ね、T=Ts±20%である。   The temperature and time in the solvent removal step are not particularly limited because they can be set as appropriate depending on the vapor pressure and boiling point of the solvent used when preparing the diluted solution 102S of the nanoparticle material, and the coating film thickness (hc). In general, a temperature range of 20 ° C. to 120 ° C. and a time range of 10 seconds to 1 hour is preferable because the arrangement accuracy of the nanoparticles 102 increases. Further, when the boiling point of the solvent used is Ts, it is preferable that the temperature (T) of the solvent removal step includes a solvent removal step (1) that satisfies T <Ts, because the placement accuracy of the nanoparticles 102 is further improved. It is more preferable to satisfy <Ts / 2. Furthermore, it is preferable to include a solvent removal step (2) satisfying T≈Ts after the solvent removal step (1) because the storage stability of the first laminate 1 and the transfer accuracy during use are improved. Note that T≈Ts is approximately T = Ts ± 20%.

なお、第1の積層体1の製造工程においては、塗工工程の前に凹凸構造101aの前処理工程を加えてもよく、溶剤除去工程の後にエネルギー線照射工程を加えてもよい。すなわち、第1の積層体1の製造工程において、前処理工程、塗工工程及び溶剤除去工程をこの順に経てもよいし、塗工工程、溶剤除去工程及びエネルギー線照射工程をこの順に経てもよいし、また、前処理工程、塗工工程、溶剤除去工程及びエネルギー線照射工程をこの順に経てもよい。   In addition, in the manufacturing process of the 1st laminated body 1, the pre-processing process of the uneven structure 101a may be added before a coating process, and an energy-beam irradiation process may be added after a solvent removal process. That is, in the manufacturing process of the first laminate 1, the pretreatment process, the coating process, and the solvent removal process may be performed in this order, or the coating process, the solvent removal process, and the energy ray irradiation process may be performed in this order. In addition, the pretreatment process, the coating process, the solvent removal process, and the energy beam irradiation process may be performed in this order.

(前処理工程)
保護層101の凹凸構造101a表面への前処理工程を経ることで、ナノ粒子材料の希釈溶液102Sの塗工性を良好に保ち、結果、ナノ粒子102の配置精度の向上や、第1の積層体1を使用する際の、ナノ粒子102の転写精度を向上できるため好ましい。
(Pretreatment process)
By passing through the pretreatment process on the surface of the concavo-convex structure 101a of the protective layer 101, the coating property of the diluted solution 102S of the nanoparticle material is kept good. As a result, the arrangement accuracy of the nanoparticles 102 is improved and the first lamination is performed. The transfer accuracy of the nanoparticles 102 when using the body 1 can be improved, which is preferable.

ナノ粒子102の位置精度を向上する効果を得るための前処理(1)としては、上記説明した金属層の成膜が挙げられる。更に、金属層面上に酸素アッシング処理、プラズマ処理、エチシマ処理、UV−O処理、アルカリ溶液処理等を施すことができる。金属層を成膜する手段は、上述した金属層の成膜方法を採用でき、より具体的には、例えば、テトラエトキシシランに代表される金属アルコキシドによる表面コーティング、オレフィン部位を有すシランカップリング材による表面処理、Crに代表される金属層のドライコーティング(例えば、スパッタ処理等)、SiOに代表される金属酸化物層のドライコーティング(例えば、スパッタ処理等)や、これらの複合処理が挙げられる。 一方、ナノ粒子102の転写精度を向上する効果を得るための前処理(2)としては、上記説明した離型層の成膜が挙げらえる。前処理工程において、前処理(1)の後に前処理(2)の工程を経てもよい。 As the pretreatment (1) for obtaining the effect of improving the positional accuracy of the nanoparticles 102, the metal layer described above can be formed. Furthermore, oxygen ashing treatment, plasma treatment, ethishima treatment, UV-O 3 treatment, alkaline solution treatment, etc. can be performed on the metal layer surface. As a means for forming a metal layer, the above-described method for forming a metal layer can be employed. More specifically, for example, surface coating with a metal alkoxide represented by tetraethoxysilane, silane coupling having an olefin moiety. Surface treatment with a material, dry coating of a metal layer typified by Cr (for example, sputtering), dry coating of a metal oxide layer typified by SiO 2 (for example, sputtering), or a combination of these Can be mentioned. On the other hand, as the pretreatment (2) for obtaining the effect of improving the transfer accuracy of the nanoparticles 102, the film formation of the release layer described above can be cited. In the pretreatment step, the pretreatment (1) may be followed by a pretreatment (2) step.

(エネルギー線照射工程)
溶剤除去工程の後に、凹凸構造101a面側(ナノ粒子102面側)又は支持基材100面側(第2面2b側)の少なくとも一方からエネルギー線を照射する工程を経ることで、ナノ粒子材料の安定性が向上し、第1の積層体1を使用した際のナノ粒子102の転写精度が向上するため好ましい。エネルギー線としては、X線、UV、IR等が挙げられる。
(Energy beam irradiation process)
After the solvent removal step, the nanoparticle material is subjected to a step of irradiating energy rays from at least one of the concavo-convex structure 101a surface side (nanoparticle 102 surface side) or the support substrate 100 surface side (second surface 2b side). Is improved, and the transfer accuracy of the nanoparticles 102 is improved when the first laminate 1 is used. Examples of energy rays include X-rays, UV, and IR.

更に、第1の積層体1の製造工程においては、カバーフィルム貼合工程を加えてもよい。ここでカバーフィルムとしては、第2の積層体2において、第1のマスク層103面上に設けられるカバーフィルムを使用することができる。カバーフィルム貼合工程を経ることで、凹凸構造101a及びナノ粒子102を保護することが可能となる。   Furthermore, in the manufacturing process of the 1st laminated body 1, you may add a cover film bonding process. Here, as the cover film, a cover film provided on the surface of the first mask layer 103 in the second laminate 2 can be used. By going through the cover film bonding step, the uneven structure 101a and the nanoparticles 102 can be protected.

第1の積層体1の製造工程においては、巻出し工程、前処理工程、塗工工程、溶剤除去工程、エネルギー線照射工程、カバーフィルム貼合工程及び巻き取り工程に対し、塗工工程及び溶剤除去工程を必ずこの順に含み、かつ、この順のままこれら7つの工程から2つ以上7つ以下の工程を経ることが可能である。   In the manufacturing process of the 1st laminated body 1, a coating process and a solvent with respect to an unwinding process, a pre-processing process, a coating process, a solvent removal process, an energy ray irradiation process, a cover film bonding process, and a winding process. It is possible to include the removal steps in this order and go through two to seven steps from these seven steps in this order.

(保護層の凹凸構造と接触角)
第1の積層体1の製造に用いる凹凸構造101aの物性は特に制限されないが、凹凸構造101a表面上への水滴の接触角が90度よりも小さい場合、ナノ粒子102の配置精度が向上するため好ましく、70度以下であればこの効果をよりいっそう発揮できるため好ましい。一方、凹凸構造101a表面上への水滴の接触角が90度より大きい場合、製造された第1の積層体1を使用した際のナノ粒子102の転写精度が向上するため好ましく、100度以上であるとこの効果をよりいっそう発揮するため好ましい。
(Uneven structure and contact angle of protective layer)
The physical properties of the concavo-convex structure 101a used for manufacturing the first laminate 1 are not particularly limited. However, when the contact angle of the water droplet on the surface of the concavo-convex structure 101a is smaller than 90 degrees, the arrangement accuracy of the nanoparticles 102 is improved. Preferably, 70 degrees or less is preferable because this effect can be further exhibited. On the other hand, when the contact angle of water droplets on the surface of the concavo-convex structure 101a is larger than 90 degrees, it is preferable because the transfer accuracy of the nanoparticles 102 when using the manufactured first laminate 1 is improved. It is preferable to have this effect even more.

凹凸構造101a表面上への水の接触角が90度以上であることで、ナノ粒子102の第2の積層体2の膜厚方向に対する重なりを小さくできるため好ましい。特に、凹凸構造101a表面上への水の接触角が90度以上、以下に記載する開口率範囲、及びナノ粒子材料を希釈する溶剤に水系溶剤を選定すると共に、上記(2)を満たす塗工をすることで、ナノ粒子102同士の重なりを限りなく小さくすることができるため好ましい。   It is preferable that the contact angle of water on the surface of the concavo-convex structure 101a is 90 degrees or more because the overlap of the nanoparticles 102 in the film thickness direction of the second stacked body 2 can be reduced. In particular, the contact angle of water on the surface of the concavo-convex structure 101a is 90 degrees or more, an aperture ratio range described below, and an aqueous solvent selected as a solvent for diluting the nanoparticle material, and a coating satisfying the above (2) It is preferable because the overlap between the nanoparticles 102 can be reduced as much as possible.

(ナノ粒子材料及び希釈溶液)
凹凸構造101aの凹部内部へのナノ粒子102の配置精度の観点から、ナノ粒子材料の希釈溶液102Sの凹凸構造101a上への接触角は110度以下であることが好ましく、90度以下であるとより好ましい。凹凸構造101aの凹部内部への毛管力をより発揮することが可能であるため、希釈溶液102Sの凹凸構造101a上への接触角は85度以下であることが好ましく、80度以下であるとより好ましく、70度以下であると最も好ましい。
(Nanoparticle material and diluted solution)
From the viewpoint of the arrangement accuracy of the nanoparticles 102 inside the recesses of the concavo-convex structure 101a, the contact angle of the diluted solution 102S of the nanoparticle material onto the concavo-convex structure 101a is preferably 110 degrees or less, and 90 degrees or less. More preferred. Since it is possible to further exert a capillary force inside the concave portion of the concavo-convex structure 101a, the contact angle of the diluted solution 102S on the concavo-convex structure 101a is preferably 85 degrees or less, and more preferably 80 degrees or less. Preferably, it is most preferably 70 degrees or less.

このような接触角範囲を満たす溶剤としては、水系溶剤が挙げられる。水系溶剤は、水に対する親和性が高い溶剤を意味し、例えば、アルコール、エーテル、ケトン類等があげらえる。   Examples of the solvent satisfying such a contact angle range include aqueous solvents. An aqueous solvent means a solvent having a high affinity for water, and examples thereof include alcohols, ethers, and ketones.

希釈溶液102Sとしては、特に限定されないが、単一溶剤の沸点が40℃〜200℃の溶剤が好ましく、60℃〜180℃がより好ましく、60℃〜160℃がさらに好ましい。希釈剤は2種類以上を使用してもよい。特に2種類の溶剤を併用する場合は、ナノ粒子材料の希釈溶液のレベリング性の観点から、それぞれの溶剤の沸点の差が10℃以上あることが好ましく、20℃以上あるとより好ましい。また、希釈溶液102Sの選定方法として上述した、ナノ粒子102と溶剤の与える慣性半径への影響を考慮した選定が好ましい。凹凸構造101aの形状や配列は、上記説明した内容を採用できる。   Although it does not specifically limit as the diluted solution 102S, The solvent whose boiling point of a single solvent is 40 to 200 degreeC is preferable, 60 to 180 degreeC is more preferable, and 60 to 160 degreeC is further more preferable. Two or more kinds of diluents may be used. In particular, when two types of solvents are used in combination, the difference in the boiling points of the respective solvents is preferably 10 ° C. or more, more preferably 20 ° C. or more, from the viewpoint of leveling properties of the diluted solution of the nanoparticle material. Further, the selection method considering the influence on the radius of inertia given by the nanoparticles 102 and the solvent is preferable as the selection method of the diluted solution 102S. The contents described above can be adopted as the shape and arrangement of the concavo-convex structure 101a.

中でも、以下の開口率を満たすと共に、凹凸構造101a表面上への水の接触角が75度以上、好ましくは85度以上、最も好ましくは90度以上、かつ、ナノ粒子材料を希釈する溶剤に水系溶剤を選定すると共に、上記(2)を満たす塗工をすることで、容易に、ナノ粒子102同士の重なりを小さく或いは0にできるため好ましい。更に、凹凸構造101a表面上への水の接触角が90度以上を満たす凹凸構造101aは、上述したEs/Ebの範囲を満たす保護層であると、第2の積層体2を連続的に精度高く作製できると共に、使用時の転写性も向上するため好ましい。   Among them, while satisfying the following aperture ratio, the contact angle of water on the surface of the concavo-convex structure 101a is 75 ° or more, preferably 85 ° or more, most preferably 90 ° or more, and an aqueous solvent for diluting the nanoparticle material. It is preferable to select a solvent and perform coating satisfying the above (2) because the overlap between the nanoparticles 102 can be easily reduced or reduced to zero. Furthermore, when the uneven structure 101a that satisfies the contact angle of water of 90 degrees or more on the surface of the uneven structure 101a is a protective layer that satisfies the above-mentioned range of Es / Eb, the second laminate 2 is continuously accurate. It is preferable because it can be made high and the transferability during use is improved.

凹凸構造101a上へナノ粒子材料の希釈溶液102Sを塗工し、凹部101cの内部へとナノ粒子102を充填する際に、上記説明した開口率Ar(凹凸構造101aがドット状の場合:((Sc−Sh)/Sc、凹凸構造101aがホール状の場合;Sh/Sc)は、下記式(5)を満たすことが好ましい。
式(5)
Ar≧0.45
When the diluted solution 102S of the nanoparticle material is applied onto the concavo-convex structure 101a and the nanoparticle 102 is filled into the concave portion 101c, the aperture ratio Ar described above (when the concavo-convex structure 101a is dot-like: (( Sc-Sh) / Sc, when the concavo-convex structure 101a has a hole shape; Sh / Sc) preferably satisfies the following formula (5).
Formula (5)
Ar ≧ 0.45

開口率Arが、上記式(5)を満たし、かつ、ピッチ150nmから800nmの範囲内にある場合には、ナノ粒子材料の希釈溶液102Sは凹凸構造101aを認識することができる。このため、ナノ粒子材料の希釈溶液102Sは、仮想液滴の曲率半径を極大化するように凹凸構造101a内部へと濡れ広がるため、好ましい。仮想液滴とは、凹凸構造101aの凹部内部に存在すると仮定した、ナノ粒子材料の希釈溶液102Sの液滴を意味する。特に、開口率Arは、0.50以上がこのましく、0.55以上がより好ましい。更に、開口率Arは、下記式(6)を満たすことが好ましい。
式(6)
Ar≧0.65
When the aperture ratio Ar satisfies the above formula (5) and the pitch is in the range of 150 nm to 800 nm, the diluted solution 102S of the nanoparticle material can recognize the concavo-convex structure 101a. For this reason, the diluted solution 102S of the nanoparticle material is preferable because it wets and spreads into the concavo-convex structure 101a so as to maximize the radius of curvature of the virtual droplet. The virtual droplet means a droplet of the diluted solution 102S of the nanoparticle material that is assumed to exist inside the concave portion of the concavo-convex structure 101a. In particular, the aperture ratio Ar is preferably 0.50 or more, and more preferably 0.55 or more. Furthermore, the aperture ratio Ar preferably satisfies the following formula (6).
Formula (6)
Ar ≧ 0.65

Arが、上記式(6)を満たす場合には、上記効果に加え、凹凸構造101aの凸部101b上から凹部101cの内部方向へのポテンシャルが働き、凹部101cの内部へ液滴が充填された後に、凸部101b上へとナノ粒子材料の希釈溶液102Sが再移動することを回避できるため、より好ましい。また、上記効果をよりいっそう発揮するために、開口率Arは、0.7以上が望ましい。より好ましくは、Arは、0.75以上であり、0.8以上であるとさらに好ましい。   When Ar satisfies the above formula (6), in addition to the above effect, a potential from the top of the convex portion 101b of the concave-convex structure 101a to the inside of the concave portion 101c works, and the inside of the concave portion 101c is filled with droplets. It is more preferable because it is possible to prevent the diluted solution 102S of the nanoparticle material from moving again onto the convex portion 101b later. In order to further exhibit the above effect, the aperture ratio Ar is preferably 0.7 or more. More preferably, Ar is 0.75 or more, and more preferably 0.8 or more.

特に、ナノ粒子102/第1のマスク層103/被処理体200からなる積層体201のナノ粒子102面側から第1のマスク層103を加工し、微細マスクパタン202aの設けられた被処理体200を得る観点から、保護層101の微細パタンは凹型であることが好ましい。   In particular, the first mask layer 103 is processed from the nanoparticle 102 surface side of the laminate 201 composed of the nanoparticles 102 / first mask layer 103 / object 200, and the object to be processed is provided with the fine mask pattern 202a. From the viewpoint of obtaining 200, the fine pattern of the protective layer 101 is preferably concave.

更に、ホール開口部の面積が、ホール底部の面積よりも大きいと、ホール端部における固液気界面におけるピン止め効果(TPCLによるピン止め効果)を抑制しやすくなり、上記効果をより発揮できるため好ましい。更に、開口淵と凹部側面とは、連続的に滑らかにつながっていると、上記効果をよりいっそう発揮できるため好ましい。   Furthermore, if the area of the hole opening is larger than the area of the bottom of the hole, the pinning effect (pinning effect by TPCL) at the solid-liquid interface at the hole end can be easily suppressed, and the above effect can be exerted more. preferable. Furthermore, it is preferable that the opening rod and the side surface of the recess are continuously and smoothly connected, because the above effect can be further exhibited.

第2の積層体2は、第1の積層体1におけるナノ粒子102を内包する凹凸構造101a上に、第1のマスク層材料の希釈溶液103Sを塗工する第2の塗工工程(図24B参照)と、余分な溶液を除去して第1のマスク層103を形成する第2の溶剤除去工程(図24C参照)と、を経て作製される。   The second laminated body 2 is a second coating step (FIG. 24B) in which a dilute solution 103S of the first mask layer material is coated on the concavo-convex structure 101a including the nanoparticles 102 in the first laminated body 1. And a second solvent removal step (see FIG. 24C) for removing the excess solution to form the first mask layer 103.

(第2の塗工工程)
第1のマスク層材料の希釈溶液103Sによる塗工性は、塗工工程における希釈溶液103Sの塗工膜厚(ウェット膜厚)をho、第1のマスク層材料の希釈溶液103Sの体積濃度をCoとした場合に、下記式(7)を満たしていれば、特に限定されない。
式(7)
Sc・ho・Co≧Vc
(Second coating process)
The coating property of the first mask layer material with the diluted solution 103S is such that the coating film thickness (wet film thickness) of the diluted solution 103S in the coating process is ho and the volume concentration of the diluted solution 103S of the first mask layer material is In the case of Co, there is no particular limitation as long as the following formula (7) is satisfied.
Formula (7)
Sc · ho · Co ≧ Vc

ここで、塗工膜厚(ho)は、第1のマスク層材料の希釈溶液103Sの塗工膜厚として定義されるが、ナノ粒子102を内包する凹凸構造101a上に塗工した状態での塗工膜厚は測定が困難であるため、凹凸構造101aと概ね同等の材質で作製したフラット膜面上での膜厚をhoとして定義する。すなわち、凹凸構造101aを構成する材質と略同等又は同等の材質によるフラット膜に対し、第1の積層体1の凹凸構造101a上への成膜条件と同様の条件にて塗工した膜の膜厚を、塗工膜厚(ho)として採用する。   Here, the coating film thickness (ho) is defined as the coating film thickness of the diluted solution 103S of the first mask layer material. However, the coating film thickness (ho) is a state in which the coating film is applied onto the concavo-convex structure 101a including the nanoparticles 102. Since it is difficult to measure the coating film thickness, the film thickness on a flat film surface made of a material substantially equivalent to the uneven structure 101a is defined as ho. That is, a film of a film that is applied to a flat film made of a material that is substantially the same as or equivalent to the material constituting the concavo-convex structure 101a under the same conditions as the film formation conditions on the concavo-convex structure 101a of the first laminate 1. The thickness is adopted as the coating film thickness (ho).

塗工膜厚(ho)の範囲は、上記式(7)を満たすように適宜設定できるため、特に限定されないが、塗工精度の観点から、0.1um以上20um以下であることが好ましく、0.2um〜10umがより好ましく、最も好ましくは0.3um〜2umである。特に、第2の積層体2への第1のマスク層103の成膜性と、使用時の貼合性の観点から、Sc・ho・Co≧1.5Vcであることが好ましく、Sc・ho・Co≧2Vcであるとより好ましい。塗工方法は、上記式(7)を満たせば特に限定されず、上述した塗工方法を採用できる。   The range of the coating film thickness (ho) can be appropriately set so as to satisfy the above formula (7), and is not particularly limited. However, from the viewpoint of coating accuracy, it is preferably 0.1 μm or more and 20 μm or less. .2 um to 10 um is more preferred, most preferably 0.3 um to 2 um. In particular, from the viewpoint of the film formability of the first mask layer 103 on the second laminated body 2 and the bonding property at the time of use, Sc · ho · Co ≧ 1.5Vc is preferable, and Sc · ho -Co ≧ 2Vc is more preferable. A coating method will not be specifically limited if the said Formula (7) is satisfy | filled, The coating method mentioned above is employable.

(第2の溶剤除去工程)
ナノ粒子102を内包する凹凸構造101a上に第1のマスク層材料の希釈溶液103Sを、上記式(7)を満たすように塗工した後に(図20B参照)、第2の溶剤除去工程を経ることで、ナノ粒子102を内包する凹凸構造101a上に第1のマスク層103を形成することができる(図20C参照)。
(Second solvent removal step)
After applying the diluted solution 103S of the first mask layer material on the concavo-convex structure 101a containing the nanoparticles 102 so as to satisfy the above formula (7) (see FIG. 20B), the second solvent removal step is performed. Thus, the first mask layer 103 can be formed over the uneven structure 101a including the nanoparticles 102 (see FIG. 20C).

第2の積層体2は、第1の積層体1におけるナノ粒子102を内包する凹凸構造101a上に第1のマスク層材料の希釈溶液103Sを塗工する第2の塗工工程と、余分な溶液を除去して第1のマスク層103を形成する第2の溶剤除去工程と、を少なくともこの順に含むことで製造される。   The second laminated body 2 includes a second coating step in which the diluted solution 103S of the first mask layer material is coated on the concavo-convex structure 101a including the nanoparticles 102 in the first laminated body 1, and an extra step. It is manufactured by including a second solvent removal step of removing the solution to form the first mask layer 103 at least in this order.

すなわち、第2の積層体2は、巻出し工程、前処理工程、塗工工程、溶剤除去工程、エネルギー線照射工程、カバーフィルム貼合工程及び巻き取り工程に対し、塗工工程及び溶剤除去工程を必ず含み、かつ、この順のままこれら7つの工程から2つ以上の工程を経て製造される第1の積層体1のカバーフィルム貼合工程及び巻き取り工程のある場合は、巻出し工程とカバーフィルム剥離工程とをこの順に経たのちに、微細パタン面上に対し、第2の塗工工程と第2の溶剤除去工程と、を少なくともこの順に経て製造される。一方、カバーフィルム貼合工程があり巻き取り工程のない場合は、カバーフィルム剥離工程を経たのちに、凹凸構造101a面上に対し、第2の塗工工程と第2の溶剤除去工程と、を少なくともこの順に経て製造される。また、カバーフィルム貼合工程がなく巻き取り工程がある場合は、巻出し工程を経たのちに微細パタン面上に対し、第2の塗工工程と第2の溶剤除去工程と、を少なくともこの順に経て製造される。更に、カバーフィルム貼合工及び巻き取り工程がない場合は、凹凸構造101a面上に対し、第2の塗工工程と第2の溶剤除去工程と、を少なくともこの順に経ることで製造される。   That is, the second laminate 2 has an unwinding step, a pretreatment step, a coating step, a solvent removal step, an energy ray irradiation step, a cover film bonding step, and a winding step, a coating step and a solvent removal step. And the cover film laminating step and the winding step of the first laminate 1 manufactured through two or more steps from these seven steps in this order, the unwinding step and After passing through the cover film peeling step in this order, the second patterning step and the second solvent removing step are manufactured at least in this order on the fine pattern surface. On the other hand, when there is a cover film bonding step and there is no winding process, after passing through the cover film peeling step, the second coating step and the second solvent removal step are performed on the surface of the concavo-convex structure 101a. It is manufactured through at least this order. Moreover, when there is no cover film bonding process and there is a winding process, the second coating process and the second solvent removal process are performed at least in this order on the fine pattern surface after the unwinding process. It is manufactured after. Furthermore, when there is no cover film bonding process and a winding-up process, it manufactures by passing through a 2nd coating process and a 2nd solvent removal process at least in this order with respect to the uneven structure 101a surface.

更に、上記いずれかの製造方法により製造された第2の積層体2の第1のマスク層103の露出する面上へカバーフィルムを貼合するカバーフィルム貼合工程を、第2の溶剤除去工程の後に加えてもよい。なお、カバーフィルムは上述したカバーフィルムを採用できる。また、カバーフィルムを貼合した後に、巻き取り回収してもよい。カバーフィルムは設けず、巻き取ることもできる。   Furthermore, a cover film laminating step for laminating a cover film on the exposed surface of the first mask layer 103 of the second laminate 2 manufactured by any one of the above manufacturing methods is a second solvent removing step. It may be added after. In addition, the cover film mentioned above can be employ | adopted for a cover film. Moreover, you may wind up and collect | recover, after bonding a cover film. The cover film can be taken up without being provided.

[リール状微細パタン形成用積層体の使用]
続いて、第2の積層体2の使用方法について説明する。
図7A〜図7Fは、第2の積層体2を使用した被処理体200の加工工程を示す説明図である。以下の工程(2−1)〜(2−5)を順に行うことで、第2の積層体2を使用して、ドライエッチングを経て、ナノ粒子102/第1のマスク層103/被処理体200からなる第3の積層体を得ることができる。得られた積層体201のナノ粒子102面側から第1のマスク層103を加工することで、被処理体200上に微細マスクパタン202aが設けられた微細パタン構造体202を転写形成できる。微細パタン構造体202の微細マスクパタン202aをマスクとすることで、被処理体200を加工することができる。図7A〜図7Fは、第2の積層体2を使用した被処理体200の加工工程を示す説明図である。
工程(2−1):被処理体200と第1のマスク層103とを熱圧着により貼合する工程(図7A参照)。
工程(2−2):保護層101面側又は被処理体20面側の少なくとも一方からエネルギー線を照射する工程。(図7B参照)。
工程(2−3):保護層101を剥離して、積層体201を得る工程(図7C参照)。
工程(2−4):積層体201のナノ粒子102面側からエッチングを行い、ナノ粒子102及び第1のマスク層103で構成される微細マスクパタン202aを有する微細パタン構造体202を形成する工程(図7D参照)。
工程(2−5):工程(2−4)で得られた微細マスクパタン202aをマスクとして、被処理体200をエッチングする工程(図7E参照)。
[Use of laminate for forming reel-like fine pattern]
Then, the usage method of the 2nd laminated body 2 is demonstrated.
FIG. 7A to FIG. 7F are explanatory views showing the processing steps of the object 200 using the second laminate 2. By performing the following steps (2-1) to (2-5) in order, the second laminated body 2 is used and dry etching is performed, so that the nanoparticles 102 / the first mask layer 103 / the object to be processed. A third laminate composed of 200 can be obtained. By processing the first mask layer 103 from the nanoparticle 102 surface side of the obtained laminate 201, the fine pattern structure 202 provided with the fine mask pattern 202a on the object 200 can be transferred. By using the fine mask pattern 202a of the fine pattern structure 202 as a mask, the workpiece 200 can be processed. FIG. 7A to FIG. 7F are explanatory views showing the processing steps of the object 200 using the second laminate 2.
Process (2-1): The process of bonding the to-be-processed object 200 and the 1st mask layer 103 by thermocompression bonding (refer FIG. 7A).
Step (2-2): A step of irradiating energy rays from at least one of the protective layer 101 surface side or the target object 20 surface side. (See FIG. 7B).
Process (2-3): The process of peeling the protective layer 101 and obtaining the laminated body 201 (refer FIG. 7C).
Step (2-4): Step of forming the fine pattern structure 202 having the fine mask pattern 202a composed of the nanoparticles 102 and the first mask layer 103 by performing etching from the nanoparticle 102 surface side of the laminate 201. (See FIG. 7D).
Step (2-5): A step of etching the workpiece 200 using the fine mask pattern 202a obtained in the step (2-4) as a mask (see FIG. 7E).

なお、工程(2−1)前に、被処理体200上にハードマスク層を成膜し、その後、工程(2−1)〜工程(2−4)を順次行ってもよい。この場合には、工程(2−4)後に、ナノ粒子102及び第1のマスク層103で構成される微細マスクパタン202aをマスクとして、ハードマスク層をエッチングすることができる。ナノ粒子102及び第1のマスク層103で構成される微細マスクパタン202a及びエッチングされたハードマスク層(ハードマスクパタン)をマスクとして見立てることで、被処理体200を加工することができる。又は、ハードマスク層のエッチング後に、残存したナノ粒子102及び第1のマスク層103で構成される微細パタンを除去し、エッチングされたハードマスク層のみをマスクとして見立て、被処理体200を加工することができる。被処理体200のエッチング方法は、ドライエッチング又はウェットエッチングのいずれも採用できる。   In addition, before a process (2-1), a hard mask layer may be formed on the to-be-processed object 200, and a process (2-1)-a process (2-4) may be performed sequentially after that. In this case, after the step (2-4), the hard mask layer can be etched using the fine mask pattern 202a composed of the nanoparticles 102 and the first mask layer 103 as a mask. By treating the fine mask pattern 202a composed of the nanoparticles 102 and the first mask layer 103 and the etched hard mask layer (hard mask pattern) as a mask, the object 200 can be processed. Alternatively, after the etching of the hard mask layer, the fine pattern composed of the remaining nanoparticles 102 and the first mask layer 103 is removed, and only the etched hard mask layer is regarded as a mask, and the object 200 is processed. be able to. Either dry etching or wet etching can be employed as an etching method for the object to be processed 200.

工程(2−1)における熱圧着温度は、40℃以上300℃以下であることが好ましく、50℃以上200℃以下がより好ましく、80℃以上150℃以下が最も好ましい。特に、被処理体の第1のマスク層を貼り合わせる面の温度が前記範囲を満たすと、貼合性及び転写性が良好になるため好ましい。また、熱圧着は、被処理体200のみを加熱し行っても、被処理体200と第2の積層体2を囲む系全体を加温し行ってもよい。特に、転写精度の観点から、被処理体200を加温し、熱圧着することが好ましい。   The thermocompression bonding temperature in the step (2-1) is preferably 40 ° C. or higher and 300 ° C. or lower, more preferably 50 ° C. or higher and 200 ° C. or lower, and most preferably 80 ° C. or higher and 150 ° C. or lower. In particular, it is preferable that the temperature of the surface on which the first mask layer of the object to be processed satisfies the above range because the bonding property and the transfer property are improved. The thermocompression bonding may be performed by heating only the target object 200 or by heating the entire system surrounding the target object 200 and the second laminate 2. In particular, from the viewpoint of transfer accuracy, it is preferable to heat the workpiece 200 and perform thermocompression bonding.

更に、工程(2−1)は、第2の積層体2のカバーフィルムを剥がしながら第1のマスク層103と被処理体200とが接着する重ね方で、又は、カバーフィルムを剥離した後の第2の積層体2の第1のマスク層103と被処理体200とが接着する重ね方で、第2の積層体2の上部に設けられたラミネートロールにより圧着させることが好ましい。   Further, in the step (2-1), the first mask layer 103 and the target object 200 are bonded together while peeling the cover film of the second laminate 2, or after the cover film is peeled off. It is preferable that the first mask layer 103 of the second stacked body 2 and the object to be processed 200 be bonded by a laminate roll provided on the upper portion of the second stacked body 2 in a manner of bonding.

ラミネートロールの温度は50℃〜150℃、ラミネート速度は0.1[m/分]〜6[m/分]であることが好ましい。圧力はラミネートロールの単位長さ当たりの圧力として、0.01[Mpa/cm]〜1[Mpa/cm]が好ましく、0.1[Mpa/cm]〜1[Mpa/cm]が好ましく、0.2[Mpa/cm]〜0.5[Mpa/cm]がより好ましい。   The temperature of the laminating roll is preferably 50 to 150 ° C., and the laminating speed is preferably 0.1 [m / min] to 6 [m / min]. The pressure is preferably 0.01 [Mpa / cm] to 1 [Mpa / cm], preferably 0.1 [Mpa / cm] to 1 [Mpa / cm] as the pressure per unit length of the laminate roll. .2 [Mpa / cm] to 0.5 [Mpa / cm] is more preferable.

ラミネーターとしては、第2の積層体2上部に1組のラミネートロールを用いる1段式ラミネーター、2組以上のラミネートロールを用いる多段式ラミネーター、ラミネートする部分を容器で密閉した上で真空ポンプで減圧又は真空にする真空ラミネーター等が使用される。ラミネート時のエアーの混入を抑制する上で、真空ラミネーターが好ましい。   As a laminator, a single-stage laminator that uses one set of laminate rolls on the upper part of the second laminate 2, a multi-stage laminator that uses two or more sets of laminate rolls, and the part to be laminated is sealed in a container and then depressurized with a vacuum pump Alternatively, a vacuum laminator for making a vacuum is used. A vacuum laminator is preferable in order to suppress air mixing during lamination.

工程(2−2)のエネルギー源は、ナノ粒子材料及び第1のマスク層材料により適宜選択することができる。例えば、ナノ粒子102と第1のマスク層103との界面が、熱重合により化学結合を形成する場合、熱エネルギーを付与することで工程(2−2)を実行可能である。熱エネルギーの付与は、被処理体200に貼合された第2の積層体2全体を加熱しても、赤外線を第2の積層体2面側と被処理体200面側からの両方、又はいずれか一方から照射してもよい。第2の積層体2が重合性物質を含まない場合、工程(2−2)は行わなくてもよい。また、第2の積層体2が重合性物質を含む場合であっても、工程(2−2)を省くことができる。第1のマスク層103とナノ粒子102の少なくとも一方に硬化性物質が含有される場合、第2の積層体2の第1のマスク層103と被処理体200とを貼合した状態或いは/及び積層体201の状態にて硬化を促進させることが好ましい。硬化が光重合の場合は、少なくともエネルギー線を照射すると好ましい。光重合性物質が含有される場合は、特に、工程(2−2)のように、第2の積層体2の第1のマスク層103と被処理体200とを貼合した後に、保護層101或いは被処理体200の少なくとも一方からエネルギー線を照射すると好ましい。一方、硬化が熱重合の場合は、少なくとも加熱を行うと好ましい。このように第2の積層体2に硬化性物質が含まれる場合、第1のマスク層103を加工マスクとして被処理体200を加工する前に、硬化を促進させると、被処理体200の加工精度を向上させることができる。特に、第1のマスク層103にガラス転移温度が存在する場合、第1のマスク層103の硬化を促進することで、ガラス転移温度が大きくなるため、被処理体200の加工精度を向上させることができる。   The energy source of the step (2-2) can be appropriately selected depending on the nanoparticle material and the first mask layer material. For example, when the interface between the nanoparticle 102 and the first mask layer 103 forms a chemical bond by thermal polymerization, the step (2-2) can be performed by applying thermal energy. Application of thermal energy can be performed by heating infrared rays from both the second laminate 2 surface side and the to-be-processed object 200 surface side, even when the entire second laminate 2 bonded to the object 200 is heated. You may irradiate from either one. When the 2nd laminated body 2 does not contain a polymeric substance, a process (2-2) does not need to be performed. Moreover, even if it is a case where the 2nd laminated body 2 contains a polymeric substance, a process (2-2) can be skipped. When at least one of the first mask layer 103 and the nanoparticles 102 contains a curable substance, the first mask layer 103 of the second laminate 2 and the target object 200 are bonded together and / or It is preferable to promote curing in the state of the laminate 201. When the curing is photopolymerization, it is preferable to irradiate at least energy rays. When a photopolymerizable substance is contained, in particular, after the first mask layer 103 of the second laminate 2 and the object to be processed 200 are bonded together as in the step (2-2), the protective layer It is preferable to irradiate energy rays from at least one of 101 or 200. On the other hand, when the curing is thermal polymerization, it is preferable to perform at least heating. As described above, when the second laminated body 2 contains a curable substance, if the curing is promoted before the target object 200 is processed using the first mask layer 103 as a processing mask, the processing of the target object 200 is performed. Accuracy can be improved. In particular, when the glass transition temperature is present in the first mask layer 103, the glass transition temperature is increased by promoting the curing of the first mask layer 103, so that the processing accuracy of the workpiece 200 is improved. Can do.

その他にも、工程(2−1)の温度及び、貼合(圧着)速度を調整することで、工程(2−2)を同時に実行することもできる。また、例えば、ナノ粒子102と第1のマスク層103との界面が、光重合におり化学結合を形成する場合は、光エネルギーを付与することで工程(2−2)を実行可能である。光エネルギーは、ナノ粒子102及び第1のマスク層103中に含まれる光重合開始材に適した波長を含む光源より適宜選択することができる。UV光に代表される光エネルギーは、第2の積層体2面側と被処理体200面側からの両方、又はいずれか一方から照射できる。なお、第2の積層体2又は被処理体200のいずれか一方が光エネルギー不透過な場合は、光エネルギー透過体側から照射することが好ましい。ここで光照射の光源は、ナノ粒子102及び第1のマスク層103の組成により適宜選定できるため、特に限定されないが、UV−LED光源、メタルハライド光源、高圧水銀灯光源等を採用できる。また、光を照射し始めてから照射し終えるまでの積算光量は、500mJ/cm〜5000mJ/cmの範囲であると、転写精度が向上するため好ましい。より好ましくは、800mJ/cm〜2500mJ/cmである。更に、光照射は、複数の光源により行ってもよい。 In addition, the step (2-2) can be simultaneously performed by adjusting the temperature of the step (2-1) and the bonding (crimping) speed. For example, when the interface between the nanoparticle 102 and the first mask layer 103 is in photopolymerization and forms a chemical bond, the step (2-2) can be performed by applying light energy. The light energy can be appropriately selected from a light source including a wavelength suitable for the photopolymerization initiator contained in the nanoparticles 102 and the first mask layer 103. Light energy typified by UV light can be irradiated from both or one of the second laminate 2 surface side and the object 200 surface side. In addition, when either one of the 2nd laminated body 2 or the to-be-processed object 200 is optical energy impermeable, it is preferable to irradiate from the optical energy transparent body side. Here, the light source for light irradiation can be appropriately selected depending on the composition of the nanoparticles 102 and the first mask layer 103, and is not particularly limited. However, a UV-LED light source, a metal halide light source, a high-pressure mercury lamp light source, or the like can be employed. Further, the integrated light quantity of until after irradiated from the start of irradiation with light, when a range of 500mJ / cm 2 ~5000mJ / cm 2 , preferably for improving the transfer accuracy. More preferably 800mJ / cm 2 ~2500mJ / cm 2 . Further, the light irradiation may be performed by a plurality of light sources.

なお、工程(2−2)と工程(2−3)との間に加熱工程と冷却工程を加えてもよい。加熱工程を加えることで、ナノ粒子102及び第1のマスク層103の安定性を向上可能であり、冷却工程を加えることによい、保護層101の剥離性が向上する。加熱温度は、30℃〜200℃であることが好ましい。冷却は、少なくとも被処理体200の温度が120℃以下になるように冷却すると、剥離性を向上できるため好ましい。特に、5℃以上60℃以下が好ましく、18℃以上30℃以下がより好ましい。   In addition, you may add a heating process and a cooling process between a process (2-2) and a process (2-3). By adding a heating step, the stability of the nanoparticles 102 and the first mask layer 103 can be improved, and the peelability of the protective layer 101, which is good for adding a cooling step, is improved. The heating temperature is preferably 30 ° C to 200 ° C. Cooling is preferably performed so that at least the temperature of the object to be processed 200 is 120 ° C. or lower because the peelability can be improved. In particular, 5 ° C. or more and 60 ° C. or less are preferable, and 18 ° C. or more and 30 ° C. or less are more preferable.

工程(2−3)の手法は特に限定されないが、転写精度と再現性の観点から、被処理体200を固定し、保護層101を剥離することが好ましい。このような、被処理体200を固定した状態での剥離は、微細パタンの形状が転写されたナノ粒子102への応力集中を軽減することができる。   The method of the step (2-3) is not particularly limited, but it is preferable to fix the target object 200 and peel the protective layer 101 from the viewpoint of transfer accuracy and reproducibility. Such peeling in a state where the object to be processed 200 is fixed can reduce stress concentration on the nanoparticles 102 to which the shape of the fine pattern is transferred.

なお、工程(2−3)と工程(2−4)との間に、光エネルギー照射と加熱工程の両方、又はいずれか一方を加えてもよい。ナノ粒子102の組成によっては、微細パタンを剥離したのちにも、まだ反応を進行できる場合がある。このケースでは、ナノ粒子102面側と被処理体200面側の両方、又はいずれか一方からUV光に代表される光エネルギーを照射するか、又は、加熱又は赤外線を照射することで、ナノ粒子102の反応を促進することが可能であり、工程(2−4)後の微細パタンの形状が安定する。   In addition, you may add both or both of a light energy irradiation and a heating process between a process (2-3) and a process (2-4). Depending on the composition of the nanoparticles 102, the reaction may still be able to proceed after the fine pattern is peeled off. In this case, the nanoparticles can be irradiated by irradiating light energy typified by UV light from either the nanoparticle 102 surface side and / or the target object 200 surface side, or by heating or irradiating infrared rays. The reaction of 102 can be promoted, and the shape of the fine pattern after the step (2-4) is stabilized.

ここで光照射の光源は、ナノ粒子102及び第1のマスク層103の組成により適宜選定できるため、特に限定されないが、UV−LED光源、メタルハライド光源、高圧水銀灯光源等を採用できる。また、光を照射し始めてから照射し終えるまでの積算光量は、500mJ/cm〜5000mJ/cmの範囲であると、転写精度が向上するため好ましい。より好ましくは、800mJ/cm〜2500mJ/cmである。更に、光照射は、複数の光源により行ってもよい。 Here, the light source for light irradiation can be appropriately selected depending on the composition of the nanoparticles 102 and the first mask layer 103, and is not particularly limited. However, a UV-LED light source, a metal halide light source, a high-pressure mercury lamp light source, or the like can be employed. Further, the integrated light quantity of until after irradiated from the start of irradiation with light, when a range of 500mJ / cm 2 ~5000mJ / cm 2 , preferably for improving the transfer accuracy. More preferably 800mJ / cm 2 ~2500mJ / cm 2 . Further, the light irradiation may be performed by a plurality of light sources.

工程(2−4)のエッチングは、ドライエッチングでもウェットエッチングでもよい。ただし、第1のマスク層103を異方的にエッチングすることが好ましいため、ドライエッチングが好ましい。   The etching in the step (2-4) may be dry etching or wet etching. However, dry etching is preferable because the first mask layer 103 is preferably etched anisotropically.

工程(2−5)においては、被処理体200上に形成したい微細パタン220の形状により、第1のマスク層103がなくなるまでエッチングするか、第1のマスク層103を残した状態でエッチングを終了するかを選択することができる。特に、被処理体200上に形成される微細パタン220の先端を鋭角状に設計したい場合は、第1のマスク層103がなくなるまでエッチングすることが好ましく、被処理体200上に形成される微細パタン220の断面形状を台形状に設計したい場合は、第1のマスク層103を残した状態でエッチングを終了することが好ましい。   In the step (2-5), etching is performed until the first mask layer 103 disappears or the first mask layer 103 is left, depending on the shape of the fine pattern 220 to be formed on the object 200. You can choose to end. In particular, when it is desired to design the tip of the fine pattern 220 formed on the object 200 to be acute, it is preferable to perform etching until the first mask layer 103 is eliminated. When it is desired to design the cross-sectional shape of the pattern 220 to be trapezoidal, the etching is preferably finished with the first mask layer 103 left.

後者の場合は、工程(2−5)の後に、剥離工程を加えることが好ましい。剥離工程は、第1のマスク層103の組成により条件や手法を適宜選択可能だが、第1のマスク層103をアルカリ現像可能な組成に設計しておくことで、容易にアルカリ溶液による剥離を行える。なお、前者の場合も、工程(2−5)の後に洗浄工程を加えることが好ましい。工程(2−5)のエッチングにより、被処理体200の表層数nm〜数十nmの領域に、エッチングに使用したガス成分がドープされる場合がある。これらの層を剥離するために、アルカリ又は酸溶液にて洗浄することが好ましい。   In the latter case, it is preferable to add a peeling step after the step (2-5). In the peeling process, conditions and methods can be appropriately selected depending on the composition of the first mask layer 103, but by designing the first mask layer 103 to a composition that can be developed with an alkali, peeling with an alkaline solution can be easily performed. . In the former case, it is preferable to add a washing step after the step (2-5). By the etching in the step (2-5), the gas component used for the etching may be doped into the region of the surface layer of several nm to several tens of nm of the workpiece 200. In order to peel off these layers, it is preferable to wash with an alkali or acid solution.

<半導体発光素子>
次に、図28を参照して、上述した第2の積層体2を使用して作製された被処理体200を用いた半導体発光素子について説明する。図28は、第2の積層体2を使用して作製した被処理体200を用いた半導体発光素子40の断面模式図である。なお、図28においては、被処理体200としてのサファイア基材41上に、第2の積層体2を用いて微細パタン41aを設けた例について示している。ここでは、半導体発光素子として、LED素子について説明する。なお、上述した第2の積層体2を用いて作製された被処理体200は、LED素子に限定されず、各種半導体発光素子に適用可能である。
<Semiconductor light emitting device>
Next, with reference to FIG. 28, a semiconductor light emitting element using the target object 200 manufactured using the above-described second stacked body 2 will be described. FIG. 28 is a schematic cross-sectional view of a semiconductor light emitting element 40 using a target object 200 manufactured using the second stacked body 2. FIG. 28 shows an example in which a fine pattern 41 a is provided on the sapphire substrate 41 as the object to be processed 200 using the second stacked body 2. Here, an LED element will be described as a semiconductor light emitting element. In addition, the to-be-processed object 200 produced using the 2nd laminated body 2 mentioned above is not limited to an LED element, It can apply to various semiconductor light-emitting elements.

サファイア基材41としては、例えば、2インチφサファイア基材、4インチφサファイア基材、6インチφサファイア基材、8インチφサファイア基材等を用いることができる。なお,8インチφ以上の大きさのサファイア基材の場合、半導体結晶層を成膜した後の反りが大きくなることから、Siウェハを使用することができる。この場合、Siウェハは最終的に除去される。   As the sapphire substrate 41, for example, a 2 inch φ sapphire substrate, a 4 inch φ sapphire substrate, a 6 inch φ sapphire substrate, an 8 inch φ sapphire substrate, or the like can be used. In the case of a sapphire substrate having a size of 8 inches φ or more, since the warpage after the semiconductor crystal layer is formed becomes large, a Si wafer can be used. In this case, the Si wafer is finally removed.

図28に示すように、半導体発光素子40は、サファイア基材41の一主面上に設けられた微細パタン41a上に順次積層されたn型半導体層42、発光半導体層43及びp型半導体層44と、p型半導体層44上に形成されたアノード電極45と、n型半導体層42上に形成されたカソード電極46と、から構成されている。図28に示すように、半導体発光素子40は、ダブルヘテロ構造を有しているが、発光半導体層43の積層構造は特に限定されるものではない。また、サファイア基材41とn型半導体層42との間に、図示しないバッファ層を設けることもできる。   As shown in FIG. 28, the semiconductor light emitting device 40 includes an n-type semiconductor layer 42, a light-emitting semiconductor layer 43, and a p-type semiconductor layer sequentially stacked on a fine pattern 41a provided on one main surface of the sapphire base 41. 44, an anode electrode 45 formed on the p-type semiconductor layer 44, and a cathode electrode 46 formed on the n-type semiconductor layer 42. As shown in FIG. 28, the semiconductor light emitting device 40 has a double hetero structure, but the stacked structure of the light emitting semiconductor layer 43 is not particularly limited. In addition, a buffer layer (not shown) can be provided between the sapphire substrate 41 and the n-type semiconductor layer 42.

このような微細パタン41aを表面に具備するサファイア基材41を使用し、半導体発光素子を製造することで、微細パタン41aに応じた外部量子効率の向上を実現でき、半導体発光素子の効率が向上する。特に、微細パタンのピッチが100nm〜500nmであり、微細パタンの高さが50nm〜500nm程度であると、半導体発光層の結晶性を改善、より具体的には転位数を大きく低減でき、内部量子効率を向上させることができる。更に、配列を周期性の乱れた構造にすることで、同時に光取り出し効率も向上させることができると推定される。これにより、外部量子効率が大きく向上する。更に、ナノスケールで正規配列をなし、かつ、マイクロスケールの大きな周期性を有する、ピッチにマイクロスケールの周期を有する変調を加えたドット形状とすることにより、前記効果をいっそう高め、より高効率な半導体発光素子を製造できると考えられる。   By using the sapphire substrate 41 having such a fine pattern 41a on the surface and manufacturing a semiconductor light emitting device, the external quantum efficiency can be improved according to the fine pattern 41a, and the efficiency of the semiconductor light emitting device is improved. To do. In particular, when the pitch of the fine pattern is 100 nm to 500 nm and the height of the fine pattern is about 50 nm to 500 nm, the crystallinity of the semiconductor light emitting layer can be improved, more specifically, the number of dislocations can be greatly reduced. Efficiency can be improved. Further, it is estimated that the light extraction efficiency can be improved at the same time by making the arrangement into a structure with disordered periodicity. Thereby, the external quantum efficiency is greatly improved. Furthermore, by forming a dot shape that has a regular arrangement at the nanoscale and has a large microscale periodicity, and a modulation having a microscale period added to the pitch, the above-described effect is further enhanced and the efficiency is increased. It is considered that a semiconductor light emitting device can be manufactured.

以下、本発明の効果を確認するために行った実施例について説明する。   Examples performed to confirm the effects of the present invention will be described below.

以下の説明において使用する記号は、以下の意味を示す。
・DACHP…フッ素含有ウレタン(メタ)アクリレート(OPTOOL DAC HP(ダイキン工業社製))
・M350…トリメチロールプロパン(EO変性)トリアクリレート(東亞合成社製 M350)
・I.184…1−ヒドロキシシクロヘキシルフェニルケトン(BASF社製 Irgacure(登録商標) 184)
・I.369…2−ベンジル−2−ジメチルアミノ−1−(4−モルフォリノフェニル)−ブタノン−1(BASF社製 Irgacure(登録商標) 369)
・TTB…チタニウム(IV)テトラブトキシドモノマー(和光純薬工業社製)
・SH710…フェニル変性シリコーン(東レ・ダウコーニング社製)
・3APTMS…3−アクリロキシプロピルトリメトキシシラン(KBM5103(信越シリコーン社製))
・DIBK…ジイソブチルケトン
・MEK…メチルエチルケトン
・MIBK…メチルイソブチルケトン
・DR833…トリシクロデカンジメタノールジアクリレート(SR833(SARTOMER社製))
・SR368…トリス(2−ヒドロキシエチル)イソシアヌレートトリアクリレート(SR833(SARTOMER社製)
The symbols used in the following description have the following meanings.
・ DACHP: Fluorine-containing urethane (meth) acrylate (OPTOOL DAC HP (manufactured by Daikin Industries))
M350: trimethylolpropane (EO-modified) triacrylate (M350, manufactured by Toagosei Co., Ltd.)
・ I. 184 ... 1-hydroxycyclohexyl phenyl ketone (Irgacure (registered trademark) 184, manufactured by BASF)
・ I. 369 ... 2-benzyl-2-dimethylamino-1- (4-morpholinophenyl) -butanone-1 (Irgacure (registered trademark) 369, manufactured by BASF)
-TTB: Titanium (IV) tetrabutoxide monomer (Wako Pure Chemical Industries, Ltd.)
SH710: Phenyl-modified silicone (Toray Dow Corning)
・ 3APTMS ... 3-acryloxypropyltrimethoxysilane (KBM5103 (manufactured by Shin-Etsu Silicone))
DIBK: diisobutyl ketone MEK: methyl ethyl ketone MIBK: methyl isobutyl ketone DR833: tricyclodecane dimethanol diacrylate (SR833 (manufactured by SARTOMER))
SR368 ... Tris (2-hydroxyethyl) isocyanurate triacrylate (SR833 (manufactured by SARTOMER)

(実施例1〜5)
以下の検討においては、まず(1)円筒状マスターモールドを作製し、(2)円筒状マスターモールドに対して光転写法を適用して、リール状樹脂保護層を作製した。(3)その後、リール状樹脂保護層に対しナノ粒子及び第1のマスク層をそれぞれ成膜し、微細パタン形成用積層体(第2の積層体)を作製した。続いて、(4)第2の積層体を使用し、光学基材上にマスクを形成し、得られたマスクを介してドライエッチングを行うことで、表面に凹凸構造を具備した光学基材を作製した。最後に、(5)得られた微細パタンを具備した光学基材を使用し、半導体発光素子を作製した。
(Examples 1-5)
In the following examination, first, (1) a cylindrical master mold was prepared, and (2) a light transfer method was applied to the cylindrical master mold to prepare a reel-shaped resin protective layer. (3) Thereafter, a nanoparticle and a first mask layer were formed on the reel-shaped resin protective layer, respectively, to produce a fine pattern-forming laminate (second laminate). Subsequently, (4) using the second laminate, forming a mask on the optical substrate, and performing dry etching through the obtained mask, an optical substrate having a concavo-convex structure on the surface Produced. Finally, (5) using the obtained optical base material provided with the fine pattern, a semiconductor light emitting device was produced.

(1)円筒状マスターモールドの作製
半導体レーザを用いた直接描画リソグラフィ法により円筒状石英ガラスの表面に、凹凸構造を形成した。まず円筒状石英ガラス表面上に、スパッタリング法によりレジスト層を成膜した。スパッタリング法は、ターゲット(レジスト層)として、φ3インチのCuO(8atm%Si含有)を用いて、RF100Wの電力で実施し、20nmのレジスト層を成膜した。続いて、円筒状石英ガラスを回転させながら、波長405nmn半導体レーザを用い、レジスト層表面を一度露光した。続いて、一度露光されたレジスト層に対し、波長405nmのレーザ光をパルス照射した。次に、露光後のレジスト層を現像した。レジスト層の現像は、0.03wt%のグリシン水溶液を用いて、240sec処理とした。次に、現像したレジスト層をマスクとし、ドライエッチングによるエッチング層(石英ガラス)のエッチングを行った。ドライエッチングは、エッチングガスとしてSFを用い、処理ガス圧1Pa、処理電力300W、処理時間5分の条件で実施した。最後に、表面に凹凸構造が付与された円筒状石英ガラスから、レジスト層残渣のみを、pH1の塩酸を用い剥離した。剥離時間は6分間とした。
(1) Production of cylindrical master mold An uneven structure was formed on the surface of cylindrical quartz glass by a direct drawing lithography method using a semiconductor laser. First, a resist layer was formed on the surface of the cylindrical quartz glass by a sputtering method. The sputtering method was carried out using φ3 inch CuO (containing 8 atm% Si) as a target (resist layer) with a power of RF 100 W to form a 20 nm resist layer. Subsequently, the surface of the resist layer was exposed once using a semiconductor laser having a wavelength of 405 nm while rotating the cylindrical quartz glass. Subsequently, a laser beam having a wavelength of 405 nm was pulse-irradiated to the resist layer once exposed. Next, the resist layer after exposure was developed. The development of the resist layer was performed for 240 seconds using a 0.03 wt% glycine aqueous solution. Next, using the developed resist layer as a mask, the etching layer (quartz glass) was etched by dry etching. Dry etching was performed using SF 6 as an etching gas under the conditions of a processing gas pressure of 1 Pa, a processing power of 300 W, and a processing time of 5 minutes. Finally, only the resist layer residue was peeled off from the cylindrical quartz glass having a concavo-convex structure on its surface using hydrochloric acid having a pH of 1. The peeling time was 6 minutes.

得られた円筒状石英ガラスの凹凸構造に対し、フッ素系離型剤であるデュラサーフHD−1101Z(ダイキン化学工業社製)を塗布し、60℃で1時間加熱後、室温で24時間静置し固定化した。その後、デュラサーフHD−ZV(ダイキン化学工業社製)で3回洗浄し、円筒状マスターモールドを得た。   Durasurf HD-1101Z (produced by Daikin Chemical Industries), which is a fluorine-based mold release agent, is applied to the uneven structure of the obtained cylindrical quartz glass, heated at 60 ° C. for 1 hour, and then allowed to stand at room temperature for 24 hours. And fixed. Then, it wash | cleaned 3 times by Durasurf HD-ZV (made by Daikin Chemical Industries), and the cylindrical master mold was obtained.

(2)リール状樹脂保護層の作製
作製した円筒状マスターモールドを鋳型とし、光ナノインプリント法を適用し、連続的にリール状樹脂保護層G1を作製した。続いて、リール状樹脂保護層G1をテンプレートとして、光ナノインプリント法により、連続的にリール状樹脂保護層G2を得た。
(2) Production of reel-shaped resin protective layer Using the produced cylindrical master mold as a mold, the optical nanoimprint method was applied to continuously produce a reel-shaped resin protective layer G1. Subsequently, a reel-shaped resin protective layer G2 was continuously obtained by an optical nanoimprint method using the reel-shaped resin protective layer G1 as a template.

PETフィルムA−4100(東洋紡社製:幅300mm、厚さ100μm)の易接着面にマイクログラビアコーティング(廉井精機社製)により、塗布膜厚2μmになるように以下に示す材料1を塗布した。次いで、円筒状マスターモールドに対し、材料1が塗布されたPETフィルムをニップロールで押し付け、大気下、温度25℃、湿度60%で、ランプ中心下での積算露光量が1500mJ/cmとなるように、フュージョンUVシステムズ・ジャパン株式会社製UV露光装置(Hバルブ)を用いて紫外線を照射し、連続的に光硬化を実施し、表面に凹凸構造が転写されたリール状樹脂保護層G1(長さ200m、幅300mm)を得た。 The material 1 shown below was applied to the easy-adhesion surface of PET film A-4100 (manufactured by Toyobo Co., Ltd .: width 300 mm, thickness 100 μm) by microgravure coating (manufactured by Yurai Seiki Co., Ltd.) to a coating film thickness of 2 μm. . Next, the PET film coated with the material 1 is pressed against the cylindrical master mold with a nip roll so that the integrated exposure amount under the center of the lamp is 1500 mJ / cm 2 at 25 ° C. and 60% humidity in the air. In addition, a UV-irradiated UV exposure apparatus (H bulb) manufactured by Fusion UV Systems Japan Co., Ltd. was used to irradiate ultraviolet rays, and continuous photocuring was performed. 200 m in width and 300 mm in width).

次に、リール状樹脂保護層G1をテンプレートとして見立て、光ナノインプリント法を適用し連続的に、リール状樹脂保護層G2を作製した。   Next, the reel-shaped resin protective layer G1 was regarded as a template, and the optical nanoimprint method was applied to continuously produce the reel-shaped resin protective layer G2.

PETフィルムA−4100(東洋紡社製:幅300mm、厚さ100μm)の易接着面にマイクログラビアコーティング(廉井精機社製)により、材料1を塗布膜厚2μmになるように塗布した。次いで、リール状樹脂保護層G1の凹凸構造面に対し、材料1が塗布されたPETフィルムをニップロール(0.1MPa)で押し付け、大気下、温度25℃、湿度60%で、ランプ中心下での積算露光量が1200mJ/cmとなるように、フュージョンUVシステムズ・ジャパン株式会社製UV露光装置(Hバルブ)を用いて紫外線を照射し、連続的に光硬化を実施し、表面に凹凸構造が転写されたリール状樹脂保護層G2(長さ200m、幅300mm)を複数得た。
材料1… DACHP:M350:I.184:I.369=17.5g:100g:5.5g:2.0g
Material 1 was applied to an easy-adhesion surface of PET film A-4100 (manufactured by Toyobo Co., Ltd .: width 300 mm, thickness 100 μm) by microgravure coating (manufactured by Yurai Seiki Co., Ltd.) so as to have a coating film thickness of 2 μm. Next, the PET film coated with the material 1 is pressed against the concavo-convex structure surface of the reel-shaped resin protective layer G1 with a nip roll (0.1 MPa), and the atmosphere is at a temperature of 25 ° C. and a humidity of 60% under the center of the lamp. The UV exposure apparatus (H bulb) manufactured by Fusion UV Systems Japan Co., Ltd. is used to irradiate ultraviolet rays so that the integrated exposure amount is 1200 mJ / cm 2, and photocuring is continuously performed. A plurality of transferred reel-shaped resin protective layers G2 (length 200 m, width 300 mm) were obtained.
Material 1 ... DACHP: M350: I. 184: I.D. 369 = 17.5 g: 100 g: 5.5 g: 2.0 g

リール状樹脂保護層G2を切り出し、走査型電子顕微鏡により観察を行った。リール状樹脂保護層G2の凹凸構造は、三角格子の交点位置に複数の凹部設けられた、ホール状構造であった。また、平均ピッチは300nmであり、平均開口径は280nm、平均開口率は79%、平均凹部深さは300nmであった。また、凹部の開口径は、凹部底部の径よりも大きく、凹部側面は傾斜を有していた。更に、凸部頂部と凹部側面部と、は連続的に滑らかにつながった構造であった。   The reel-shaped resin protective layer G2 was cut out and observed with a scanning electron microscope. The uneven structure of the reel-shaped resin protective layer G2 was a hole-shaped structure in which a plurality of recesses were provided at the intersections of the triangular lattice. The average pitch was 300 nm, the average aperture diameter was 280 nm, the average aperture ratio was 79%, and the average recess depth was 300 nm. Moreover, the opening diameter of the recessed part was larger than the diameter of the recessed part bottom part, and the recessed part side surface had the inclination. Furthermore, the convex part top part and the concave part side part were the structures connected smoothly smoothly.

(3)微細パタン形成用積層体(第2の積層体)の作製
リール状樹脂保護層G2の凹凸構造面に対して、下記材料2の希釈液を塗工し、第1の積層体を作製した。続いて、材料2を凹凸構造内部に内包するリール状樹脂保護層G2の凹凸構造面上に、下記材料3の希釈液を塗工し、第2の積層体を得た。
材料2…TTB:3APTMS:SH710:I.184:I.369=65.2g:34.8g:5.0g:1.9g:0.7g
材料3…Bindingpolymer:SR833:SR368:I.184:I.369=77.1g:11.5g:11.5g:1.47g:0.53g
Bindingpolymer…ベンジルメタクリレート80質量%、メタクリル酸20質量%の2元共重合体のメチルエチルケトン溶液(固形分50%、重量平均分子量56000、酸当量430、分散度2.7)
(3) Production of Fine Pattern Formation Laminate (Second Laminate) A diluted liquid of the following material 2 is applied to the concavo-convex structure surface of the reel-shaped resin protective layer G2 to produce a first laminate. did. Subsequently, a dilution liquid of the following material 3 was applied on the concavo-convex structure surface of the reel-shaped resin protective layer G2 enclosing the material 2 in the concavo-convex structure to obtain a second laminate.
Material 2 ... TTB: 3APTMS: SH710: I. 184: I.D. 369 = 65.2 g: 34.8 g: 5.0 g: 1.9 g: 0.7 g
Material 3 ... Binding polymer: SR833: SR368: I.I. 184: I.D. 369 = 77.1 g: 11.5 g: 11.5 g: 1.47 g: 0.53 g
Binding polymer: Methyl ethyl ketone solution of binary copolymer of 80% by mass of benzyl methacrylate and 20% by mass of methacrylic acid (solid content 50%, weight average molecular weight 56000, acid equivalent 430, dispersity 2.7)

(2)リール状樹脂保護層の作製と同様の装置を使用し、PGMEにて希釈した材料2を、リール状樹脂保護層G2の凹凸構造面上に直接塗工した。ここで、希釈濃度は、単位面積当たりの塗工原料(PGMEにて希釈した材料2)中に含まれる固形分量が、単位面積当たりの凹凸構造の体積よりも小さくなるように設定した。塗工に係る装置駆動条件を一定として、希釈溶液の濃度を1重量%〜7重量%の範囲で変化させた。塗工後、95℃の送風乾燥炉内を5分間かけて通過させ、材料2を凹凸構造内部に内包する第1の積層体を巻き取り回収した。   (2) Using a device similar to the production of the reel-shaped resin protective layer, the material 2 diluted with PGME was directly coated on the concavo-convex structure surface of the reel-shaped resin protective layer G2. Here, the dilution concentration was set such that the solid content contained in the coating raw material per unit area (material 2 diluted with PGME) was smaller than the volume of the concavo-convex structure per unit area. The concentration of the diluted solution was changed in the range of 1% by weight to 7% by weight with the apparatus driving conditions for coating being constant. After the coating, it was passed through a 95 ° C. blast drying oven for 5 minutes, and the first laminated body containing the material 2 inside the concavo-convex structure was wound and collected.

第1の積層体を切り出し、走査型電子顕微鏡を用い断面観察を行ったところ、リール状樹脂保護層G2の凹部内部に材料2が充填されている、即ち、リール状樹脂保護層G2の凹部内部にナノ粒子が生成していることが観察された。また、透過型電子顕微鏡とエネルギー分散型X線分光法を併用することで、リール状樹脂保護層G2の凸部頂上にはナノ粒子が生成していないことが確認された。ナノ粒子の大きさは、材料2の希釈濃度により変化し、実施例1〜実施例5にかけて、ナノ粒子の平均高さは、30nm,50nm,100nm,150nm,250nmであった。また、ナノ粒子は、第1の積層体の膜厚方向に互いに重なりあうことはなかった。更に、各ナノ粒子の形状は、リール状樹脂保護層G2側の頂部径がナノ粒子の露出する表面側の径よりも小さい形状であった。   When the first laminate was cut out and cross-sectional observation was performed using a scanning electron microscope, the recess 2 of the reel-shaped resin protective layer G2 was filled with the material 2, that is, the inside of the recess of the reel-shaped resin protective layer G2. It was observed that nanoparticles were formed. Moreover, it was confirmed that no nanoparticles were formed on the top of the convex portion of the reel-shaped resin protective layer G2 by using a transmission electron microscope and energy dispersive X-ray spectroscopy in combination. The size of the nanoparticles changed depending on the dilution concentration of the material 2. From Example 1 to Example 5, the average height of the nanoparticles was 30 nm, 50 nm, 100 nm, 150 nm, and 250 nm. Moreover, the nanoparticles did not overlap each other in the film thickness direction of the first laminate. Further, the shape of each nanoparticle was such that the top diameter on the reel-shaped resin protective layer G2 side was smaller than the diameter on the surface side where the nanoparticle was exposed.

続いて、第1の積層体を巻き出すと共に、ダイコータを使用し、PGME及びMEKにて希釈した材料3を、凹凸構造面上に直接塗工した。希釈濃度は3重量%とした。塗工後、85℃の送風乾燥炉内を5分間かけて通過させ、材料3の表面にカバーフィルムを合わせ、巻き取り回収した。なお、実施例1、実施例3、実施例4の場合、カバーフィルムを使用せず巻き取り回収した。一方、実施例2及び実施例5においては、カバーフィルムの種類及びカバーフィルムを貼合する際の圧力及び温度を制御することで、第2の積層体の第1のマスク層表面の表面粗さRaがことなるサンプルを三種類ずつ作製した。   Subsequently, the first laminate was unwound and the material 3 diluted with PGME and MEK was directly applied onto the concavo-convex structure surface using a die coater. The dilution concentration was 3% by weight. After coating, the film was passed through an air-drying oven at 85 ° C. for 5 minutes, and the cover film was aligned with the surface of the material 3 and wound up and collected. In the case of Example 1, Example 3, and Example 4, the cover film was not used and was wound up and collected. On the other hand, in Example 2 and Example 5, the surface roughness of the 1st mask layer surface of a 2nd laminated body is controlled by controlling the pressure and temperature at the time of bonding the kind of cover film, and a cover film. Three types of samples with different Ra were prepared.

第2の積層体を切断し、透過型電子顕微鏡を用い断面観察を行った。ナノ粒子のリール状樹脂保護層G2に対する配置は、第1の積層体の場合と同様であった。ナノ粒子の第1面側の頂部位置(Spt)と第1のマスク層の表面と、の距離(lor)は、実施例1から実施例5にかけて、370nm、350nm、300nm、250nm、及び150nmであったことから、lor/Pav(平均ピッチ)は、1.23、1.17、1.00、0.83及び0.5であることが確認された。なお、第1のマスク層表面の平均位置は、断面観察像より任意に15点を選択し、それらの平均位置とした。   The 2nd laminated body was cut | disconnected and cross-sectional observation was performed using the transmission electron microscope. The arrangement of the nanoparticles with respect to the reel-shaped resin protective layer G2 was the same as in the case of the first laminate. The distance (lor) between the top position (Spt) on the first surface side of the nanoparticles and the surface of the first mask layer is 370 nm, 350 nm, 300 nm, 250 nm, and 150 nm from Example 1 to Example 5. Thus, it was confirmed that lor / Pav (average pitch) was 1.23, 1.17, 1.00, 0.83, and 0.5. The average position on the surface of the first mask layer was arbitrarily selected from 15 points from the cross-sectional observation image, and was used as the average position.

また、実施例2及び実施例5のサンプルについては、第1のマスク層表面に対して原子間力顕微鏡解析を行い、表面粗さRaを算出した。   For the samples of Example 2 and Example 5, atomic force microscope analysis was performed on the surface of the first mask layer, and the surface roughness Ra was calculated.

(比較例1)
平均1次粒径が25nmの酸化チタン微粒子を、イソプロピルアルコールに加え、60℃に加温した状態にて超音波を30分かけ、分散させた。得られた液をナノ粒子の希釈液として、PETフィルムA−4100(東洋紡社製:幅300mm、厚さ100μm)のPET面にマイクログラビアコーティング(廉井精機社製)により、塗布膜厚2μmになるように塗布した。次いで、85℃の乾燥炉内を5分間かけて通過させ、ナノ粒子を具備するPETリールを得た。
(Comparative Example 1)
Titanium oxide fine particles having an average primary particle size of 25 nm were added to isopropyl alcohol, and the mixture was dispersed by applying ultrasonic waves for 30 minutes while being heated to 60 ° C. The obtained liquid was used as a nanoparticle diluent, and the coating film thickness was 2 μm by microgravure coating (manufactured by Yasui Seiki Co., Ltd.) on the PET surface of PET film A-4100 (Toyobo Co., Ltd .: width 300 mm, thickness 100 μm). It applied so that it might become. Subsequently, the inside of a 85 degreeC drying furnace was passed over 5 minutes, and the PET reel which comprises a nanoparticle was obtained.

次に、ナノ粒子を具備するPETリールのナノ粒子面側に、ダイコータを使用し、PGME及びMEKにて希釈した材料3を直接塗工した。希釈濃度は3重量%とした。塗工後、85℃の送風乾燥炉内を5分間かけて通過させ、材料3の表面にカバーフィルムを合わせ、巻き取り回収した。   Next, the material 3 diluted with PGME and MEK was directly applied to the nanoparticle surface side of the PET reel including the nanoparticles using a die coater. The dilution concentration was 3% by weight. After coating, the film was passed through an air-drying oven at 85 ° C. for 5 minutes, and the cover film was aligned with the surface of the material 3 and wound up and collected.

(比較例2)
材料3に平均1次粒径が25nmの酸化チタン微粒子を加え、60度温度にて超音波を30分かけ、微粒子を分散化した。得られた液を、PETフィルムA−4100(東洋紡社製:幅300mm、厚さ100μm)のPET面にマイクログラビアコーティング(廉井精機社製)により、塗布膜厚2μmになるように塗布した。次いで、85℃の乾燥炉内を5分間かけて通過させ巻き取り回収した。
(Comparative Example 2)
Titanium oxide fine particles having an average primary particle size of 25 nm were added to material 3 and ultrasonic waves were applied for 30 minutes at a temperature of 60 ° C. to disperse the fine particles. The obtained liquid was applied on the PET surface of PET film A-4100 (manufactured by Toyobo Co., Ltd .: width 300 mm, thickness 100 μm) by microgravure coating (manufactured by Yurai Seiki Co., Ltd.) so as to have a coating film thickness of 2 μm. Next, it was passed through a drying furnace at 85 ° C. for 5 minutes and wound up and collected.

以上説明した実施例1〜5及び比較例1、2で作製したサンプルの詳細を表1〜表9にまとめた。   The details of the samples prepared in Examples 1 to 5 and Comparative Examples 1 and 2 described above are summarized in Tables 1 to 9.

表1は、ナノ粒子の平均高さ、ナノ粒子の第1面側の平均端部位置(Spt)と第1面と、の距離(lor)、ナノ粒子の中心面内における平均ピッチ(Pav)、比率(lor/Pav)をまとめた表である。これらの値は、走査型電子顕微鏡を使用した断面観察像より得た。   Table 1 shows the average height of the nanoparticles, the distance (lor) between the average edge position (Spt) on the first surface side of the nanoparticles and the first surface, and the average pitch (Pav) in the central surface of the nanoparticles. The ratio (lor / Pav) is summarized in a table. These values were obtained from cross-sectional observation images using a scanning electron microscope.

表2〜8は、中心面からの距離(表中「距離」と記載する)に応じたナノ粒子の個数(表中「個数」と記載する)の変化を示している。表2中、「中心面」を基準とし第2面方向(保護層方向)にカウントした場合が表中の「中心面より左の列」に記載されている。中心面からの移動距離は「マイナスXnm」とした。一方、「中心面」を基準とし第1面方向(第1のマスク層方向)にカウントした場合が表中の「中心面より右の列」に記載されている。中心面からの移動距離は「プラスXnm」とした。   Tables 2 to 8 show changes in the number of nanoparticles (described as “number” in the table) according to the distance from the center plane (described as “distance” in the table). In Table 2, the case of counting in the second surface direction (protective layer direction) with reference to “center surface” is described in “column to the left of center surface” in the table. The moving distance from the center plane was “minus Xnm”. On the other hand, the case of counting in the first surface direction (first mask layer direction) with reference to the “center surface” is described in “column to the right of the center surface” in the table. The moving distance from the center plane was “plus Xnm”.

表9は、実施例2及び実施例5の第2の積層体に関し、第1のマスク層の表面粗さRa、及び、表面粗さRaと、ナノ粒子の第1面側の平均端部位置(Spt)と第1面との距離(lor)との、比率(Ra/lor)を示した表である。   Table 9 relates to the second laminated body of Example 2 and Example 5, the surface roughness Ra of the first mask layer, the surface roughness Ra, and the average edge position on the first surface side of the nanoparticles It is the table | surface which showed ratio (Ra / lor) of the distance (lor) of (Spt) and the 1st surface.

表9に記載のNo.2a及びNo.5aのサンプルは、第2の積層体2を製造する際に、カバーフィルムを使用せずに作製した場合である。即ち、支持基材であるPETフィルムの凹凸構造とは反対側の面が、第1のマスク層の保護層として機能している場合である。 No.2b及びNo.5bのサンプルは、COPフィルムをカバーフィルムとして使用した場合である。No.2c及び5cのサンプルは、LDPE(低密度ポリエチレン)フィルムをカバーフィルムとして選択した場合である。また、No.2aは第2の積層体2を巻き取る際の温度が24℃の場合である。No.2b及びNo.2cは第2の積層体にカバーフィルムを合わせる際の温度が24℃の場合である。No.5aは第2の積層体を巻き取る際の温度が45℃の場合である。No.5b及びNo.5cは第2の積層体にカバーフィルムを合わせる際の温度が45℃の場合である。   No. in Table 9 2a and no. The sample of 5a is a case where the second laminate 2 is manufactured without using a cover film. That is, this is a case where the surface opposite to the concave-convex structure of the PET film, which is the support substrate, functions as a protective layer for the first mask layer. No. 2b and no. The sample of 5b is a case where a COP film is used as a cover film. No. Samples 2c and 5c are obtained when an LDPE (low density polyethylene) film is selected as the cover film. No. 2a is a case where the temperature at the time of winding up the 2nd laminated body 2 is 24 degreeC. No. 2b and no. 2c is a case where the temperature at the time of matching a cover film with a 2nd laminated body is 24 degreeC. No. 5a is a case where the temperature at the time of winding a 2nd laminated body is 45 degreeC. No. 5b and no. 5c is a case where the temperature at the time of matching a cover film with a 2nd laminated body is 45 degreeC.

(4)試験1:加工性
上記実施例1〜5及び比較例1、2にて作製したサンプルを使用し、加工性を確認した。
(4) Test 1: Workability Using the samples prepared in Examples 1 to 5 and Comparative Examples 1 and 2, workability was confirmed.

被処理体としてサファイア基材を選択した。サファイア基材に対しUV−O3処理を5分間行い、表面のパーティクルを除去すると共に、親水化した。続いて、第2の積層体の第1面(保護層とは反対側の面)を、サファイア基材に対して貼合した。この時、サファイア基材を105℃に加温した状態で貼合した。続いて、高圧水銀灯光源を使用し、積算光量が1200mJ/cmになるように、サファイア基材越しに光照射した。その後、リール状樹脂保護層G2を剥離した。 A sapphire substrate was selected as the workpiece. The sapphire substrate was subjected to UV-O3 treatment for 5 minutes to remove surface particles and to make it hydrophilic. Then, the 1st surface (surface on the opposite side to a protective layer) of the 2nd laminated body was bonded with respect to the sapphire base material. At this time, the sapphire substrate was bonded in a state heated to 105 ° C. Subsequently, using a high-pressure mercury lamp light source, light was irradiated through the sapphire substrate so that the integrated light amount was 1200 mJ / cm 2 . Thereafter, the reel-shaped resin protective layer G2 was peeled off.

得られた積層体に対し酸素ガスを使用したエッチングを行った。酸素エッチンングとしては、圧力1Pa,電力300Wの条件にて行った。   Etching using oxygen gas was performed on the obtained laminate. Oxygen etching was performed under conditions of pressure 1 Pa and power 300 W.

酸素アッシング後のサファイア基材を光学顕微鏡及び原子間力顕微鏡を使用し解析した。解析結果を表10にまとめた。なお、表10に記載の記号の定義は以下の通りである。
「◎」… アッシング後のサファイア基材上に、第2の積層体のナノ粒子の平均ピッチと同様のパタンが形成されており、且つ、パタン100個を任意に選択した際に、欠陥率が5%以下であった場合。
「〇」… アッシング後のサファイア基材上に、第2の積層体のナノ粒子の平均ピッチと同様のパタンが形成されており、且つ、パタン100個を任意に選択した際に、欠陥率が5%超10%以下であった場合。
「△」…アッシング後のサファイア基材上に、第2の積層体のナノ粒子の平均ピッチと同様のパタンが形成されており、且つ、パタン100個を任意に選択した際に、欠陥率が10%超20%以下であった場合。
「×」…アッシング後のサファイア基材上に、第2の積層体のナノ粒子の平均ピッチと同様のパタンが形成されており、且つ、パタン100個を任意に選択した際に、欠陥率が20%超であった場合、或いは、アッシング後のサファイア基材上に、第2の積層体のナノ粒子の平均ピッチと10%以上異なるパタンが形成されていた場合。
The sapphire substrate after oxygen ashing was analyzed using an optical microscope and an atomic force microscope. The analysis results are summarized in Table 10. In addition, the definition of the symbol of Table 10 is as follows.
“◎”: A pattern similar to the average pitch of the nanoparticles of the second laminate is formed on the sapphire substrate after ashing, and when the 100 patterns are arbitrarily selected, the defect rate is When it was 5% or less.
“◯”: On the sapphire substrate after ashing, a pattern similar to the average pitch of the nanoparticles of the second laminate is formed, and when 100 patterns are arbitrarily selected, the defect rate is When it is more than 5% and 10% or less.
“Δ”: A pattern similar to the average pitch of the nanoparticles of the second laminate is formed on the sapphire substrate after ashing, and the defect rate is determined when 100 patterns are arbitrarily selected. When it is more than 10% and 20% or less.
“×”: A pattern similar to the average pitch of the nanoparticles of the second laminated body is formed on the sapphire substrate after ashing, and the defect rate is determined when 100 patterns are arbitrarily selected. When it is more than 20%, or when a pattern different from the average pitch of the nanoparticles of the second laminate by 10% or more is formed on the sapphire substrate after ashing.

まず、実施例1〜5においては、全てのサンプルにおいて、ナノ粒子をマスクとして第1のマスク層をエッチングできていることが確認された。これは、表2〜表5からわかる通り、実施例1〜5の第2の積層体においては、第2の積層体の膜厚方向に互いに重なりあうナノ粒子がないためである。より具体的には、ナノ粒子同士が重なりあう場合、重なり合ったナノ粒子が除去された後に、第1のマスク層のエッチングが開始される。即ち、ナノ粒子の重なりのない部分は先行してエッチングされる。また、実施例1の精度が実施例2〜4の精度に比べ僅かに劣る理由は、保護層の凹凸構造内部に配置されたナノ粒子の形状斑に起因することが確認された。この場合の斑は、1つのナノ粒子を観察した時に、部分的にナノ粒子内に陥没部や貫通口がある状態である。一方、実施例5の精度が実施例2〜4の精度の比べ僅かに劣る理由は、保護層の凹凸構造内部に配置されたナノ粒子の、第1面側の淵の形状の乱れによることが確認された。即ち、保護層を中心にみれば、保護層の凹凸構造の凹部側面部に部分的にナノ粒子が付着生成している状態である。   First, in Examples 1 to 5, it was confirmed that the first mask layer could be etched using nanoparticles as a mask in all samples. This is because, as can be seen from Tables 2 to 5, in the second laminates of Examples 1 to 5, there are no nanoparticles overlapping each other in the film thickness direction of the second laminate. More specifically, when the nanoparticles overlap each other, the etching of the first mask layer is started after the overlapping nanoparticles are removed. That is, the non-overlapping part of the nanoparticles is etched in advance. Moreover, it was confirmed that the reason why the accuracy of Example 1 is slightly inferior to the accuracy of Examples 2 to 4 is due to the uneven shape of the nanoparticles arranged inside the concavo-convex structure of the protective layer. The spot in this case is a state in which, when one nanoparticle is observed, a depressed portion or a through-hole is partially in the nanoparticle. On the other hand, the reason why the accuracy of Example 5 is slightly inferior to the accuracy of Examples 2 to 4 is that the nanoparticles arranged inside the concavo-convex structure of the protective layer are disturbed in the shape of the ridges on the first surface side. confirmed. That is, when the protective layer is viewed from the center, the nanoparticles are partially deposited on the side surface of the concave portion of the concave-convex structure of the protective layer.

次に、比較例1においては、第1のマスク層を殆ど加工できていないことが確認された。これは表7からわかる通り、比較例1においては、ナノ粒子が第2の積層体の膜厚方向に重なり合っているためである。即ち、互いに重なり合うナノ粒子を除去する時間と、ナノ粒子間に存在する第1のマスク層をエッチングする時間と、が大きくことなるため、第1のマスク層がエッチングされた場合であっても第1のマスク層の幹が極端に細くなり倒れが発生する。   Next, in Comparative Example 1, it was confirmed that the first mask layer was hardly processed. As can be seen from Table 7, in Comparative Example 1, the nanoparticles are overlapped in the film thickness direction of the second laminate. That is, since the time for removing the overlapping nanoparticles and the time for etching the first mask layer existing between the nanoparticles are greatly different, the first mask layer is etched even when the first mask layer is etched. The trunk of one mask layer becomes extremely thin and falls down.

比較例2は、第2の積層体に文言を統一すると、ナノ粒子が第1のマスク層内部に分散している状態である。このため、表1のlor/Pavは0となっており、表8からわかるように、ナノ粒子は第2の積層体の膜厚方向に重なり合っている。特に比較例1と異なることは、第1のマスク層内全体にナノ粒子が分散しているため、あるナノ粒子に注目すると、酸素アッシングにより、ナノ粒子の除去、第1のマスク層のエッチング、ナノ粒子の除去、第1のマスク層のエッチングが繰り返されることなる。   In Comparative Example 2, when the wording is unified in the second laminate, the nanoparticles are dispersed in the first mask layer. For this reason, lor / Pav in Table 1 is 0, and as can be seen from Table 8, the nanoparticles overlap in the film thickness direction of the second laminate. In particular, the difference from Comparative Example 1 is that nanoparticles are dispersed throughout the first mask layer. Therefore, when attention is paid to a certain nanoparticle, removal of the nanoparticle, etching of the first mask layer by oxygen ashing, Removal of nanoparticles and etching of the first mask layer are repeated.

以上から、ナノ粒子が中央面から第2の積層体の膜厚方向に減少するように設けられることで、第1のマスク層を加工精度高く加工できることがわかる。また、本実施例においては、lor/Pavは0.50〜1.23の範囲で第1のマスク層の高い加工精度が発現され、中でも0.83〜1.17であると加工精度がより向上することが確認された。   From the above, it can be seen that the first mask layer can be processed with high processing accuracy by providing the nanoparticles so as to decrease in the film thickness direction of the second laminate from the center surface. Further, in this embodiment, high processing accuracy of the first mask layer is expressed when lor / Pav is in the range of 0.50 to 1.23, and the processing accuracy is more preferably 0.83 to 1.17. It was confirmed to improve.

(5)試験2:転写性
表9に記載のサンプルを使用し第1のマスク層の表面粗さRaの影響を調査するために、転写性の確認を行った。
(5) Test 2: Transferability In order to investigate the influence of the surface roughness Ra of the first mask layer using the samples shown in Table 9, transferability was confirmed.

被処理体としてサファアイ基材を選択した。サファイア基材に対しUV−O3処理を5分間行い、表面のパーティクルを除去すると共に、親水化した。続いて、第2の積層体の第1面(保護層とは反対側の面)を、サファイア基材に対して貼合した。この時、サファイア基材を105℃に加温した状態で貼合した。続いて、高圧水銀灯光源を使用し、積算光量が1200mJ/cmになるように、サファイア基材越しに光照射した。その後、リール状樹脂保護層G2を剥離した。結果を表11にまとめた。なお、表11に記載の記号は以下の意味である。
◎… サファイア基材面内において、90%以上の割合にてナノ粒子及び第1のマスク層が転写付与されていた場合。
〇… サファイア基材面内において、70%以上90%未満の割合にてナノ粒子及び第1のマスク層が転写付与されていた場合。
△… サファイア基材面内において、45%以上70%未満の割合にてナノ粒子及び第1のマスク層が転写付与されていた場合。
×… サファイア基材面内におけるナノ粒子及び第1のマスク層の転写割合が、20%以下であった場合。
A safa eye base material was selected as the object to be treated. The sapphire substrate was subjected to UV-O3 treatment for 5 minutes to remove surface particles and to make it hydrophilic. Then, the 1st surface (surface on the opposite side to a protective layer) of the 2nd laminated body was bonded with respect to the sapphire base material. At this time, the sapphire substrate was bonded in a state heated to 105 ° C. Subsequently, using a high-pressure mercury lamp light source, light was irradiated through the sapphire substrate so that the integrated light amount was 1200 mJ / cm 2 . Thereafter, the reel-shaped resin protective layer G2 was peeled off. The results are summarized in Table 11. In addition, the symbol of Table 11 has the following meaning.
A: When the nanoparticles and the first mask layer are transferred and applied at a ratio of 90% or more in the sapphire substrate surface.
O: When the nanoparticles and the first mask layer are transferred and applied at a ratio of 70% or more and less than 90% in the sapphire substrate surface.
Δ: When the nanoparticles and the first mask layer are transferred and applied at a ratio of 45% or more and less than 70% within the surface of the sapphire substrate.
X: When the transfer ratio of the nanoparticles and the first mask layer in the sapphire substrate surface is 20% or less.

以上から、Ra/lorは小さい程転写精度が向上することがわかる。これは、Ra/lorが小さいことは、第1のマスク層の貼合時の流動性が向上することと、貼合時に大気環境の逃げ道ができることによると考えられる。特に第1のマスク層の表面粗さRaが40nm以下であることにより、転写性はより向上し、20nm以下であることによりサファイア基材のほぼ全面にナノ粒子及び第1のマスク層を転写付与できることが確認された。   From the above, it can be seen that as Ra / lor is smaller, the transfer accuracy is improved. This is probably because Ra / lor is small because the fluidity at the time of bonding of the first mask layer is improved and the air environment escapes at the time of bonding. In particular, when the surface roughness Ra of the first mask layer is 40 nm or less, the transferability is further improved, and when it is 20 nm or less, the nanoparticles and the first mask layer are transferred onto almost the entire surface of the sapphire substrate. It was confirmed that it was possible.

(6)サファイアの加工
最後に、サファイア基材をナノ加工できるかを確認した。使用した第2の積層体は、実施例1,2a,3,4,5aである。使用方法は全て同様にした。まず、(4)試験1: 加工性と同様に、アッシング処理まで行い、ナノ粒子及び第1のマスク層からなる高いアスペクト比を有す微細マスクパタンを被処理体上に形成した。
(6) Processing of sapphire Finally, it was confirmed whether the sapphire substrate could be nano-processed. The 2nd laminated body used is Example 1, 2a, 3, 4, 5a. All the usage methods were the same. First, (4) Test 1: Similar to workability, ashing was performed, and a fine mask pattern having a high aspect ratio composed of nanoparticles and the first mask layer was formed on the object to be processed.

続いて、材料2(ナノ粒子)面側からBCl3ガスを使用した反応性イオンエッチングを行い、サファイアをナノ加工した。エッチングは、ICP:150W、BIAS:50W、圧力0.2Paにて実施し、反応性イオンエッチング装置(RIE−101iPH、サムコ株式会社製)を使用した。   Subsequently, reactive ion etching using BCl 3 gas was performed from the material 2 (nanoparticle) surface side to nano-process sapphire. Etching was performed at ICP: 150 W, BIAS: 50 W, and pressure 0.2 Pa, and a reactive ion etching apparatus (RIE-101iPH, manufactured by Samco Corporation) was used.

最後に、硫酸及び過酸化水素水を2:1の重量比にて混合した溶液にて洗浄し、凹凸構造を表面に具備するサファイア基材を得た。   Finally, it was washed with a solution in which sulfuric acid and hydrogen peroxide solution were mixed at a weight ratio of 2: 1 to obtain a sapphire substrate having a concavo-convex structure on the surface.

サファイア基材上には複数のほぼ円錐状凸部が互いに離間し配列していることが観察された。また、凹部底部に平坦面が作製できていることも確認できた。また、輪郭形状は真円ではなくわずかに歪んでいることが確認された。更に、ほぼ円錐状凸部の凸部側面の傾斜角度は二段階に変化していた。   It was observed that a plurality of substantially conical convex portions were arranged apart from each other on the sapphire substrate. It was also confirmed that a flat surface was formed at the bottom of the recess. Moreover, it was confirmed that the contour shape is not a perfect circle but slightly distorted. Furthermore, the inclination angle of the convex side surface of the substantially conical convex portion changed in two stages.

特に、実施例1,2a,3,4,5aの順に、サファイア基材上の微細パタンの凸部底部の径が大きくなっていることが確認された。これは、第2の積層体のナノ粒子の第1のマスク層側の径が、実施例1,2,3,4,5aの順に大きくなっているためであり、ナノ粒子の転写精度、第1のマスク層の加工精度、及び被処理体の加工精度が高いことを意味している。   In particular, it was confirmed that the diameter of the convex bottom portion of the fine pattern on the sapphire substrate was increased in the order of Examples 1, 2a, 3, 4, 5a. This is because the diameter of the nanoparticles of the second laminate on the first mask layer side increases in the order of Examples 1, 2, 3, 4, and 5a. This means that the processing accuracy of the mask layer 1 and the processing accuracy of the object to be processed are high.

本発明は、高アスペクト比の微細パタンを所望の被処理体に形成するために、残膜の薄い又は残膜の無い微細パタンを容易に形成できる微細パタン形成用積層体を実現できるという効果を有し、特に、半導体発光素子用の光学部材及びその製造方法として好適に適用できる。また、得られた微細パタンは、撥水性フィルム、親水性フィルム、粘着テープとして用いることが可能である。   The present invention has the effect of realizing a laminate for forming a fine pattern that can easily form a fine pattern with a thin residual film or no residual film in order to form a fine pattern with a high aspect ratio on a desired object. In particular, it can be suitably applied as an optical member for a semiconductor light emitting device and a method for producing the same. Moreover, the obtained fine pattern can be used as a water-repellent film, a hydrophilic film, and an adhesive tape.

1 第1の積層体(第1の微細パタン形成用積層体)
2 第2の積層体(第2の微細パタン形成用積層体)
10,101 保護層
11,101a 凹凸構造
12,102 ナノ粒子
13,103 第1のマスク層
20,200 被処理体
22,220 微細パタン
100 支持基材
1 1st laminated body (1st laminated body for fine pattern formation)
2 2nd laminated body (2nd laminated body for fine pattern formation)
DESCRIPTION OF SYMBOLS 10,101 Protective layer 11,101a Uneven structure 12,102 Nanoparticle 13,103 1st mask layer 20,200 To-be-processed object 22,220 Fine pattern 100 Support base material

Claims (8)

互いに略平行な第1面及び第2面を有し、被処理体に、前記第1面側に設けられた第1のマスク層を介して微細パタンを形成するために用いられる微細パタン形成用積層体であって、
保護層と、前記保護層上に設けられた前記第1のマスク層の加工時にマスクとして機能する複数のナノ粒子と、前記複数のナノ粒子を覆うように設けられた前記第1のマスク層と、を具備し、
前記ナノ粒子は、前記第1面及び前記第2面に略平行な面を横切る前記ナノ粒子の数が最大となる仮想面を中心面とした場合に、前記中心面に略平行な仮想面を横切る前記ナノ粒子の数が、前記中心面から離れるにつれて減少するように配置されていることを特徴とする微細パタン形成用積層体。
For forming a fine pattern, which has a first surface and a second surface that are substantially parallel to each other, and is used to form a fine pattern on an object to be processed via a first mask layer provided on the first surface side. A laminate,
A protective layer; a plurality of nanoparticles functioning as a mask during processing of the first mask layer provided on the protective layer; and the first mask layer provided to cover the plurality of nanoparticles; , And
The nanoparticle has a virtual plane substantially parallel to the central plane when the virtual plane having the maximum number of nanoparticles crossing the plane substantially parallel to the first plane and the second plane is a central plane. A laminate for forming a fine pattern, wherein the number of the nanoparticles traversing is arranged so as to decrease with increasing distance from the center plane.
前記複数のナノ粒子の前記中心面内における平均ピッチ(Pav)と、前記複数のナノ粒子の前記第1面側の平均端部位置(Spt)と前記第1面との間の距離(lor)と、の比率(lor/Pav)が下記式(1)を満たすことを特徴とする請求項1記載の微細パタン形成用積層体。
式(1)
0.05≦lor/Pav≦10
The average pitch (Pav) in the central plane of the plurality of nanoparticles, and the distance (lor) between the average end position (Spt) on the first surface side of the plurality of nanoparticles and the first surface And the ratio (lor / Pav) satisfies the following formula (1): The laminate for forming a fine pattern according to claim 1.
Formula (1)
0.05 ≦ lor / Pav ≦ 10
ドライエッチングによる前記ナノ粒子のエッチングレート(Vm1)と前記第1のマスク層のエッチングレート(Vo1)との比率(Vo1/Vm1)が、下記式(2)を満たすことを特徴とする請求項2記載の微細パタン形成用積層体。
式(2)
3≦Vo1/Vm1
The ratio (Vo1 / Vm1) between the etching rate (Vm1) of the nanoparticles by dry etching and the etching rate (Vo1) of the first mask layer satisfies the following formula (2): A laminate for forming a fine pattern as described.
Formula (2)
3 ≦ Vo1 / Vm1
ドライエッチングによる前記被処理体のエッチングレート(Vi2)と前記第1のマスク層のエッチングレート(Vo2)との比率(Vo2/Vi2)が、下記式(3)を満たすことを特徴とする請求項3記載の微細パタン形成用積層体。
式(3)
Vo2/Vi2≦3
The ratio (Vo2 / Vi2) between the etching rate (Vi2) of the object to be processed by dry etching and the etching rate (Vo2) of the first mask layer satisfies the following formula (3): 3. A laminate for forming a fine pattern according to 3.
Formula (3)
Vo2 / Vi2 ≦ 3
前記複数のナノ粒子の形状が非点対称であり、前記中心面に対する垂直方向の断面視において、前記中心面に平行な方向の線分に対して非線対称であり、且つ、前記中心面に対する垂直方向の線分に対して線対称であることを特徴とする請求項1から請求項4のいずれかに記載の微細パタン形成用積層体。   The shapes of the plurality of nanoparticles are asymmetric with respect to the center plane, and are non-axisymmetric with respect to a line segment in a direction parallel to the center plane in a cross-sectional view perpendicular to the center plane. The laminate for forming a fine pattern according to any one of claims 1 to 4, wherein the laminate is line symmetric with respect to a line segment in a vertical direction. 前記保護層は表面に凹凸構造を具備し、前記複数のナノ粒子は前記凹凸構造の凹部内部に配置されることを特徴とする請求項5記載の微細パタン形成用積層体。   The laminate for forming a fine pattern according to claim 5, wherein the protective layer has a concavo-convex structure on a surface thereof, and the plurality of nanoparticles are disposed inside the concave portion of the concavo-convex structure. 前記第1のマスク層上に更に被処理体を設けることを特徴とする請求項1から請求項6のいずれかに記載の微細パタン形成用積層体。   The laminate for forming a fine pattern according to any one of claims 1 to 6, wherein an object to be processed is further provided on the first mask layer. 前記被処理体が、サファイア、シリコン、ITO、ZnO、SiC、窒化物半導体又はスピネルのいずれかであることを特徴とする請求項1から請求項7のいずれかに記載の微細パタン形成用積層体。   The laminate for forming a fine pattern according to any one of claims 1 to 7, wherein the object to be processed is any one of sapphire, silicon, ITO, ZnO, SiC, a nitride semiconductor, or spinel. .
JP2012280224A 2012-12-21 2012-12-21 Laminate for fine pattern formation Active JP6132545B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2012280224A JP6132545B2 (en) 2012-12-21 2012-12-21 Laminate for fine pattern formation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012280224A JP6132545B2 (en) 2012-12-21 2012-12-21 Laminate for fine pattern formation

Publications (2)

Publication Number Publication Date
JP2014123684A true JP2014123684A (en) 2014-07-03
JP6132545B2 JP6132545B2 (en) 2017-05-24

Family

ID=51403941

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012280224A Active JP6132545B2 (en) 2012-12-21 2012-12-21 Laminate for fine pattern formation

Country Status (1)

Country Link
JP (1) JP6132545B2 (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006164365A (en) * 2004-12-06 2006-06-22 Tdk Corp Resin mask layer forming method, information recording medium manufacturing method, and resin mask layer forming apparatus
JP2011066273A (en) * 2009-09-18 2011-03-31 Konica Minolta Holdings Inc Method of forming fine mask pattern, nanoimprint lithography method, and method of manufacturing microstructure
JP2011129718A (en) * 2009-12-17 2011-06-30 Showa Denko Kk Substrate, template substrate, semiconductor light emitting element, semiconductor light emitting element producing method, illumination device using semiconductor light emitting element, and electronic device
WO2012077738A1 (en) * 2010-12-09 2012-06-14 旭化成株式会社 Fine-structure laminate, method for preparing fine-structure laminate, and production method for fine-structure laminate
US20120161600A1 (en) * 2008-11-25 2012-06-28 Norris David J Replication of patterned thin-film structures for use in plasmonics and metamaterials
WO2012176728A1 (en) * 2011-06-23 2012-12-27 旭化成株式会社 Laminate for forming fine pattern, and method for producing laminate for forming fine pattern

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006164365A (en) * 2004-12-06 2006-06-22 Tdk Corp Resin mask layer forming method, information recording medium manufacturing method, and resin mask layer forming apparatus
US20120161600A1 (en) * 2008-11-25 2012-06-28 Norris David J Replication of patterned thin-film structures for use in plasmonics and metamaterials
JP2011066273A (en) * 2009-09-18 2011-03-31 Konica Minolta Holdings Inc Method of forming fine mask pattern, nanoimprint lithography method, and method of manufacturing microstructure
JP2011129718A (en) * 2009-12-17 2011-06-30 Showa Denko Kk Substrate, template substrate, semiconductor light emitting element, semiconductor light emitting element producing method, illumination device using semiconductor light emitting element, and electronic device
WO2012077738A1 (en) * 2010-12-09 2012-06-14 旭化成株式会社 Fine-structure laminate, method for preparing fine-structure laminate, and production method for fine-structure laminate
WO2012176728A1 (en) * 2011-06-23 2012-12-27 旭化成株式会社 Laminate for forming fine pattern, and method for producing laminate for forming fine pattern

Also Published As

Publication number Publication date
JP6132545B2 (en) 2017-05-24

Similar Documents

Publication Publication Date Title
JP5243672B1 (en) Fine pattern forming laminate and method for producing fine pattern forming laminate
JP6339727B2 (en) Method for producing resist laminate
JP5597263B2 (en) Fine structure laminate, method for producing fine structure laminate, and method for producing fine structure
JP6220704B2 (en) Function transfer body, function layer transfer method, package, and function transfer film roll
JP6171089B2 (en) Function transfer body and function transfer film roll
JP6276014B2 (en) Fine pattern forming laminate, fine pattern forming film roll, and method of conveying fine pattern forming laminate
JP6324049B2 (en) Functional transfer body and functional layer transfer method
JP6307258B2 (en) Laminate for fine pattern formation
JP2015114464A (en) Function transfer body, and method of transferring functional layer
JP6307257B2 (en) Functional transfer body and functional layer transfer method
JP6132545B2 (en) Laminate for fine pattern formation
JP6324050B2 (en) Functional transfer body and functional layer transfer method
JP6324048B2 (en) Functional transfer body, method of transferring functional layer, solar cell and method of manufacturing the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151016

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20160413

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160516

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160906

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161104

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170404

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170418

R150 Certificate of patent or registration of utility model

Ref document number: 6132545

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S801 Written request for registration of abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311801

ABAN Cancellation of abandonment
R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350