JP2014110047A - 電子回路シミュレーションのための方法及び装置 - Google Patents

電子回路シミュレーションのための方法及び装置 Download PDF

Info

Publication number
JP2014110047A
JP2014110047A JP2013233644A JP2013233644A JP2014110047A JP 2014110047 A JP2014110047 A JP 2014110047A JP 2013233644 A JP2013233644 A JP 2013233644A JP 2013233644 A JP2013233644 A JP 2013233644A JP 2014110047 A JP2014110047 A JP 2014110047A
Authority
JP
Japan
Prior art keywords
raw data
processor
mathematical model
analysis
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013233644A
Other languages
English (en)
Inventor
Can Wang
キャン・ワン
Donald J Mccune
ドナルド・ジェイ・マッキューン
Phatak Sujit
スジット・ファタク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of JP2014110047A publication Critical patent/JP2014110047A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

【課題】許容可能な誤差を有する数学モデルを短時間で簡便に見つけることのできる電子回路シミュレーションのための方法及び装置を提供する。
【解決手段】本発明による電子回路シミュレーションのための方法及び装置は、プログラムされたプロセッサを使用する。シミュレーションでは、独立変数と従属変数の両方を含む未加工データが得られる。未加工データは、独立変数と従属変数との間の関係を生成する分析法を使用して、分析される。これらの関係から数学モデルが作成され、数学モデルの作成は、少なくとも2つの異なる分析法に対して繰り返される。未加工データと計算した従属変数との間の統計誤差が算出され、十分な標本サイズを持ち最小の統計誤差を有する分析法が選択される。
【選択図】図2

Description

本発明は、電子回路の分析のための方法及び装置に関する。
電子回路を含むシステムが次第に複雑になるにつれて、幅広い範囲の操作条件にわたって満足できる性能を保証するための電子回路の適切な試験が、次第に問題を含むようになった。例えば、現在の自動車は、車両の全体操作を制御する電子回路を多く含む。これらの電子回路は、電子回路のいくつもの異なる操作の条件と変動のもとで試験をしなければならない。例えば、電子回路内の大部分の部品は、部品の値が既知の製作公差又は既知の分散を有する。このような回路を完全に試験するためには、回路を形成する電子部品の値の変動を調整し、必要であれば補正する必要がある。
以前は、回路が少なくとも1つの入力又は独立変数と、少なくとも1つの出力又は従属変数とを有するように、システムの回路のプロトタイプを製造していた。未加工データは、入力又は独立変数の値を変化させて従属変数に対する影響を測定することによって、プロトタイプから得られる。この未加工データは、独立変数の異なる値の範囲にわたって、既知の入力又は独立変数、及び出力又は従属変数により、データセットを形成する。
試験中の回路から未加工データセットを得るときに、多種多様な環境条件のもとで回路の独立変数と従属変数を測定することは、以前から知られている。例えば、温度が環境変数の1つであると推測される場合、回路試験は、出力又は従属変数に対する温度差の影響があれば、それを測定するために様々な温度で実行される。電子ノイズのような他の環境変数も、回路全体の操作に対する環境因子の影響を判定するための回路試験下で、変動させてもよい。
未加工データは人間及び試験測定によって得られるので、未加工データには、試験中のヒューマンエラーによって引き起こされる幾らかの誤差がほぼ常に含まれる。従って、明らかに誤ったデータ値を取り除くための前処理を未加工データに行うことがよくある。しかし、前処理ステップは、任意に実行されるが、前処理ステップの終わりに実行されると、有効な未加工データのみが未加工データセットに残る。
無効なデータが未加工データセットから取り除かれた後、未加工データは、多くの場合、その後の分析のために体系化される。例えば、任意であるが、未加工データセットは、その後のデータ分析を容易にするために、データ平均化と低域フィルタリングの一方又は両方によって体系化できる。しかし、最終的には、未加工データセット中の残りの未加工データは、コンピュータアクセス可能なファイルに文書として記憶される。例えば、データ分析に備えて、未加工データセットがコンピュータ又はプロセッサへ容易に入力できるように未加工データセットを体系化するために、スプレッドシートが使用されることが多い。
未加工データは、任意に前処理され、データ分析の準備が整う。データ分析には、多くの種類、例えば、分散分析、t検定、最悪例分析、回帰分析等があり、これらはデータに対して実行される。各データ分析法は、電子回路の独立変数と従属変数との間に、その分析法独自の関係セットを生成し、独立変数と従属変数の間のこれらの関係は、それぞれ独自の数学モデルを持つ各データ分析法とともに、数学モデルを形成する。数学モデルの精度は、数学モデルに未加工データセットからの独立変数を入力し、数学モデルからの従属変数又は出力を未加工データセットの実際の従属変数と比較することによって、求められる。数学モデルから計算したデータ値と未加工データからの実際の値との差は、誤差となり、計算データ値と未加工データとの間のこの誤差の分析は、選択したデータ分析法の精度を求めるために統計的に分析される。
ある状況では、数学モデルの統計誤差は、十分な標本サイズがある場合には、十分に正確であり、数学モデルが電子回路の更なる試験のために使用できる。しかし、他の場合には、選択した分析法は、計算した従属変数と未加工データから測定した変数との間に許容できない誤差を生成する。このような誤差が生成すると、計算データと未加工データとの間に許容可能な誤差を有する数学モデルが見つかるまで、異なる分析法を選択し、この異なる分析法を未加工データに適用する必要がある。しかし、その手順は、時間がかかるうえに、煩雑でもある。
本発明は、上述した既知の方法が持つ課題を解決する、プログラムされたプロセッサを使用する電子回路シミュレーションのための方法及び装置を提供する。
要約すると、本発明の方法では、最初に、未加工データが、独立又は入力変数、及び従属又は出力変数の未加工データセットを形成するように、回路から集められる。未加工データセットは、該当する場合には、電子回路が通常の動作中にさらされる様々な環境条件、例えば様々な温度やランダムな電気雑音等、のもとで取り出される。
任意であるが、未加工データは、不正確なデータを取り除くために前処理される。データ平均化及び低域フィルタリングのような、従来の前処理法が使用できる。結果として生じる未加工データは、前処理されてもされなくても、次に、スプレッドシートのようなコンピュータ可読ファイルに体系化される。
回路シミュレーションのための既知の方法と異なり、未加工データは、回路の独立変数と従属変数との間の関係を生成するために、少なくとも2つ、好ましくはそれより多くのデータ分析法を使用して分析される。これらのデータ分析法としては、ANOVA(分散分析)分析法、分析のt検定法、最悪例分析等を例示できる。いずれの場合にも、分析法は、電子回路の独立変数と従属変数との間に、その分析法独自の関係を生成する。
独立変数と従属変数との間の関係を使用して、各分析法は、独立変数と従属変数との間の関係を定義する数学モデルを構築する。数学モデルは、分析法毎に異なり、例えば、一次方程式、二次方程式、高次方程式、及び微分方程式等を含むことができる。
各分析法に対して数学モデルが構築された後、各モデルの精度は、統計的に求められる。各モデルの精度は、未加工データセット中の同じ独立変数セットを利用し、数学モデルの関数として従属変数を計算することによって、求められる。数学モデル中の従属変数の計算値が未加工データセット中の実際のデータと同一である場合は滅多になく、同一でない場合は、未加工データと数学モデルの両方における独立変数の種々の値について、従属変数の計算データと従属変数の実際の未加工データとの間に誤差が存在する。
正確に表すために、モデルに対して十分な数のデータ数が存在すると仮定すると、数学モデルの精度は、標準的な統計技術を使用して求められる。例えば、各モデルの標準偏差は、数学モデルが回路応用の最小精度要件を確実に満たすようにして求められる。
様々な分析法に関する様々な数学モデルの精度は、比較され、統計精度が最も高い数学モデルは、その数学モデルの標本サイズが統計的信頼性の最小要件を満たせば、プログラムされたプロセッサによって選択される。
従来の数学的方法は、分析法と分析法によって得られ統計精度が最も高い数学モデルとを選択するために利用できるが、この選択のためにファジー理論を用いてもよい。最も正確な数学モデル及び分析法を選択するための推論の論理は、好ましくは、分析法の精度及び必要とされる標本サイズについての既知のデータを含む専門知識を蓄積したデータベースを利用できる。
シミュレーション精度が最も高い数学モデルと分析法を選択した後、電子回路のシミュレーションモデルを構築するのが好ましい。シミュレートした回路を構築し、更なる回路試験を容易にするために、Spice、PSpice、Saber等のような任意の従来の方法を使用できる。
添付した図面と併せて以下の詳細な説明を参照すると、本発明をより良く理解できる。以下の図面において、同じ符号は、同じ部分を指す。
本発明の方法の好ましい実施形態を説明するプロセス図である。 プログラムされたプロセッサにより実行される本発明の方法を説明するフローチャートである。 本発明の好ましい方法の一部を説明するフローチャートである。 本発明の推論モジュールの方法フローチャートである。 誤差と標準偏差の統計グラフである。 誤差と標準偏差の関係を示す表である。 ファジー理論を使用する論理決定エンジンを説明する方法フローチャートである。 本発明の方法を実装するための回路の概要図である。
図1を参照して、回路シミュレーションのモデリング処理中に行うステップの概要を説明する。ステップ20で、電子回路がまず構築される。通常は、プロトタイプを構築することによって、電子回路が構築される。この電子回路は、更に、少なくとも1つの独立変数又は入力と、少なくとも1つの従属変数又は出力とを含む。従って、この回路は、独立変数が値を変えると、従属変数の出力を繰り返して変える機能を持つ。
ここで図1及び図2を参照する。図2は、本発明の好ましい実施形態による、プログラムされたプロセッサにより実行されるプログラムのフローチャートを説明する図である。ステップ20で回路が構築された後、ステップ20からステップ22に進む。ステップ22では、ステップ20で構築された回路から未加工データを得る。未加工データを得るために、技術者は、回路の入力値を変化させて、回路の出力値を測定する。ステップ22では、複数の異なる入力が回路に入力され、異なる入力の各セットに対して、回路からの出力が測定され記録される。一般的には、ステップ22でのデータ記録は、技術者により手動で行われる。
ステップ22で入力値を単に変化させることに加えて、回路の性能は、環境条件の関数として変化することもある。例えば、回路の温度や電子ノイズの存在等が、回路の性能を変動させ得る。従って、ステップ22で未加工データを収集して未加工データセットにすることは、様々な環境条件下で行われることがあり、これらの様々な環境条件は、環境条件(例えば温度)を持つ未加工データセットの一部にもなる。環境条件は、電子回路の個別の「入力」又は独立変数を構成する。
ステップ22で未加工データが手動で収集された後、ステップ22からステップ24に進む。ステップ24では、任意であるが、誤ったデータを取り除くための前処理が未加工データに行われる。未加工データは、ステップ22において手動で収集されたので、何かしらのヒューマンエラーを必然的に含む。任意の前処理ステップ24は、そのヒューマンエラーを除去するか、少なくとも減らすために実行される。
従来の前処理方法を使用すると、未加工データが有効なデータであることを確実にできる。例えば、無効なデータを示すデータ異常を取り除くために、データ平均化又は低域フィルタリングを未加工データに適用できる。しかし、前処理ステップ24が実行された場合、ステップ24の後に残っているデータは、なおも未加工データのままである。そこで、ステップ24からステップ26に進み、ステップ26で未加工データが分析される。
図2を参照すると、すぐに明らかになるように、未加工データは、少なくとも2つ、好ましくはそれより多くの異なる分析法を用いて分析される。これらの分析の例として、ANOVA分析、t検定分析、最悪例分析等が挙げられる。
更に具体的には、ステップ28で、第1分析法、例えばANOVAが未加工データに対して行われる。従来の分析ツールを使用する分析の機能として、第1分析法は、ステップ30でその結果を生成する。ステップ30で生成した結果は、更に、電子回路の入力又は独立変数と出力又は従属変数との数学的関係からなる。これらの数式は、一次、二次、又は更に高次の方程式、指数方程式、及び微分方程式等のような、種々の異なる関数形を取ることができる。ステップ30で生成した結果は、プロセッサによって、コンピュータメモリ又は他の記憶媒体に記憶される。次に、ステップ30からステップ32に進む。
ステップ32で、未加工データは、様々な分析法を用いて再度分析される。異なる分析法を用いて、ステップ32からステップ34に進み、ステップ34で第2分析法が、回路の入力又は独立変数と出力又は従属変数との数学的関係を生成する。前と同じように、これらの関係は、一次、二次、又は更に高次の方程式、指数方程式、及び微分方程式等のような、いかなる関数形であってもよい。
上記のプロセス、すなわち異なる分析法による未加工データの分析と、回路の入力と出力との数学的関係の生成は、ステップ36、38での第N分析法まで実行される。
同じ未加工データに対して全ての分析法が適用され、各分析法が入力及び出力の数学的関係の分析法独自のセットを生成したら、図1と図2に示すように、データ分析ステップ26からステップ40に進む。
ステップ40で、各々の異なる数学モデルに対する従属変数と独立変数の数学的関係は、数学モデルを作成するために分類される。1つの数学モデルは、各々の異なる分析法と関連する。各々の異なる数学モデルに対して、異なる数学的関係又は方程式の数は異なる。しかし、理想的には、各数学モデルは、最小の誤差のみを有する回路の入力又は独立変数の関数として、出力又は従属変数の値を予測できる。数学モデルの誤差の計算を説明するフローチャートの例を、図3に示す。
図3に示すように、ステップ28で実行され、ステップ30で分析法独自の数学的関係を生成し、ステップ40で分析法独自の数学モデルを生成した第1分析法に対して、ステップ42では、回路への未加工データの入力を、数学モデルの独立変数と関連付ける。次に、ステップ42からステップ44に進む。
ステップ44では、未加工データの入力値とステップ28、30の分析法(図2)に対応する数学モデルの数式とを使用して、従属変数の値を算出又は計算する。次に、ステップ44からステップ46に進む。ステップ46では、数学モデルを使用して計算した変数と、未加工データの出力又は従属変数との誤差が、2つの値の差の絶対値として計算される。次に、ステップ46からステップ48に進む。
ステップ48で、プロセッサは、未加工データセットの全ての入力が、第1分析法で分析されたか否かを判定する。分析されていない場合は、ステップ48からステップ42に戻り、ステップ42で、上記の分析が、未加工データセットの次のデータの入力に対して繰り返される。分析された場合は、ステップ48からステップ50に進む。ステップ50で、プログラムは、全ての異なる分析法が、すなわち第1分析法から第N分析法までが分析され、数学モデルの従属変数と、未加工データセット中の未加工データからの出力との誤差を計算したか否かを判定する。分析されていない場合は、ステップ50からステップ42に戻り、次の分析法に対して上述のプロセスを繰り返す。全ての分析法が分析され、プロセッサによって誤差が求められて記憶された場合は、ステップ50は、ステップ52に進む。ステップ52は、試験中の特定の回路に対して最良の分析法を選択する推論モジュールである。
図4に示すように、推論モジュールのステップ52は、対象としている特定の回路にいずれの分析法が最適であるかを最終的に判断し、専門知識を蓄積したデータベース54と、論理決定エンジン56とを含む。データベース54は、例えばステップ26(図2)で行われる種々の分析法の標本サイズの妥当性を含む。専門知識を蓄積したデータベースは、過去のプロジェクトで得られたモデリングの経験に関するデータを含んでもよい。
論理決定エンジン56において、論理決定エンジン56によって考慮される主要因は、所与の入力又は独立変数のセットに対する、未加工データの出力値と数学モデルからの計算値と間の誤差P(x)である。例えば、図5に示すように、統計誤差P(x)は、標準偏差σの関数として示される。統計において周知のように、標準偏差σが大きいほど、結果中の誤差は少ない。
図6に、シグマ(σ)と百万分率との関係を示す表の一例を示す。6シグマは、ほとんどの数学モデルで得ることが困難であるが、百万分の0.002未満の誤差を示す。3シグマすなわち標準偏差の3倍は、99.7%の精度を示し、自動車用回路のような多くの用途で許容可能の精度である。
全ての分析法の精度が算出された後、論理決定エンジン56は、最大100%のインデックスを各方法に割り当てる。100%のインデックスは、最も正確な数学モデルに与えられ、その逆も同様である。しかし、これらのインデックス数は、十分な標本数がその特定の分析法に利用できることを確実にするために、専門知識を蓄積したデータベース54からの入力にも依存する。そうでない場合、非常に低いインデックスが、その特定の分析法に関連付けられる。
従来の統計分析は、様々な分析法のどれが最も正確であるかを判断するために用いられるが、論理決定エンジン56は、最も正確な分析法の判断においてファジー理論を用いることができる。更に具体的には、数学モデルからのデータは、ステップ60で論理決定エンジン56によって入力される。次に、ステップ60からステップ62に進む。
図7に示すように、ステップ62で、専門知識を蓄積したデータベース54から、ファジー理論規則が得られる。ファジー規則のこの範囲は、ファジーデータ形式でのデータの範囲を含む。次に、ステップ62からステップ64に進む。
ステップ64で、プロセッサは、ステップ62で判断したファジー規則を使用して、データに対してファジー推論を作成する。次に、ステップ64からステップ66に進む。
ステップ66で、プロセッサは、ステップ64でのファジー推論による処理の後、データに対する非ファジー化を行う。非ファジー化を行うために、任意の方法を使用できる。例えば、面積の重心、最大値、面積の二等分線、最大値平均、最大値の最小、最大値の最大は全て、異なる非ファジー化方法であり、クリスプ出力を得るために使用される。本発明では、非ファジー化を行うためにこれらの方法のいずれかを使用でき、好ましくは、専門知識を蓄積したデータベース54は、数学モデルの最適な精度を得るために、どの非ファジー化方法を使用すべきかの判断を含む。
図1に示すように、上述の分析法及びプログラムされたプロセッサによる最適な方法の自動選択に続き、ステップ40からステップ70に進む。ステップ70では、回路の数学モデルが、Spice又はPSpiceのような従来の回路シミュレーションツールを使用して、構築される。シミュレートされた電子回路は、回路全体の更なる試験及び分析のために使用される。
図8に、本発明の方法を実装可能なハードウェア構成の一例の概略図を示す。回路は、プロセッサ(CPU)100を備え、CPU100は、任意の従来の構造をとることができる。従来のものと同様に、CPU100は、入力データを受信し、このデータをコンピュータプログラムの制御下で操作する。
図8に示すように、プログラムメモリのブロック102は、CPU100によって実行されるコンピュータプログラム又はコンピュータコードを含む。その上、記憶メモリのブロック104は、双方向バス106を介してCPU100と通信し、記憶用データを受信するとともに、以前に記憶したデータをCPU100に提供して、コンピュータプログラムを実行する時にCPU100が使用できるようにする。
1つ又は複数の入力装置108も、CPU100と通信し、回路からの未加工データのような必要な入力データをCPU100に提供する。一般に、CPU100は、後で必要な時に使うために、この未加工データ、及び記憶メモリのブロック104中の他の入力データを記憶する。更に、入力装置108は、キーボードのような直接の入力装置であってもよいが、更に一般的には、CPU100が入力するコンピュータファイルを含む。
最後に、CPU100は、システム操作者が使用するために1つ又は複数の出力装置110と通信する。出力装置110の例としては、ビデオディスプレイとプリンタ等を挙げることができる。
実際には、CPU100は、図2に示されたプログラムを実行するようにプログラムされる。更に具体的には、CPU100は、未加工データを入力する手段、例えば入力装置108を備える。CPU100は、一旦入力装置108から未加工データを得てプログラムメモリのブロック102に記憶されたプログラムにより制御されると、図2のステップ28〜38に示したように、様々な数学モデルから種々の結果を生成する。
その後、CPU100は、プログラムメモリのブロック102のプログラムに制御され、ステップ40で、図3に示した方法によるプログラムの制御下で、各々の異なる方法に対して数学モデリングを生成する。一旦全ての方法がステップ50(図3)で完了すると、プログラム制御下のCPU100は、論理決定エンジン56を実行し、記憶メモリ104に含まれる専門知識を蓄積したデータベース54にアクセスして最良の方法を決定する。任意であるが、CPU100は、最良の数学モデルを決定するために、ファジー理論を利用するようにプログラムされてもよい。
上述のことから、本発明は、プログラムされたプロセッサによって実行される回路シミュレーション方法を提供することができ、このプロセッサは、電子回路の分析及び数学モデリングを自動化するだけでなく、2つ又はそれ以上の分析法のうちどれがシミュレーションから最適な結果を得るかを自動的に選択する。しかし、記載した本発明に対して、添付の特許請求の範囲によって定義される本発明の要旨から逸脱せずに様々な修正が行えることは、当業者にとって明らかであろう。

Claims (20)

  1. a)プロセッサが、少なくとも1つの独立変数と少なくとも1つの従属変数とを含む未加工データのセットを、回路から取得するステップと、
    b)プロセッサが、前記未加工データの独立変数と従属変数との間の関係を生成する分析法を使用して、前記未加工データを分析するステップと、
    c)プロセッサが、前記未加工データの独立変数と従属変数に対応する、独立変数と、計算した従属変数とを有する数学モデルを、前記関係から生成するステップと、
    d)プロセッサが、少なくとも1つの異なる分析法に対して、ステップb)及びc)を反復するステップと、
    e)プロセッサが、前記未加工データの独立変数のセットの少なくとも一部を使用し、各数学モデルに対して計算した従属変数と、対応する未加工データの従属変数との間の統計誤差を求めるステップと、
    f)プロセッサが、分析法と、前記分析法に関連し最小誤差を有する数学モデルとを選択するステップと、
    を有する、プログラムされたプロセッサを使用する電子回路シミュレーションのための方法。
  2. 前記選択するステップでは、プロセッサが、前記未加工データの標本サイズが、各数学モデルの最小量を超えるか否かを判定するステップを更に含む請求項1に記載の方法。
  3. プロセッサが、様々な分析法に対して十分な標本サイズを示すデータを含むデータベースを作成するステップを有し、
    前記未加工データの標本サイズが各数学モデルの最小量を超えるか否かを判定する前記ステップでは、プロセッサが、前記データベースにアクセスし、実際の標本サイズと前記データベースのデータとを比較するステップを含む請求項2に記載の方法。
  4. 統計誤差を求める前記ステップでは、プロセッサが、各数学モデルに対して、計算した従属変数と未加工データの従属変数との間の標準偏差を求めるステップを有する請求項1に記載の方法。
  5. 前記分析するステップの前に、プロセッサが、前記未加工データを前処理するステップを更に有する請求項1に記載の方法。
  6. 前記前処理するステップでは、プロセッサが、前記未加工データのデータを平均化する請求項5に記載の方法。
  7. 前記前処理するステップでは、プロセッサが、前記未加工データを低域フィルタリングする請求項5に記載の方法。
  8. 前記分析法の1つは、分散分析法である請求項1に記載の方法。
  9. 前記分析法の1つは、最悪例分析法である請求項1に記載の方法。
  10. 前記分析法の1つは、t検定法である請求項1に記載の方法。
  11. 前記選択するステップに続き、プロセッサが、回路シミュレーションモデルを作成するステップを更に有する請求項1に記載の方法。
  12. 前記選択するステップでは、プロセッサが、ファジー理論を使用して選択する請求項1に記載の方法。
  13. 前記選択するステップは、
    プロセッサが、各分析法に対して、誤差及び標本サイズを入力するステップと、
    プロセッサが、各分析法に対して、前記誤差及び標本サイズのデータベースに含まれるファジー理論規則を得るステップと、
    プロセッサが、前記ファジー理論規則に基づき、各分析法に対して、ファジーデータ値を得るステップと、
    プロセッサが、クリスプ結果を得るために前記ファジーデータ値を非ファジー化するステップと、
    によって行われる請求項12に記載の方法。
  14. a)少なくとも1つの独立変数と少なくとも1つの従属変数とを含む未加工データのセットを、回路から獲得する手段と、
    b)前記未加工データの独立変数と従属変数との間の関係を生成する分析法を使用して、前記未加工データを分析する手段と、
    c)前記未加工データの独立変数と従属変数に対応する、独立変数と、計算した従属変数とを有する数学モデルを、前記関係から生成する手段と、
    d)少なくとも1つの異なる分析法に対して、手段b)による分析と手段c)による生成とを反復する手段と、
    e)前記未加工データの独立変数のセットの少なくとも一部を使用し、各数学モデルに対して計算した従属変数と、対応する未加工データの従属変数との間の統計誤差を求める手段と、
    f)分析法と、前記分析法に関連し最小誤差を有する数学モデルとを選択する手段と、
    を備える、プログラムされたプロセッサを使用する電子回路シミュレーションのための装置。
  15. 前記選択する手段は、前記未加工データの標本サイズが、各数学モデルの最小量を超えるか否かを判定する手段を更に含む請求項14に記載の装置。
  16. 様々な分析法に対して十分な標本サイズを示すデータを含むデータベースを作成する手段を備え、
    前記未加工データの標本サイズが各数学モデルの最小量を超えるか否かを判定する前記手段は、前記データベースにアクセスし、実際の標本サイズと前記データベースのデータとを比較する手段を含む請求項15に記載の装置。
  17. 統計誤差を求める前記手段は、各数学モデルに対して、計算した従属変数と未加工データの従属変数との間の標準偏差を求める手段を備える請求項14に記載の装置。
  18. 前記分析する手段が分析する前に前記未加工データを前処理する手段を更に備える請求項14に記載の装置。
  19. 前記前処理する手段は、前記未加工データのデータを平均化する請求項18に記載の装置。
  20. 前記選択する手段は、ファジー理論を使用して選択する請求項14に記載の装置。
JP2013233644A 2012-12-03 2013-11-12 電子回路シミュレーションのための方法及び装置 Pending JP2014110047A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/692,075 US20140156233A1 (en) 2012-12-03 2012-12-03 Method and apparatus for electronic circuit simulation
US13/692,075 2012-12-03

Publications (1)

Publication Number Publication Date
JP2014110047A true JP2014110047A (ja) 2014-06-12

Family

ID=49683591

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013233644A Pending JP2014110047A (ja) 2012-12-03 2013-11-12 電子回路シミュレーションのための方法及び装置

Country Status (3)

Country Link
US (1) US20140156233A1 (ja)
EP (1) EP2738701A2 (ja)
JP (1) JP2014110047A (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11314907B2 (en) 2016-08-26 2022-04-26 Hitachi, Ltd. Simulation including multiple simulators
US11138358B2 (en) * 2017-09-29 2021-10-05 Texas Instruments Incorporated Simulation and analysis of circuit designs
US11392748B2 (en) * 2018-09-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design using fuzzy machine learning
DE102019124928A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integriertes schaltungsdesign unter verwendung von fuzzy-maschinenlernen

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5146460A (en) * 1990-02-16 1992-09-08 International Business Machines Logic simulation using a hardware accelerator together with an automated error event isolation and trace facility
US5959871A (en) * 1993-12-23 1999-09-28 Analogix/Portland State University Programmable analog array circuit
JPH08123778A (ja) * 1994-10-20 1996-05-17 Hitachi Ltd 非線形モデル自動生成方法
US5691896A (en) * 1995-08-15 1997-11-25 Rosemount, Inc. Field based process control system with auto-tuning
US5901061A (en) * 1996-05-24 1999-05-04 Hewlett-Packard Company Method of checking for races in a digital design
US6018723A (en) * 1997-05-27 2000-01-25 Visa International Service Association Method and apparatus for pattern generation
US6205439B1 (en) * 1998-07-15 2001-03-20 Micron Technology, Inc. Optimization of simulation run-times based on fuzzy-controlled input values
US6321225B1 (en) * 1999-04-23 2001-11-20 Microsoft Corporation Abstracting cooked variables from raw variables
US6947884B2 (en) * 2000-03-02 2005-09-20 Texas Instruments Incorporated Scan interface with TDM feature for permitting signal overlay
AU2001253201A1 (en) * 2000-04-05 2001-10-23 Pavilion Technologies Inc. System and method for enterprise modeling, optimization and control
US7103524B1 (en) * 2001-08-28 2006-09-05 Cadence Design Systems, Inc. Method and apparatus for creating an extraction model using Bayesian inference implemented with the Hybrid Monte Carlo method
JP2005512236A (ja) * 2001-12-07 2005-04-28 カデンス デザイン システムズ, インコーポレイテッド タイミンググラフ縮小によるタイミングモデル抽出
US20030188267A1 (en) * 2002-03-29 2003-10-02 International Business Machines Corporation Circuit and method for modeling I/O
US7283928B2 (en) * 2004-09-30 2007-10-16 John Antanies Computerized method and software for data analysis
US7574344B2 (en) * 2005-09-29 2009-08-11 Sun Microsystems, Inc. Static timing based IR drop analysis
US7483824B1 (en) * 2006-03-03 2009-01-27 Azul Systems, Inc. Self-checking test generator for partially-modeled processors by propagating fuzzy states
US8594989B2 (en) * 2009-04-09 2013-11-26 International Business Machines Corporation Compensating for variations in device characteristics in integrated circuit simulation

Also Published As

Publication number Publication date
US20140156233A1 (en) 2014-06-05
EP2738701A2 (en) 2014-06-04

Similar Documents

Publication Publication Date Title
JP7244610B2 (ja) 工業プロセス施設に対する工業プロセスパフォーマンスを測定するシステム及びコンピュータ実装方法、並びに金融商品に対して測定された目標変数における外れ値偏りを低減するコンピュータ実装方法
KR102024953B1 (ko) 동적 특이치 바이어스 감소 시스템 및 방법
US5687094A (en) Design verification apparatus
US20070233436A1 (en) Structural analysis apparatus, structural analysis method, and structural analysis program
CN108388751B (zh) 基于虚拟试验系统与实际系统的虚实比对分析方法
Guevara et al. Process capability analysis for nonlinear profiles using depth functions
JP2014110047A (ja) 電子回路シミュレーションのための方法及び装置
US8813009B1 (en) Computing device mismatch variation contributions
US20140258950A1 (en) Deriving effective corners for complex correlations
US20070180411A1 (en) Method and apparatus for comparing semiconductor-related technical systems characterized by statistical data
US8881075B2 (en) Method for measuring assertion density in a system of verifying integrated circuit design
CN116611370B (zh) 一种发射台导流模型的仿真分析方法、装置及计算设备
CN111506878B (zh) 基于暂降系统指标随机样本估计的节点数量选择装置及方法
KR102461180B1 (ko) 소프트웨어 안전성 분석 방법 및 장치
Tu et al. Production yield estimation by the metamodel method with a boundary-focused experiment design
CN107992287B (zh) 一种系统需求优先级排序结果的检查方法和装置
US20170220726A1 (en) Method and system for performing a design space exploration of a circuit
CN109284320B (zh) 大数据平台上的自动回归诊断方法
JP2011141674A (ja) ソフトウェア品質指標値管理システム、ソフトウェア品質指標値の真値を推定する推定方法及び推定プログラム
CN115910190B (zh) 芯片良率预测方法、装置及计算机可读存储介质
US10839128B2 (en) Error detection technique based on identifying data trend issues
Bogoi et al. Assessment of three WENO type schemes for nonlinear conservative flux functions
US9147017B2 (en) Verification support method, recording medium having stored verification support program therein, and verification support apparatus
Duman et al. Generalized polynomial chaos based stochastic collocation on the uncertainty quantification of CMOS active filter circuits
Wunsch et al. Uncertainty quantification in an engineering design software system