JP2014062281A - Method of forming metal film - Google Patents

Method of forming metal film Download PDF

Info

Publication number
JP2014062281A
JP2014062281A JP2012206920A JP2012206920A JP2014062281A JP 2014062281 A JP2014062281 A JP 2014062281A JP 2012206920 A JP2012206920 A JP 2012206920A JP 2012206920 A JP2012206920 A JP 2012206920A JP 2014062281 A JP2014062281 A JP 2014062281A
Authority
JP
Japan
Prior art keywords
film
forming
gas
metal film
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012206920A
Other languages
Japanese (ja)
Other versions
JP5917351B2 (en
Inventor
Junji Hotta
隼史 堀田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2012206920A priority Critical patent/JP5917351B2/en
Priority to KR1020130111699A priority patent/KR101697076B1/en
Publication of JP2014062281A publication Critical patent/JP2014062281A/en
Application granted granted Critical
Publication of JP5917351B2 publication Critical patent/JP5917351B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Abstract

PROBLEM TO BE SOLVED: To provide a method of forming a metal film in which the metal film including less impurities can be formed with high throughput through CVD.SOLUTION: A metal film is formed through: a first process of arranging a substrate to be treated in a treating vessel and forming an initial metal film through CVD by supplying onto the substrate to be treated a film forming material comprising a metal-containing compound which has a ligand having a nitrogen-carbon bond in a molecular structure and has a structure where nitrogen in the ligand is coordinated with metal and a reducing gas comprising at least one kind selected out of ammonia, hydrazine, and derivatives thereof; a second process of subjecting the substrate to be treated to hydrogen treatment by supplying a hydrogen gas into the treating container; and a third process of forming a main metal film through CVD by supplying onto the initial metal film formed on the substrate to be treated a film forming material comprising the same metal-containing compound with the first process and a reducing gas composed of a hydrogen gas.

Description

本発明は、化学蒸着法(CVD)により金属膜を成膜する金属膜の成膜方法に関する。   The present invention relates to a metal film forming method for forming a metal film by chemical vapor deposition (CVD).

近時、半導体デバイスには、一層の動作の高速化と低消費電力化が求められており、例えば、MOS型半導体のソースおよびドレインのコンタクト部やゲート電極の低抵抗化を実現するために、サリサイドプロセスによりシリサイドを形成している。このようなシリサイドとして、シリコンの消費量が少なく、低抵抗化が可能なニッケルシリサイド(NiSi)が注目されている。   In recent years, semiconductor devices have been demanded to further increase the operation speed and reduce power consumption. For example, in order to reduce the resistance of source and drain contact portions and gate electrodes of MOS type semiconductors, Silicide is formed by the salicide process. As such a silicide, nickel silicide (NiSi), which consumes less silicon and can reduce resistance, has attracted attention.

NiSi膜の形成には、Si基板またはポリシリコン膜上にスパッタリング等の物理蒸着(PVD)法によりニッケル(Ni)膜を成膜した後、不活性ガス中でアニールして反応させる方法が多用されている(例えば特許文献1)。   For forming a NiSi film, a method of forming a nickel (Ni) film on a Si substrate or a polysilicon film by a physical vapor deposition (PVD) method such as sputtering and then annealing and reacting in an inert gas is often used. (For example, Patent Document 1).

また、Ni膜自体をDRAMのキャパシタ電極に使用しようとする試みもなされている。   Attempts have also been made to use the Ni film itself as a capacitor electrode of a DRAM.

しかし、半導体デバイスの微細化にともなってPVDでは十分なステップカバレッジが得られなくなってきている。このため、ニッケル膜をステップカバレッジが良好な化学蒸着(CVD)法により成膜する方法が検討されており、特許文献2には、成膜原料(プリカーサ)としてニッケルアミジネートを用い、還元ガスとしてアンモニア(NH)を用いてCVD法によりニッケル膜を成膜することが開示されている。 However, with the miniaturization of semiconductor devices, PVD has become unable to obtain sufficient step coverage. Therefore, a method of forming a nickel film by a chemical vapor deposition (CVD) method with good step coverage has been studied. In Patent Document 2, nickel amidinate is used as a film forming material (precursor), and a reducing gas is used. It is disclosed that a nickel film is formed by CVD using ammonia (NH 3 ).

ところが、これらを用いてNi膜を成膜する場合には、処理ガス中にNが含まれているため、Nが膜中に取り込まれてNi膜成膜の際に同時にニッケルナイトライド(NiN)が形成され、得られる膜は不純物であるNを含有したNi膜となってしまい、膜の抵抗は高いものとなってしまう。 However, when a Ni film is formed using these, since N is contained in the processing gas, N is taken into the film and nickel nitride (Ni x is simultaneously formed when the Ni film is formed. N) is formed, and the resulting film becomes a Ni film containing N as an impurity, and the resistance of the film becomes high.

このような点を改善するために、特許文献3には、ニッケルアミジネートとNHを用いてNを含むNi膜を形成した後、膜を水素雰囲気で改質処理することにより、膜中のNを除去することが開示されている。 In order to improve such a point, in Patent Document 3, a nickel film containing N is formed using nickel amidinate and NH 3, and then the film is reformed in a hydrogen atmosphere. Of N is disclosed.

特開平9−153616号公報JP-A-9-153616 特開2011−66060号公報JP 2011-66060 A 国際公開第2011/040385号International Publication No. 2011/040385

しかしながら、このように成膜後にポストプロセスを付加することにより処理時間が長くなるため、スループットが低下してしまう。しかも、上記特許文献3では、Ni膜の純度を上げるために、成膜と改質処理とを複数回繰り返す必要があり、ますます処理時間が長くなってしまう。このような問題点は、アミジネート系原料を用いてNiを成膜する場合に限らず、分子構造中に窒素−炭素結合を持つ配位子を有し、配位子中の窒素が金属に配位した構造を有する金属含有化合物を用いて金属膜を成膜する場合には、同様に存在する。   However, by adding a post process after film formation in this way, the processing time becomes longer, and the throughput is reduced. Moreover, in Patent Document 3, it is necessary to repeat the film formation and the modification process a plurality of times in order to increase the purity of the Ni film, and the processing time becomes longer. Such a problem is not limited to the case of forming a Ni film using an amidinate-based material, but has a ligand having a nitrogen-carbon bond in the molecular structure, and the nitrogen in the ligand is distributed to the metal. The same exists when a metal film is formed using a metal-containing compound having a coordinated structure.

本発明はかかる事情に鑑みてなされたものであって、CVDにより不純物の少ない金属膜を高スループットで成膜することができる金属膜の成膜方法を提供することを課題とする。   This invention is made | formed in view of this situation, Comprising: It aims at providing the film-forming method of the metal film which can form the metal film with few impurities by CVD by high throughput.

上記課題を解決すべく、本願出願人は先に、ニッケルアミジネートに代表される、分子構造中に窒素−炭素結合をもつ配位子を有し、配位子中の窒素がニッケルに配位した構造を有するニッケル含有化合物と、アンモニア、ヒドラジン、およびこれらの誘導体から選択された少なくとも1種の還元ガスとを用いたCVDにより初期ニッケル膜を成膜した後、上記ニッケル含有化合物と、還元ガスとしての水素ガスとを用いたCVDにより主ニッケル膜を成膜するニッケル膜の成膜方法を提案した(特願2011−191917)。これにより、主ニッケル膜をニッケルナイトライド(Ni−N)やニッケルカーバイド(Ni−C)等の不純物が少ない状態で成膜することができ、また、主ニッケル膜のときに用いる水素ガスにより、初期ニッケル膜中の窒素等を除去することができるとしている。   In order to solve the above problems, the applicant of the present invention first has a ligand having a nitrogen-carbon bond in the molecular structure represented by nickel amidinate, and the nitrogen in the ligand is arranged on nickel. After forming an initial nickel film by CVD using a nickel-containing compound having a coordinated structure and at least one reducing gas selected from ammonia, hydrazine, and derivatives thereof, the nickel-containing compound and the reduction A nickel film forming method for forming a main nickel film by CVD using hydrogen gas as a gas has been proposed (Japanese Patent Application No. 2011-191917). Thereby, the main nickel film can be formed in a state where there are few impurities such as nickel nitride (Ni-N) and nickel carbide (Ni-C), and by the hydrogen gas used for the main nickel film, Nitrogen and the like in the initial nickel film can be removed.

しかし、その後の検討結果によれば、初期ニッケル膜の成膜時に取り込まれたNi−NやNi−Cの不純物は、主ニッケル膜を成膜する際の水素では十分に除去できない場合があり、そのような不純物が、Ni膜の比抵抗を悪化させ、ニッケルシリサイドの形成不良の原因となることが判明した。本発明は、そのような新たな問題点も解決するものである。   However, according to the examination results thereafter, the Ni—N and Ni—C impurities incorporated during the formation of the initial nickel film may not be sufficiently removed by hydrogen when forming the main nickel film. It has been found that such impurities deteriorate the specific resistance of the Ni film and cause poor formation of nickel silicide. The present invention solves such a new problem.

すなわち、本発明は、処理容器内に被処理基板を配置し、被処理基板上に、分子構造中に窒素−炭素結合をもつ配位子を有し、配位子中の窒素が金属に配位した構造を有する金属含有化合物からなる成膜原料と、アンモニア、ヒドラジン、およびこれらの誘導体から選択された少なくとも1種からなる還元ガスとを供給して、CVDにより初期金属膜を成膜する第1工程と、その後、前記処理容器内に水素ガスを供給して被処理基板に対して水素処理を行う第2工程と、被処理基板に形成された初期金属膜の上に、分子構造中に窒素−炭素結合をもつ配位子を有し、配位子中の窒素が金属に配位した構造を有する金属含有化合物からなる成膜原料と、水素ガスからなる還元ガスを供給して、CVDにより主金属膜を成膜する第3工程とを有することを特徴とする金属膜の成膜方法を提供する。   That is, according to the present invention, a substrate to be processed is disposed in a processing container, and a ligand having a nitrogen-carbon bond in the molecular structure is provided on the substrate to be processed, and nitrogen in the ligand is arranged on the metal. A film forming raw material made of a metal-containing compound having a coordinated structure and a reducing gas consisting of at least one selected from ammonia, hydrazine, and derivatives thereof are supplied to form an initial metal film by CVD. One step, and then a second step in which hydrogen gas is supplied into the processing vessel to perform hydrogen processing on the substrate to be processed, and on the initial metal film formed on the substrate to be processed in the molecular structure. CVD having a ligand having a nitrogen-carbon bond, a film-forming raw material made of a metal-containing compound having a structure in which nitrogen in the ligand is coordinated to a metal, and a reducing gas made of hydrogen gas And a third step of forming a main metal film It provides a method of forming a metal film, characterized in that.

本発明において、前記金属含有化合物として、金属アミジネート系化合物を用いることができる。   In the present invention, a metal amidinate compound can be used as the metal-containing compound.

前記金属アミジネート系化合物としてニッケルアミジネートを用い、前記金属膜としてニッケル膜を成膜することができる。この場合に、前記第2工程は、160〜500℃で行うことができ、前記第2工程を実施する際の圧力は、333〜13330Paとすることができ、前記第2工程を実施する際の水素ガス流量は、25〜5000mL/min(sccm)とすることができる。   Nickel amidinate can be used as the metal amidinate compound, and a nickel film can be formed as the metal film. In this case, the second step can be performed at 160 to 500 ° C., the pressure when the second step is performed can be 333 to 13330 Pa, and the second step is performed. The hydrogen gas flow rate can be 25 to 5000 mL / min (sccm).

また、前記第1工程および前記第3工程は、200〜350℃で行うことができ、前記第1工程および前記第3工程を実施する際の圧力は、133.3〜2000Paとすることができる。この場合に、前記第2工程は、前記第1工程および前記第3工程と同一温度および同一圧力で行うことができる。   Moreover, the said 1st process and the said 3rd process can be performed at 200-350 degreeC, and the pressure at the time of implementing the said 1st process and the said 3rd process can be 133.3-2000 Pa. . In this case, the second step can be performed at the same temperature and the same pressure as the first step and the third step.

また、本発明は、コンピュータ上で動作し、成膜装置を制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、上記金属膜の成膜方法が行われるように、コンピュータに前記成膜装置を制御させることを特徴とする記憶媒体を提供する。   Further, the present invention is a storage medium that operates on a computer and stores a program for controlling the film forming apparatus, and the program performs the metal film forming method when executed. A storage medium is provided that causes a computer to control the film formation apparatus.

本発明によれば、基板上で成膜可能である、分子構造中に窒素−炭素結合をもつ配位子を有し、配位子中の窒素が金属に配位した構造を有する金属含有化合物とアンモニア等とを用いて初期金属膜の成膜を行った後、処理容器内に水素ガスを供給して被処理基板に対して水素処理を行い、その後、その上に同様の金属含有化合物と水素ガスを用いた主金属膜の成膜を行うので、水素処理により初期金属膜中の不純物を確実に除去することができ、その後の主金属膜の成膜の際には、還元ガスとして水素ガスを用いて不純物の極めて少ない膜として成膜される。このため、得られる金属膜は全体として高純度のものとなる。また、水素処理は、薄い初期金属膜の不純物を除去するのみであり、短時間の処理でよく、しかも還元ガスとしてHガスを用いた主成膜では、NHガスを用いた場合よりも成膜レートが高いから、還元ガスとしてNHを用いて成膜し、成膜後にアニールする従来の方法よりもスループットを著しく高めることができる。 According to the present invention, a metal-containing compound having a ligand having a nitrogen-carbon bond in a molecular structure and having a structure in which nitrogen in the ligand is coordinated to a metal, which can be formed on a substrate. After forming an initial metal film using ammonia and ammonia, hydrogen treatment is performed on the substrate to be processed by supplying hydrogen gas into the processing vessel, and then a similar metal-containing compound is formed thereon. Since the main metal film is formed using hydrogen gas, impurities in the initial metal film can be surely removed by hydrogen treatment, and in the subsequent main metal film formation, hydrogen is used as a reducing gas. It is formed as a film with very few impurities using a gas. For this reason, the obtained metal film has a high purity as a whole. In addition, the hydrogen treatment only removes impurities from the thin initial metal film, and it may be performed in a short time. In addition, in the main film formation using H 2 gas as the reducing gas, compared to the case using NH 3 gas. Since the film formation rate is high, the throughput can be significantly increased as compared with the conventional method of forming a film using NH 3 as the reducing gas and annealing after the film formation.

本発明の一実施形態に係る金属膜の成膜方法を実施するための成膜装置の一例を示す模式図である。It is a schematic diagram which shows an example of the film-forming apparatus for enforcing the film-forming method of the metal film which concerns on one Embodiment of this invention. 本発明の一実施形態に係る金属膜の成膜方法のシーケンスを示すタイミングチャートである。It is a timing chart which shows the sequence of the film-forming method of the metal film which concerns on one Embodiment of this invention. 水素処理により初期Ni膜から不純物が除去されるメカニズムを説明するための図である。It is a figure for demonstrating the mechanism in which an impurity is removed from an initial stage Ni film | membrane by hydrogen treatment. 成膜原料としてNi(II)(tBu−AMD)を用い、還元ガスとしてNHを用いて、Siウエハ上にNi膜を成膜したサンプルのX線光電子分光(XPS)による膜厚方向の元素分析結果を示す図である。The film thickness direction by X-ray photoelectron spectroscopy (XPS) of a sample in which Ni (II) (tBu-AMD) 2 is used as a film forming raw material and NH 3 is used as a reducing gas and a Ni film is formed on a Si wafer. It is a figure which shows an elemental analysis result. 成膜原料としてNi(II)(tBu−AMD)を用い、還元ガスとしてNHを用いて、Siウエハ上にNi膜を成膜した後、Hガスを供給して水素処理を行ったサンプルのX線光電子分光(XPS)による膜厚方向の元素分析結果を示す図である。A Ni film was formed on a Si wafer using Ni (II) (tBu-AMD) 2 as a film forming material and NH 3 as a reducing gas, and then hydrogen treatment was performed by supplying H 2 gas. It is a figure which shows the elemental analysis result of the film thickness direction by X-ray photoelectron spectroscopy (XPS) of a sample.

以下、添付図面を参照して、本発明の実施の形態について説明する。
本実施形態では、金属膜としてニッケル膜を形成する場合について説明する。図1は、本発明の一実施形態に係る金属膜の成膜方法を実施するための成膜装置の一例を示す模式図である。
Embodiments of the present invention will be described below with reference to the accompanying drawings.
In the present embodiment, a case where a nickel film is formed as a metal film will be described. FIG. 1 is a schematic view showing an example of a film forming apparatus for carrying out a metal film forming method according to an embodiment of the present invention.

この成膜装置100は、気密に構成された略円筒状のチャンバー1を有しており、その中には被処理基板であるウエハWを水平に支持するためのサセプタ2が、後述する排気室の底部からその中央下部に達する円筒状の支持部材3により支持された状態で配置されている。このサセプタ2はAlN等のセラミックスからなっている。また、サセプタ2にはヒーター5が埋め込まれており、このヒーター5にはヒーター電源6が接続されている。一方、サセプタ2の上面近傍には熱電対7が設けられており、熱電対7の信号はヒーターコントローラ8に伝送されるようになっている。そして、ヒーターコントローラ8は熱電対7の信号に応じてヒーター電源6に指令を送信し、ヒーター5の加熱を制御してウエハWを所定の温度に制御するようになっている。サセプタ2の内部のヒーター5の上方には、高周波電力印加用の電極27が埋設されている。この電極27には整合器28を介して高周波電源29が接続されており、必要に応じて電極27に高周波電力を印加してプラズマを生成し、プラズマCVDを実施することも可能となっている。なお、サセプタ2には3本のウエハ昇降ピン(図示せず)がサセプタ2の表面に対して突没可能に設けられており、ウエハWを搬送する際に、サセプタ2の表面から突出した状態にされる。   The film forming apparatus 100 has a substantially cylindrical chamber 1 that is hermetically configured, and a susceptor 2 for horizontally supporting a wafer W that is a substrate to be processed is an exhaust chamber described later. It is arrange | positioned in the state supported by the cylindrical support member 3 which reaches the center lower part from the bottom part. The susceptor 2 is made of a ceramic such as AlN. Further, a heater 5 is embedded in the susceptor 2, and a heater power source 6 is connected to the heater 5. On the other hand, a thermocouple 7 is provided in the vicinity of the upper surface of the susceptor 2, and a signal of the thermocouple 7 is transmitted to the heater controller 8. The heater controller 8 transmits a command to the heater power supply 6 in accordance with a signal from the thermocouple 7, and controls the heating of the heater 5 to control the wafer W to a predetermined temperature. Above the heater 5 inside the susceptor 2, an electrode 27 for applying high-frequency power is embedded. A high-frequency power source 29 is connected to the electrode 27 via a matching unit 28, and it is also possible to generate plasma by applying high-frequency power to the electrode 27 as necessary to perform plasma CVD. . The susceptor 2 is provided with three wafer raising / lowering pins (not shown) so as to be able to project and retract with respect to the surface of the susceptor 2, and is projected from the surface of the susceptor 2 when the wafer W is transferred. To be.

チャンバー1の天壁1aには、円形の孔1bが形成されており、そこからチャンバー1内へ突出するようにシャワーヘッド10が嵌め込まれている。シャワーヘッド10は、後述するガス供給機構30から供給された成膜用のガスをチャンバー1内に吐出するためのものであり、その上部には、成膜原料ガスを導入する第1の導入路11と、反応ガス(還元ガス)としてのNHガスおよびHガスを導入する第2の導入路12とを有している。 A circular hole 1 b is formed in the top wall 1 a of the chamber 1, and a shower head 10 is fitted so as to protrude into the chamber 1 therefrom. The shower head 10 is for discharging a film-forming gas supplied from a gas supply mechanism 30 to be described later into the chamber 1, and a first introduction path for introducing a film-forming material gas is provided above the shower head 10. 11 and a second introduction path 12 for introducing NH 3 gas and H 2 gas as reaction gas (reducing gas).

成膜原料ガスとして用いられるニッケル含有化合物は、分子構造中に窒素−炭素結合をもつ配位子を有し、配位子中の窒素がニッケルに配位した構造を有するものであり、例えば図1中に示すNi(II)N、N′−ジ−ターシャリブチルアミジネート(Ni(II)(tBu−AMD))を挙げることができる。ニッケルアミジネートとしては、他に、Ni(II)N、N′−ジ−イソプロピルアミジネート(Ni(II)(iPr−AMD))、Ni(II)N、N′−ジ−エチルアミジネート(Ni(II)(Et−AMD))、Ni(II)N、N′−ジ−メチルアミジネート(Ni(II)(Me−AMD))等を挙げることができる。 A nickel-containing compound used as a film forming source gas has a ligand having a nitrogen-carbon bond in the molecular structure, and has a structure in which nitrogen in the ligand is coordinated to nickel. And Ni (II) N, N′-di-tert-butylamidinate (Ni (II) (tBu-AMD) 2 ) shown in FIG. Other nickel amidinates include Ni (II) N, N′-di-isopropylamidinate (Ni (II) (iPr-AMD) 2 ), Ni (II) N, N′-di-ethyl. Examples thereof include amidinate (Ni (II) (Et-AMD) 2 ), Ni (II) N, N′-di-methylamidinate (Ni (II) (Me-AMD) 2 ) and the like.

シャワーヘッド10の内部には上下2段に空間13、14が設けられている。上側の空間13には第1の導入路11が繋がっており、この空間13から第1のガス吐出路15がシャワーヘッド10の底面まで延びている。下側の空間14には第2の導入路12が繋がっており、この空間14から第2のガス吐出路16がシャワーヘッド10の底面まで延びている。すなわち、シャワーヘッド10は、成膜原料ガスとしてのニッケルアミジネートとNHガスおよびHガスとがそれぞれ独立して吐出路15および16から吐出するようになっている。 Inside the shower head 10, spaces 13 and 14 are provided in two upper and lower stages. A first introduction path 11 is connected to the upper space 13, and a first gas discharge path 15 extends from the space 13 to the bottom surface of the shower head 10. A second introduction path 12 is connected to the lower space 14, and a second gas discharge path 16 extends from the space 14 to the bottom surface of the shower head 10. That is, the shower head 10 discharges nickel amidinate as a film forming raw material gas, NH 3 gas, and H 2 gas independently from the discharge passages 15 and 16.

チャンバー1の底壁には、下方に向けて突出する排気室21が設けられている。排気室21の側面には排気管22が接続されており、この排気管22には真空ポンプや圧力制御バルブ等を有する排気装置23が接続されている。そしてこの排気装置23を作動させることによりチャンバー1内を所定の減圧状態とすることが可能となっている。   An exhaust chamber 21 that protrudes downward is provided on the bottom wall of the chamber 1. An exhaust pipe 22 is connected to the side surface of the exhaust chamber 21, and an exhaust device 23 having a vacuum pump, a pressure control valve, and the like is connected to the exhaust pipe 22. By operating the exhaust device 23, the inside of the chamber 1 can be brought into a predetermined reduced pressure state.

チャンバー1の側壁には、ウエハWの搬入出を行うための搬入出口24と、この搬入出口24を開閉するゲートバルブ25とが設けられている。また、チャンバー1の壁部には、ヒーター26が設けられており、成膜処理の際にチャンバー1の内壁の温度を制御可能となっている。   On the side wall of the chamber 1, a loading / unloading port 24 for loading / unloading the wafer W and a gate valve 25 for opening / closing the loading / unloading port 24 are provided. A heater 26 is provided on the wall portion of the chamber 1 so that the temperature of the inner wall of the chamber 1 can be controlled during the film forming process.

ガス供給機構30は、成膜原料として、分子構造中に窒素−炭素結合をもつ配位子を有し、配位子中の窒素がニッケルに配位した構造を有するニッケル含有化合物であるニッケルアミジネート(Ni−AMD)、例えばNi(II)N、N′−ジ−ターシャリブチルアミジネート(Ni(II)(tBu−AMD))を溶媒に溶かした状態で貯留する成膜原料タンク31を有している。成膜原料タンク31の周囲にはヒーター31aが設けられており、タンク31内の成膜原料を適宜の温度に加熱することができるようになっている。なお、ニッケル含有化合物として常温で液体のものを用いるときは溶媒に溶かすことなくそのまま貯留することができる。 The gas supply mechanism 30 has a nickel-containing compound, which is a nickel-containing compound having a ligand having a nitrogen-carbon bond in the molecular structure as a film forming raw material and having a structure in which nitrogen in the ligand is coordinated to nickel. Film-forming raw material for storing dinate (Ni-AMD), for example, Ni (II) N, N′-di-tert-butylamidinate (Ni (II) (tBu-AMD) 2 ) dissolved in a solvent A tank 31 is provided. A heater 31a is provided around the film forming material tank 31 so that the film forming material in the tank 31 can be heated to an appropriate temperature. In addition, when using a liquid thing at normal temperature as a nickel containing compound, it can store as it is, without melt | dissolving in a solvent.

成膜原料タンク31には、上方からバブリングガスであるArガスを供給するためのバブリング配管32が成膜原料に浸漬されるようにして挿入されている。バブリング配管32にはArガス供給源33が接続されており、また、流量制御器としてのマスフローコントローラ34およびその前後のバルブ35が介装されている。また、成膜原料タンク31内には原料ガス送出配管36が上方から挿入されており、この原料ガス送出配管36の他端はシャワーヘッド10の第1の導入路11に接続されている。原料ガス送出配管36にはバルブ37が介装されている。また、原料ガス送出配管36には成膜原料ガスの凝縮防止のためのヒーター38が設けられている。そして、バブリングガスであるArガスが成膜原料に供給されることにより成膜原料タンク31内で成膜原料がバブリングにより気化され、生成された成膜原料ガスが、原料ガス送出配管36および第1の導入路11を介してシャワーヘッド10内に供給される。   A bubbling pipe 32 for supplying Ar gas as a bubbling gas from above is inserted into the film forming material tank 31 so as to be immersed in the film forming material. An Ar gas supply source 33 is connected to the bubbling pipe 32, and a mass flow controller 34 as a flow rate controller and front and rear valves 35 are interposed. In addition, a raw material gas delivery pipe 36 is inserted into the film forming raw material tank 31 from above, and the other end of the raw material gas delivery pipe 36 is connected to the first introduction path 11 of the shower head 10. A valve 37 is interposed in the source gas delivery pipe 36. The source gas delivery pipe 36 is provided with a heater 38 for preventing condensation of the film forming source gas. Then, by supplying Ar gas, which is a bubbling gas, to the film forming raw material, the film forming raw material is vaporized in the film forming raw material tank 31 by bubbling, and the generated film forming raw material gas is supplied to the raw material gas delivery pipe 36 and the first gas supply pipe 36. 1 is supplied into the shower head 10 through one introduction path 11.

なお、バブリング配管32と原料ガス送出配管36との間は、バイパス配管48により接続されており、この配管48にはバルブ49が介装されている。バブリング配管32および原料ガス送出配管36における配管48接続部分の下流側にはそれぞれバルブ35a,37aが介装されている。そして、バルブ35a,37aを閉じてバルブ49を開くことにより、Arガス供給源33からのアルゴンガスを、バブリング配管32、バイパス配管48、原料ガス送出配管36を経て、パージガス等としてチャンバー1内に供給することが可能となっている。   The bubbling pipe 32 and the raw material gas delivery pipe 36 are connected by a bypass pipe 48, and a valve 49 is interposed in the pipe 48. Valves 35a and 37a are provided on the downstream side of the connecting portion of the piping 48 in the bubbling piping 32 and the raw material gas delivery piping 36, respectively. Then, by closing the valves 35a and 37a and opening the valve 49, the argon gas from the Ar gas supply source 33 passes through the bubbling pipe 32, the bypass pipe 48, and the source gas delivery pipe 36 into the chamber 1 as a purge gas or the like. It is possible to supply.

シャワーヘッド10の第2の導入路12には、配管40が接続されており、配管40にはバルブ41が設けられている。この配管40は分岐配管40a,40bに分岐しており、分岐配管40aにはNHガス供給源42が接続され、分岐配管40bにはHガス供給源43が接続されている。また、分岐配管40aには流量制御器としてのマスフローコントローラ44およびその前後のバルブ45が介装されており、分岐配管40bには流量制御器としてのマスフローコントローラ46およびその前後のバルブ47が介装されている。なお、NHの代わりに、ヒドラジンや、NH誘導体、ヒドラジン誘導体を用いることができる。 A pipe 40 is connected to the second introduction path 12 of the shower head 10, and a valve 41 is provided in the pipe 40. The pipe 40 is branched into branch pipes 40a and 40b. An NH 3 gas supply source 42 is connected to the branch pipe 40a, and an H 2 gas supply source 43 is connected to the branch pipe 40b. The branch pipe 40a is provided with a mass flow controller 44 as a flow rate controller and a valve 45 before and after the mass flow controller 44, and the branch pipe 40b is provided with a mass flow controller 46 as a flow rate controller and a valve 47 before and after the mass flow controller 46. Has been. Incidentally, it is possible to use in place of NH 3, hydrazine or, NH 3 derivatives, hydrazine derivatives.

また必要に応じて電極27に高周波電力を印加してプラズマCVDを実施する場合には、図示されていないが、配管40にはさらに分岐配管が増設され、この分岐配管にマスフローコントローラおよびその前後のバルブを介設して、プラズマ着火用のArガス供給源を設けることが好ましい。   Further, when performing plasma CVD by applying high-frequency power to the electrode 27 as necessary, a branch pipe is further added to the pipe 40, and the mass flow controller and its front and rear are connected to this branch pipe. It is preferable to provide an Ar gas supply source for plasma ignition through a valve.

この成膜装置は、各構成部、具体的にはバルブ、電源、ヒーター、ポンプ等を制御する制御部50を有している。この制御部50は、マイクロプロセッサ(コンピュータ)を備えたプロセスコントローラ51と、ユーザーインターフェース52と、記憶部53とを有している。プロセスコントローラ51には成膜装置100の各構成部が電気的に接続されて制御される構成となっている。ユーザーインターフェース52は、プロセスコントローラ51に接続されており、オペレータが成膜装置の各構成部を管理するためにコマンドの入力操作などを行うキーボードや、成膜装置の各構成部の稼働状況を可視化して表示するディスプレイ等からなっている。記憶部53もプロセスコントローラ51に接続されており、この記憶部53には、成膜装置100で実行される各種処理をプロセスコントローラ51の制御にて実現するための制御プログラムや、処理条件に応じて成膜装置100の各構成部に所定の処理を実行させるための制御プログラムすなわち処理レシピや、各種データベース等が格納されている。処理レシピは記憶部53の中の記憶媒体(図示せず)に記憶されている。記憶媒体は、ハードディスク等の固定的に設けられているものであってもよいし、CDROM、DVD、フラッシュメモリ等の可搬性のものであってもよい。また、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。   The film forming apparatus includes a control unit 50 that controls each component, specifically, a valve, a power source, a heater, a pump, and the like. The control unit 50 includes a process controller 51 including a microprocessor (computer), a user interface 52, and a storage unit 53. Each component of the film forming apparatus 100 is electrically connected to the process controller 51 and controlled. The user interface 52 is connected to the process controller 51, and visualizes the operation status of each component of the film forming apparatus and the keyboard on which the operator inputs commands to manage each component of the film forming apparatus. It consists of a display that displays it. The storage unit 53 is also connected to the process controller 51, and the storage unit 53 corresponds to a control program for realizing various processes executed by the film forming apparatus 100 under the control of the process controller 51 and processing conditions. A control program for causing each component of the film forming apparatus 100 to execute a predetermined process, that is, a process recipe, various databases, and the like are stored. The processing recipe is stored in a storage medium (not shown) in the storage unit 53. The storage medium may be a fixed medium such as a hard disk or a portable medium such as a CDROM, DVD, or flash memory. Moreover, you may make it transmit a recipe suitably from another apparatus via a dedicated line, for example.

そして、必要に応じて、ユーザーインターフェース52からの指示等にて所定の処理レシピを記憶部53から呼び出してプロセスコントローラ51に実行させることで、プロセスコントローラ51の制御下で、成膜装置100での所望の処理が行われる。   Then, if necessary, a predetermined processing recipe is called from the storage unit 53 by an instruction from the user interface 52 and executed by the process controller 51, so that the film forming apparatus 100 can control the process controller 51. Desired processing is performed.

次に、成膜装置100により実施される本発明の一実施形態に係る金属膜の成膜方法について説明する。
まず、ゲートバルブ25を開け、図示せぬ搬送装置によりウエハWを、搬入出口24を介してチャンバー1内に搬入し、サセプタ2上に載置する。次いで、チャンバー1内を排気装置23により排気してチャンバー1内を所定の圧力にし、サセプタ2を所定温度に加熱する。
Next, a method for forming a metal film according to an embodiment of the present invention performed by the film forming apparatus 100 will be described.
First, the gate valve 25 is opened, and the wafer W is loaded into the chamber 1 through the loading / unloading port 24 by a transfer device (not shown) and placed on the susceptor 2. Next, the inside of the chamber 1 is evacuated by the exhaust device 23 to bring the inside of the chamber 1 to a predetermined pressure, and the susceptor 2 is heated to a predetermined temperature.

その状態で図2のタイミングチャートに示すように、成膜原料であるニッケルアミジネート(分子構造中に窒素−炭素結合をもつ配位子を有し、配位子中の窒素がニッケルに配位した構造を有するニッケル含有化合物)と還元ガスであるNHガスとを供給して初期Ni膜を成膜する初期成膜工程(ステップ1)と、これらガスを停止し、チャンバー1内にHガスを導入してウエハWに水素処理を施す水素処理工程(ステップ2)と、Hガスの導入を継続したまま、成膜原料ガスであるニッケルアミジネートを導入して主Ni膜を成膜する主成膜工程(ステップ3)と、チャンバー1内をパージするパージ工程(ステップ4)を順次行う。 In this state, as shown in the timing chart of FIG. 2, nickel amidinate (which has a ligand having a nitrogen-carbon bond in the molecular structure, and nitrogen in the ligand is distributed to nickel as shown in the timing chart of FIG. A nickel-containing compound having a coordinated structure) and an NH 3 gas as a reducing gas to form an initial Ni film (step 1), and the gas is stopped and The hydrogen treatment step (step 2) for introducing hydrogen gas into the wafer W and introducing the hydrogen ablation raw material gas nickel amidate while continuing the introduction of the H 2 gas A main film forming process (step 3) for forming a film and a purge process (step 4) for purging the chamber 1 are sequentially performed.

ウエハWの表面(典型的にはSi基板またはポリシリコン膜の表面)に成膜原料としてニッケルアミジネートを用いてNi膜を成膜する際には、還元ガスとしてHを用いても核生成されず、Niが堆積されないため、ステップ1の初期成膜工程では、還元ガスとしてNH等を用いる。すなわち、ステップ1の初期成膜工程においては、成膜原料タンク31内に貯留された成膜原料としてのニッケルアミジネート、例えばNi(II)N、N′−ジ−ターシャリブチルアミジネート(Ni(II)(tBu−AMD))にバブリングガスとしてのArガスを供給して、その成膜原料としてのニッケルアミジネートをバブリングにより気化させ、原料ガス送出配管36、第1の導入路11、シャワーヘッド10を介してチャンバー1内へ供給し、還元ガスとしてのNHガスをNHガス供給源42から分岐配管40a、配管40、第2の導入路12、シャワーヘッド10を介してチャンバー1内に供給する。なお、還元ガスのNHの代わりに、ヒドラジン、NH誘導体、ヒドラジン誘導体を用いることができる。すなわち、還元ガスとしては、NH、ヒドラジン、およびこれらの誘導体から選択された少なくとも1種を用いることができる。アンモニア誘導体としては例えばモノメチルアンモニウムを用いることができ、ヒドラジン誘導体としては例えばモノメチルヒドラジン、ジメチルヒドラジンを用いることができる。これらの中ではアンモニアが好ましい。これらは、非共有電子対を有する還元剤であり、ニッケルアミジネートとの反応性が高く、比較的低温でも容易にウエハW表面に初期Ni膜を得ることができる。このような初期成膜工程で成膜される初期Ni膜の膜厚は、3〜15nmであることが好ましい。 When a Ni film is formed on the surface of the wafer W (typically, the surface of a Si substrate or a polysilicon film) using nickel amidinate as a film forming raw material, even if H 2 is used as a reducing gas, a nucleus is formed. Since it is not generated and Ni is not deposited, NH 3 or the like is used as a reducing gas in the initial film forming step of Step 1. That is, in the initial film forming process of Step 1, nickel amidinate as a film forming raw material stored in the film forming raw material tank 31, for example, Ni (II) N, N′-di-tert-butyl amidinate. Ar gas as a bubbling gas is supplied to (Ni (II) (tBu-AMD) 2 ), and nickel amidinate as a film forming raw material is vaporized by bubbling. Supplying into the chamber 1 through the path 11 and the shower head 10, NH 3 gas as a reducing gas is supplied from the NH 3 gas supply source 42 through the branch pipe 40 a, the pipe 40, the second introduction path 12, and the shower head 10. To supply into the chamber 1. Note that hydrazine, an NH 3 derivative, or a hydrazine derivative can be used in place of the reducing gas NH 3 . That is, as the reducing gas, at least one selected from NH 3 , hydrazine, and derivatives thereof can be used. As the ammonia derivative, for example, monomethylammonium can be used, and as the hydrazine derivative, for example, monomethylhydrazine or dimethylhydrazine can be used. Of these, ammonia is preferred. These are reducing agents having unshared electron pairs, are highly reactive with nickel amidinate, and can easily obtain an initial Ni film on the surface of the wafer W even at a relatively low temperature. The film thickness of the initial Ni film formed in such an initial film forming process is preferably 3 to 15 nm.

成膜原料として用いるニッケルアミジネートは、Ni(II)N、N′−ジ−ターシャリブチルアミジネート(Ni(II)(tBu−AMD))を例にとると、以下の(1)式に示す構造を有している。

Figure 2014062281

すなわち、核となるNiにアミジネート配位子が結合しており、Niは実質的にNi2+として存在している。 The nickel amidinate used as a film forming raw material is Ni (II) N, N′-di-tert-butylamidinate (Ni (II) (tBu-AMD) 2 ) as an example (1 ).
Figure 2014062281

That is, an amidinate ligand is bonded to Ni as a nucleus, and Ni substantially exists as Ni 2+ .

非共有電子対を有する還元ガス、例えばNHは、上記構造のニッケルアミジネートのNi2+として存在しているNi核と結びつき、アミジネート配位子は分解する。これにより、初期Ni膜は、ニッケルアミジネートやNH由来のNによりニッケルナイトライド(Ni−N)が不純物として膜中に形成される。また、不純物としてNi−Cも生成される。したがって、生成される初期Ni膜は不純物の多いものとなる。 A reducing gas having an unshared electron pair, such as NH 3, is combined with the Ni nucleus existing as Ni 2+ of the nickel amidinate having the above structure, and the amidinate ligand is decomposed. As a result, the initial Ni film is formed with nickel nitride (Ni—N) as impurities due to nickel amidinate and NH 3 -derived N. Ni-C is also generated as an impurity. Therefore, the generated initial Ni film has a large amount of impurities.

ステップ2の水素処理工程では、ニッケルアミジネートおよびNHガスの供給を停止して、Hガスをチャンバー1内に供給し、初期Ni膜成膜後のウエハWに水素処理を施す。このとき、Hガスは、Hガス供給源43から分岐配管40b、配管40、第2の導入路12、シャワーヘッド10を介してチャンバー1内に供給される。このステップ2では、最初に、Hガスを供給するとともにチャンバー1内を真空引きすることによりその中に残留しているニッケルアミジネートガスおよびNHガスをパージし、次いでHガスの供給を継続しつつチャンバー1内の圧力を所定圧に制御する。このようにチャンバー1内にHガスを供給してウエハWに水素処理を施すことにより、図3(a)(b)に示すように、ニッケルアミジネートやNHにより成膜されたNi膜中の不純物であるNi−NやNi−Cが、Hガスと反応して、NHやCHとなって膜中から除去される。これにより、不純物の少ない初期Ni膜が形成される。 In the hydrogen treatment process of Step 2, the supply of nickel amidinate and NH 3 gas is stopped, H 2 gas is supplied into the chamber 1, and the wafer W after the initial Ni film formation is subjected to hydrogen treatment. At this time, the H 2 gas is supplied from the H 2 gas supply source 43 into the chamber 1 through the branch pipe 40 b, the pipe 40, the second introduction path 12, and the shower head 10. In this step 2, first, H 2 gas is supplied and the inside of the chamber 1 is evacuated to purge the remaining nickel amidinate gas and NH 3 gas, and then supply of H 2 gas The pressure in the chamber 1 is controlled to a predetermined pressure while continuing. Thus, by supplying H 2 gas into the chamber 1 and performing hydrogen treatment on the wafer W, as shown in FIGS. 3A and 3B, Ni film formed with nickel amidinate or NH 3 is formed. Ni—N and Ni—C which are impurities in the film react with H 2 gas to become NH 3 and CH 4 and are removed from the film. Thereby, an initial Ni film with few impurities is formed.

ステップ3の主成膜工程では、Hガスを供給したままその流量を調整するとともに、停止していたニッケルアミジネートをステップ1と同様にして再び供給する。これによりニッケルアミジネートがHガスにより還元されて初期Ni膜の上にさらにNiが堆積されて主Ni膜が形成される。このときステップ2の水素処理により初期Ni膜中の不純物は除去されており、またニッケルアミジネートがHガスで還元されることにより、主Ni膜は不純物の少ない膜として成膜されるので、得られるNi膜は全体として不純物の少ないものとなる。 In the main film forming process of Step 3, the flow rate is adjusted while supplying the H 2 gas, and the stopped nickel amidinate is supplied again in the same manner as in Step 1. As a result, the nickel amidinate is reduced by H 2 gas, and Ni is further deposited on the initial Ni film to form a main Ni film. At this time, the impurities in the initial Ni film have been removed by the hydrogen treatment in step 2, and the nickel amidinate is reduced with H 2 gas, so that the main Ni film is formed as a film with less impurities. Thus, the obtained Ni film has less impurities as a whole.

ステップ3の主成膜工程における膜厚は、成膜しようとするNi膜のトータルの膜厚と初期成膜の際の膜厚に応じて適宜決定される。また、成膜時間は、膜厚と成膜レートとから予め決定しておくことが好ましい。   The film thickness in the main film forming step of Step 3 is appropriately determined according to the total film thickness of the Ni film to be formed and the film thickness at the initial film formation. The film formation time is preferably determined in advance from the film thickness and the film formation rate.

ステップ4のパージ工程では、ニッケルアミジネートおよびHガスの供給を停止し、チャンバー1内を真空引きすることにより行う。このとき、必要に応じてArガス供給源33からのArガスを、バブリング配管32、バイパス配管48、原料ガス送出配管36を経て、パージガスとしてチャンバー1内に供給してもよい。 In the purge process of Step 4, the supply of nickel amidinate and H 2 gas is stopped and the inside of the chamber 1 is evacuated. At this time, the Ar gas from the Ar gas supply source 33 may be supplied into the chamber 1 as a purge gas via the bubbling pipe 32, the bypass pipe 48, and the source gas delivery pipe 36 as necessary.

パージ工程が終了した後、ゲートバルブを開けて成膜後のウエハWを搬送装置(図示せず)により搬入出口24を介して搬出する。   After the purge process is completed, the gate valve is opened, and the wafer W after film formation is unloaded through the loading / unloading port 24 by a transfer device (not shown).

従来は、上記特許文献3に示すように、成膜原料としてニッケルアミジネートを用い、還元ガスとしてNHを用いて全体のNi膜の成膜を行った後、Ni膜の不純物を除去するために、水素雰囲気中でアニールを行ってNi膜中のNを除去するが、成膜後にこのようなアニール処理を行うと、その分、スループットが低下してしまう。より高純度のNi膜を得るために、成膜とアニール処理とを複数回繰り返すと、ますますスループットが低下してしまう。そこで、上記特願2011−191917の実施形態では、本実施形態と同様にして初期成膜を行った後、還元ガスをHガスに変えて主成膜を行っている。 Conventionally, as shown in Patent Document 3, nickel amidinate is used as a film forming material and NH 3 is used as a reducing gas to form an entire Ni film, and then impurities in the Ni film are removed. For this reason, annealing in a hydrogen atmosphere is performed to remove N in the Ni film. However, if such annealing treatment is performed after the film formation, the throughput is reduced accordingly. If the film formation and the annealing process are repeated a plurality of times in order to obtain a higher-purity Ni film, the throughput is further reduced. Therefore, in the embodiment of the above Japanese Patent Application No. 2011-191917, after the initial film formation is performed in the same manner as the present embodiment, the main film is formed by changing the reducing gas to H 2 gas.

このように、初期成膜の後に主成膜を行うのは、以下の知見に基づいている。
(1)成膜原料であるニッケルアミジネートと非共有電子対を有する還元ガスであるNHとで初期成膜を行って初期Ni膜を成膜した後は、還元ガスとしてHガスを用いてもその上にNi膜を成膜することが可能である。
(2)還元ガスとしてHを用いた場合には、膜中へNが取り込まれないため、NiNが形成されずに純度の高いNi膜を形成することができる。
(3)還元ガスであるHガスの存在により、初期Ni膜に含まれるNを除去することができる。
(4)成膜原料としてニッケルアミジネートを用い、還元ガスとしてHを用いて初期Ni膜の上にNi膜を成膜する場合には、ニッケルアミジネートとNHとを用いて成膜するよりも成膜レートが高い。
Thus, the main film formation after the initial film formation is based on the following knowledge.
(1) After initial film formation was performed with nickel amidinate as a film forming raw material and NH 3 as a reducing gas having an unshared electron pair, an initial Ni film was formed, and then H 2 gas was used as a reducing gas. Even if it is used, it is possible to form a Ni film thereon.
(2) When H 2 is used as the reducing gas, since N is not taken into the film, a Ni film with high purity can be formed without forming Ni x N.
(3) N contained in the initial Ni film can be removed by the presence of H 2 gas which is a reducing gas.
(4) When using nickel amidinate as a film forming material and forming a Ni film on the initial Ni film using H 2 as a reducing gas, a nickel amidinate and NH 3 are used. The film formation rate is higher than the film formation.

しかし、さらに検討した結果、上記特願2011−191917に記載されている方法を採用した場合、主成膜工程において、純度の高い主Ni膜は得られるものの、初期Ni膜に含まれているNi−NやNi−C等の不純物が十分に除去できない場合があり、そのような不純物が、Ni膜の比抵抗を悪化させ、ニッケルシリサイドの形成不良の原因となることが判明した。   However, as a result of further investigation, when the method described in the above Japanese Patent Application No. 2011-1991917 is adopted, a main Ni film with high purity can be obtained in the main film forming step, but Ni contained in the initial Ni film is obtained. It has been found that impurities such as -N and Ni-C cannot be sufficiently removed, and such impurities deteriorate the specific resistance of the Ni film and cause nickel silicide formation failure.

そこで、本実施形態では、上述したように、ステップ1として成膜原料であるニッケルアミジネートと非共有電子対を有する還元ガスであるNHとで初期成膜を行って核生成(初期Ni膜を形成)した後、ステップ2としてニッケルアミジネートおよびNHガスの供給を停止してHガスにより水素処理を行って初期Ni膜の不純物を除去した後、ステップ3としてニッケルアミジネートとHガスとで主成膜を行うのである。これにより、ステップ2の水素処理により初期Ni膜中の不純物は除去され、また主Ni膜はニッケルアミジネートがHガスで還元されることにより不純物の極めて少ない膜として成膜されるので、得られるNi膜は全体として不純物の少ない高純度のものとなる。また、ステップ2の水素処理は、核として形成された極薄い初期Ni膜の不純物を除去するのみであり、短時間の処理でよく、しかも還元ガスとしてHガスを用いた主成膜では、NHガスを用いた場合よりも成膜レートが高いから、ニッケルアミジネートとNHとを用いて成膜し、成膜後にアニールする従来の方法よりもスループットを著しく高めることができる。 Therefore, in the present embodiment, as described above, initial film formation is performed in Step 1 with nickel amidinate as a film formation raw material and NH 3 as a reducing gas having an unshared electron pair, thereby generating nuclei (initial Ni After forming a film), the supply of nickel amidinate and NH 3 gas is stopped as step 2 and hydrogen treatment is performed with H 2 gas to remove impurities in the initial Ni film, and then step 3 is followed by nickel amidinate And H 2 gas are used for main film formation. Thereby, the impurities in the initial Ni film are removed by the hydrogen treatment in step 2, and the main Ni film is formed as a film with very few impurities by reducing nickel amidinate with H 2 gas. The obtained Ni film has a high purity with few impurities as a whole. Further, the hydrogen treatment in Step 2 only removes impurities in the very thin initial Ni film formed as nuclei, and may be a short-time treatment, and in the main film formation using H 2 gas as the reducing gas, Since the film formation rate is higher than when NH 3 gas is used, the throughput can be significantly increased as compared with the conventional method of forming a film using nickel amidinate and NH 3 and annealing after the film formation.

上記ステップ2の水素処理工程は、チャンバー1内の圧力:333.3〜13330Pa(2.5〜100Torr)、サセプタ2によるウエハWの加熱温度:160〜500℃、Hガス流量:25〜5000mL/min(sccm)の条件で行うことが好ましい。また、処理時間は、これら条件によって左右されるが、数十秒から数分で十分である。また、圧力、温度、およびHガス流量は、上記範囲内で値が大きいほどスループットが高まるので好ましい。 The hydrogen treatment process of Step 2 is as follows: the pressure in the chamber 1: 333.3 to 13330 Pa (2.5 to 100 Torr), the heating temperature of the wafer W by the susceptor 2: 160 to 500 ° C., the H 2 gas flow rate: 25 to 5000 mL / Min (sccm) is preferable. Also, the processing time depends on these conditions, but tens of seconds to several minutes is sufficient. Also, the pressure, temperature, and H 2 gas flow rate are preferable because the larger the value in the above range, the higher the throughput.

上記ステップ1の初期成膜工程においては、チャンバー1内の圧力:133.3〜2000Pa(1〜15Torr)、サセプタ2によるウエハWの加熱温度(成膜温度):200〜350℃、キャリアArガス流量:50〜500mL/min(sccm)、NHガス流量:10〜2000mL/min(sccm)が好ましい。 In the initial film forming step of Step 1 above, the pressure in the chamber 1 is 133.3 to 2000 Pa (1 to 15 Torr), the heating temperature of the wafer W by the susceptor 2 (film forming temperature): 200 to 350 ° C., carrier Ar gas The flow rate is preferably 50 to 500 mL / min (sccm), and the NH 3 gas flow rate is preferably 10 to 2000 mL / min (sccm).

また、上記ステップ3の主成膜工程においては、チャンバー1内の圧力:133.3〜2000Pa(1〜15Torr)、サセプタ2によるウエハWの加熱温度(成膜温度):200〜350℃、キャリアArガス流量:50〜500mL/min(sccm)、Hガス流量:50〜500mL/min(sccm)が好ましい。 Further, in the main film forming step of Step 3 above, the pressure in the chamber 1 is 133.3 to 2000 Pa (1 to 15 Torr), the heating temperature of the wafer W by the susceptor 2 (film forming temperature): 200 to 350 ° C., carrier Ar gas flow rate: 50 to 500 mL / min (sccm), H 2 gas flow rate: 50 to 500 mL / min (sccm) are preferable.

また、本実施形態のようにステップ1〜3を同一チャンバーで行う場合には、スループットを高める観点から、これらステップを同一の温度および圧力で行うことが好ましい。また、これらステップ1〜3の少なくとも一つを別のチャンバーで行ってもよく、その場合には各ステップにおいて、個別に条件を設定することもできる。   Further, when steps 1 to 3 are performed in the same chamber as in this embodiment, it is preferable to perform these steps at the same temperature and pressure from the viewpoint of increasing throughput. In addition, at least one of these steps 1 to 3 may be performed in a separate chamber, and in that case, conditions can be individually set in each step.

シリコン基板またはポリシリコン上に本実施形態に従ってNi膜を成膜した場合には、成膜後にArガス等の不活性ガス雰囲気でアニールを行うことによりニッケルシリサイド(NiSi)を得ることができる。この場合に、本実施形態では不純物の少ないNi膜が得られるので、ニッケルシリサイドの形成不良が生じることがなく、また、シリサイド化のためのアニール処理を短時間で行うことができる。   When a Ni film is formed on a silicon substrate or polysilicon according to this embodiment, nickel silicide (NiSi) can be obtained by annealing in an inert gas atmosphere such as Ar gas after the film formation. In this case, since the Ni film with few impurities is obtained in this embodiment, the formation of nickel silicide does not occur, and the annealing process for silicidation can be performed in a short time.

次に、上記ステップ2による不純物の除去効果を確認した実験について説明する。
ここでは、成膜原料としてNi(II)(tBu−AMD)を用い、還元ガスとしてNHを用いて、Siウエハ上に約30nmのNi膜(初期Ni膜に相当)を成膜したサンプルと、同様にしてNi膜を成膜した後、Hガスを供給して水素処理を行ったサンプルについてX線光電子分光(XPS)により、膜厚方向の組成分析を行った。その結果を図4、5に示す。これらの図において、横軸は厚さ方向のエッチングサイクルを示し、1回のエッチングで約1.7nmエッチングしている。なお、水素処理は、ウエハ温度:250℃、圧力:1333Pa(10Torr)、Hガス流量:500mL/min(sccm)、処理時間:180secの条件で行った。
Next, an experiment for confirming the effect of removing impurities by step 2 will be described.
Here, a sample in which a Ni film (corresponding to an initial Ni film) of about 30 nm is formed on a Si wafer using Ni (II) (tBu-AMD) 2 as a film forming material and NH 3 as a reducing gas. Then, after forming a Ni film in the same manner, a composition analysis in the film thickness direction was performed by X-ray photoelectron spectroscopy (XPS) on a sample which was supplied with H 2 gas and subjected to hydrogen treatment. The results are shown in FIGS. In these figures, the horizontal axis indicates the etching cycle in the thickness direction, and etching is performed at about 1.7 nm by one etching. The hydrogen treatment was performed under the conditions of wafer temperature: 250 ° C., pressure: 1333 Pa (10 Torr), H 2 gas flow rate: 500 mL / min (sccm), and treatment time: 180 sec.

その結果、図4に示すように、Ni膜を成膜したままでは膜中に不純物としてNやCがトータルで10原子%以上含まれているのに対し、図5に示すように、水素処理を行うことによりNおよびCがほとんど除去されることが確認された。   As a result, as shown in FIG. 4, when the Ni film is formed, N or C is contained in the film as impurities in total of 10 atomic% or more, whereas as shown in FIG. It was confirmed that N and C were almost removed by performing the above.

なお、本発明は、上記実施の形態に限定されることなく種々変形可能である。例えば、上記実施の形態においては、成膜原料を構成する、分子構造中に窒素−炭素結合をもつ配位子を有し、配位子中の窒素が金属に配位した構造を有する金属含有化合物として、Ni(II)(tBu−AMD)を用いてNi膜を成膜する場合を例示したが、同様の金属化合物を用いて他の金属、例えばTi(チタン)、Co(コバルト)、Cu(銅)、Ru(ルテニウム)、Ta(タンタル)等の金属膜を形成する場合においても適用可能である。特に、コバルトアミジネートはニッケルアミジネートと同様の構造を有しており、コバルトアミジネートを用いてCo膜を成膜する場合には、上記ニッケルアミジネートを用いてNi膜を成膜する場合とほぼ同等の効果が得られると考えられる。また、成膜原料としても、Ni膜を成膜する場合に、他のニッケルアミジネートを用いることもできるし、他の金属を成膜する場合にも、種々のアミジネート系化合物を用いることができる。さらに、分子構造中に窒素−炭素結合をもつ配位子を有し、配位子中の窒素が金属に配位した構造を有する金属含有化合物であればアミジネート系化合物以外であってもよい。 The present invention can be variously modified without being limited to the above embodiment. For example, in the above-described embodiment, a metal-containing material having a structure in which a film-forming raw material has a ligand having a nitrogen-carbon bond in a molecular structure and nitrogen in the ligand is coordinated to a metal. The case of forming a Ni film using Ni (II) (tBu-AMD) 2 as a compound is exemplified, but other metals such as Ti (titanium), Co (cobalt), The present invention is also applicable when forming a metal film such as Cu (copper), Ru (ruthenium), Ta (tantalum), or the like. In particular, cobalt amidinate has the same structure as nickel amidinate. When a Co film is formed using cobalt amidinate, a nickel film is formed using the nickel amidinate. It is considered that the same effect as that obtained when the film is formed can be obtained. In addition, as a film forming raw material, when a Ni film is formed, other nickel amidinates can be used, and when forming other metals, various amidinate compounds can be used. it can. Further, any compound other than an amidinate compound may be used as long as it has a ligand having a nitrogen-carbon bond in the molecular structure and has a structure in which nitrogen in the ligand is coordinated to a metal.

また、成膜装置の構造も上記実施形態のものに限らず、成膜原料の供給手法についても上記実施形態のようなバブリングに限定する必要はなく、種々の方法を適用することができる。   Further, the structure of the film forming apparatus is not limited to that in the above embodiment, and the method for supplying the film forming raw material is not necessarily limited to bubbling as in the above embodiment, and various methods can be applied.

さらにまた、被処理基板として半導体ウエハを用いた場合を説明したが、これに限らず、フラットパネルディスプレイ(FPD)基板等の他の基板であってもよい。   Furthermore, although the case where the semiconductor wafer was used as a to-be-processed substrate was demonstrated, not only this but another board | substrates, such as a flat panel display (FPD) board | substrate, may be sufficient.

1;チャンバー
2;サセプタ
5;ヒーター
10;シャワーヘッド
30;ガス供給機構
31;成膜原料タンク
42;NHガス供給源
43;Hガス供給源
50;制御部
51;プロセスコントローラ
53;記憶部
W;半導体ウエハ
1; chamber 2; susceptor 5; heater 10, showerhead to 30; the gas supply mechanism 31; film forming material tank 42; NH 3 gas supply source 43; H 2 gas supply source 50; the control unit 51; the process controller 53; storage unit W: Semiconductor wafer

Claims (10)

処理容器内に被処理基板を配置し、被処理基板上に、分子構造中に窒素−炭素結合をもつ配位子を有し、配位子中の窒素が金属に配位した構造を有する金属含有化合物からなる成膜原料と、アンモニア、ヒドラジン、およびこれらの誘導体から選択された少なくとも1種からなる還元ガスとを供給して、CVDにより初期金属膜を成膜する第1工程と、
その後、前記処理容器内に水素ガスを供給して被処理基板に対して水素処理を行う第2工程と、
被処理基板に形成された初期金属膜の上に、分子構造中に窒素−炭素結合をもつ配位子を有し、配位子中の窒素が金属に配位した構造を有する金属含有化合物からなる成膜原料と、水素ガスからなる還元ガスを供給して、CVDにより主金属膜を成膜する第3工程と
を有することを特徴とする金属膜の成膜方法。
A metal having a structure in which a substrate to be processed is arranged in a processing container, a ligand having a nitrogen-carbon bond in the molecular structure is formed on the substrate to be processed, and nitrogen in the ligand is coordinated to the metal. A first step of forming an initial metal film by CVD by supplying a film forming raw material comprising a compound and a reducing gas comprising at least one selected from ammonia, hydrazine, and derivatives thereof;
Then, a second step of supplying hydrogen gas into the processing vessel and performing hydrogen processing on the substrate to be processed;
From a metal-containing compound having a ligand having a nitrogen-carbon bond in the molecular structure and a structure in which nitrogen in the ligand is coordinated to the metal on the initial metal film formed on the substrate to be processed And a third step of forming a main metal film by CVD by supplying a reducing gas consisting of hydrogen gas and a film forming method for forming a metal film.
前記金属含有化合物は、金属アミジネート系化合物であることを特徴とする請求項1に記載の金属膜の成膜方法。   The metal film-forming method according to claim 1, wherein the metal-containing compound is a metal amidinate compound. 前記金属アミジネート系化合物はニッケルアミジネートであり、前記金属膜はニッケル膜であることを特徴とする請求項2に記載の金属膜の成膜方法。   The metal film formation method according to claim 2, wherein the metal amidinate compound is nickel amidinate, and the metal film is a nickel film. 前記第2工程は、160〜500℃で行われることを特徴とする請求項3に記載の金属膜の成膜方法。   The method for forming a metal film according to claim 3, wherein the second step is performed at 160 to 500 ° C. 5. 前記第2工程を実施する際の圧力は、333〜13330Paであることを特徴とする請求項3または請求項4に記載の金属膜の成膜方法。   5. The method for forming a metal film according to claim 3, wherein a pressure when performing the second step is 333 to 13330 Pa. 6. 前記第2工程を実施する際の水素ガス流量は、25〜5000mL/min(sccm)であることを特徴とする請求項3から請求項5のいずれか1項に記載の金属膜の成膜方法。   The method for forming a metal film according to claim 3, wherein a hydrogen gas flow rate when performing the second step is 25 to 5000 mL / min (sccm). . 前記第1工程および前記第3工程は、200〜350℃で行われることを特徴とする請求項3から請求項6のいずれか1項に記載の金属膜の成膜方法。   The method for forming a metal film according to any one of claims 3 to 6, wherein the first step and the third step are performed at 200 to 350 ° C. 前記第1工程および前記第3工程を実施する際の圧力は、133.3〜2000Paであることを特徴とする請求項3から請求項7のいずれか1項に記載の金属膜の成膜方法。   The method for forming a metal film according to any one of claims 3 to 7, wherein a pressure when performing the first step and the third step is 133.3 to 2000 Pa. . 前記第2工程は、前記第1工程および前記第3工程と同一温度および同一圧力で行われることを特徴とする請求項7または請求項8に記載の金属膜の成膜方法。   9. The method for forming a metal film according to claim 7, wherein the second step is performed at the same temperature and the same pressure as the first step and the third step. コンピュータ上で動作し、成膜装置を制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、請求項1から請求項9のいずれかの金属膜の成膜方法が行われるように、コンピュータに前記成膜装置を制御させることを特徴とする記憶媒体。   A storage medium that operates on a computer and stores a program for controlling a film forming apparatus, wherein the program is executed when the metal film forming method according to any one of claims 1 to 9 is executed. A storage medium characterized by causing a computer to control the film forming apparatus.
JP2012206920A 2012-09-20 2012-09-20 Method for forming metal film Active JP5917351B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2012206920A JP5917351B2 (en) 2012-09-20 2012-09-20 Method for forming metal film
KR1020130111699A KR101697076B1 (en) 2012-09-20 2013-09-17 Metal film forming method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012206920A JP5917351B2 (en) 2012-09-20 2012-09-20 Method for forming metal film

Publications (2)

Publication Number Publication Date
JP2014062281A true JP2014062281A (en) 2014-04-10
JP5917351B2 JP5917351B2 (en) 2016-05-11

Family

ID=50617781

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012206920A Active JP5917351B2 (en) 2012-09-20 2012-09-20 Method for forming metal film

Country Status (2)

Country Link
JP (1) JP5917351B2 (en)
KR (1) KR101697076B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015101752A (en) * 2013-11-25 2015-06-04 東京エレクトロン株式会社 Film deposition method for metal film
KR20180034265A (en) 2016-09-27 2018-04-04 도쿄엘렉트론가부시키가이샤 Manufacturing method of nickel wiring

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109260053B (en) * 2018-11-20 2021-06-18 天津科技大学 Preparation method of water-based wash-free antibacterial emulsion with zero skin internal permeability

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006511716A (en) * 2002-11-15 2006-04-06 プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ Atomic layer deposition using metal amidinates.
WO2011027835A1 (en) * 2009-09-02 2011-03-10 株式会社アルバック METHOD FOR FORMING Co FILM
JP2011066060A (en) * 2009-09-15 2011-03-31 Tokyo Electron Ltd Forming method of metal silicide film
JP2011063848A (en) * 2009-09-17 2011-03-31 Tokyo Electron Ltd Film deposition method and storage medium
WO2011040385A1 (en) * 2009-09-29 2011-04-07 東京エレクトロン株式会社 PROCESS FOR PRODUCTION OF Ni FILM
JP2011529135A (en) * 2008-07-24 2011-12-01 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Heteroleptic cyclopentadienyl transition metal precursor for the deposition of transition metal containing films
JP2012023152A (en) * 2010-07-13 2012-02-02 Ulvac Japan Ltd Co FILM FORMATION METHOD
JP2013053337A (en) * 2011-09-02 2013-03-21 Tokyo Electron Ltd Nickel film forming method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900004602B1 (en) * 1984-05-17 1990-06-30 배리안 어소시에이츠, 인코포레이티드 Vacuum sputtering apparatus
JP2839111B2 (en) * 1990-08-28 1998-12-16 日本パーカライジング株式会社 Chromate treatment method for galvanized steel sheet
JPH09153616A (en) 1995-09-28 1997-06-10 Toshiba Corp Semiconductor device and manufacture thereof

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006511716A (en) * 2002-11-15 2006-04-06 プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ Atomic layer deposition using metal amidinates.
JP2011529135A (en) * 2008-07-24 2011-12-01 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Heteroleptic cyclopentadienyl transition metal precursor for the deposition of transition metal containing films
WO2011027835A1 (en) * 2009-09-02 2011-03-10 株式会社アルバック METHOD FOR FORMING Co FILM
JP2011066060A (en) * 2009-09-15 2011-03-31 Tokyo Electron Ltd Forming method of metal silicide film
JP2011063848A (en) * 2009-09-17 2011-03-31 Tokyo Electron Ltd Film deposition method and storage medium
WO2011040385A1 (en) * 2009-09-29 2011-04-07 東京エレクトロン株式会社 PROCESS FOR PRODUCTION OF Ni FILM
JP2012023152A (en) * 2010-07-13 2012-02-02 Ulvac Japan Ltd Co FILM FORMATION METHOD
JP2013053337A (en) * 2011-09-02 2013-03-21 Tokyo Electron Ltd Nickel film forming method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015101752A (en) * 2013-11-25 2015-06-04 東京エレクトロン株式会社 Film deposition method for metal film
KR20180034265A (en) 2016-09-27 2018-04-04 도쿄엘렉트론가부시키가이샤 Manufacturing method of nickel wiring
US10700006B2 (en) 2016-09-27 2020-06-30 Tokyo Electron Limited Manufacturing method of nickel wiring

Also Published As

Publication number Publication date
JP5917351B2 (en) 2016-05-11
KR20140038328A (en) 2014-03-28
KR101697076B1 (en) 2017-01-17

Similar Documents

Publication Publication Date Title
WO2011040385A1 (en) PROCESS FOR PRODUCTION OF Ni FILM
JP4803578B2 (en) Deposition method
TWI404822B (en) Film forming method and memory media (2)
KR101334946B1 (en) Method for formation of metal silicide film
JP4889227B2 (en) Substrate processing method and film forming method
WO2011033918A1 (en) Film forming device, film forming method and storage medium
JP5917351B2 (en) Method for forming metal film
JP6391355B2 (en) Method for forming tungsten film
JP5661006B2 (en) Method for forming nickel film
JP6220649B2 (en) Method for forming metal film
JP2013209701A (en) Method of forming metal film
JP2014185353A (en) Ruthenium film forming method and storage medium
JP2008205325A (en) Manufacturing method of semiconductor device and substrate treatment apparatus
JP2013199673A (en) Method for forming ruthenium oxide film and method for cleaning treatment container for forming ruthenium oxide film
KR20110131273A (en) Method for forming cu film, and storage medium
JP2010111889A (en) Film deposition method, film deposition system and storage medium
JP5659041B2 (en) Film formation method and storage medium
JP2012175073A (en) Deposition method and storage medium
JP2012172250A (en) Film forming method and storage medium
JP2012199333A (en) Substrate processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150728

TRDD Decision of grant or rejection written
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160310

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160315

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160406

R150 Certificate of patent or registration of utility model

Ref document number: 5917351

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250