JP2013537363A - Method for forming a through-wafer interconnect in a semiconductor structure using a sacrificial material, and a semiconductor structure formed by such a method - Google Patents

Method for forming a through-wafer interconnect in a semiconductor structure using a sacrificial material, and a semiconductor structure formed by such a method Download PDF

Info

Publication number
JP2013537363A
JP2013537363A JP2013527639A JP2013527639A JP2013537363A JP 2013537363 A JP2013537363 A JP 2013537363A JP 2013527639 A JP2013527639 A JP 2013527639A JP 2013527639 A JP2013527639 A JP 2013527639A JP 2013537363 A JP2013537363 A JP 2013537363A
Authority
JP
Japan
Prior art keywords
semiconductor structure
semiconductor
substrate
via recess
wafer interconnect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2013527639A
Other languages
Japanese (ja)
Inventor
マリアム サダカ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Soitec SA
Original Assignee
Soitec SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/879,637 external-priority patent/US20120061794A1/en
Priority claimed from FR1057676A external-priority patent/FR2965397A1/en
Application filed by Soitec SA filed Critical Soitec SA
Publication of JP2013537363A publication Critical patent/JP2013537363A/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation

Abstract

半導体構造体を製造する方法は、バイア凹部(112)内に犠牲(132)材料を設けるステップと、半導体構造体中にウェーハ貫通相互接続部の第1の部分(174)を形成するステップと、導電性材料で犠牲材料を置き換えることによりウェーハ貫通相互接続部の第2の部分(212)を形成するステップとを含む。半導体構造体は、かかる方法により形成される。例えば、半導体構造体は、バイア凹部内の犠牲材料と、バイア凹部に位置合わせされたウェーハ貫通相互接続部の第1の部分とを備えてもよい。半導体構造体は、間に境界を有する2つ以上の部分を備えるウェーハ貫通相互接続部を備える。
【選択図】 図13
A method of manufacturing a semiconductor structure includes providing a sacrificial (132) material in a via recess (112), forming a first portion (174) of a through-wafer interconnect in the semiconductor structure; Forming a second portion (212) of the through-wafer interconnect by replacing the sacrificial material with a conductive material. The semiconductor structure is formed by such a method. For example, the semiconductor structure may comprise a sacrificial material in the via recess and a first portion of the through-wafer interconnect aligned with the via recess. The semiconductor structure includes a through-wafer interconnect that includes two or more portions having a boundary therebetween.
[Selection] FIG.

Description

[0001]本発明は、一般的には、ウェーハ貫通相互接続部を備える半導体構造体を形成する方法と、かかる方法により形成される半導体構造体に関する。   [0001] The present invention relates generally to a method of forming a semiconductor structure with through-wafer interconnects and a semiconductor structure formed by such a method.

[0002]半導体構造体は、電子信号プロセッサ、メモリデバイス、光電デバイス(例えば発光ダイオード(LED)、レーザダイオード、太陽電池、等)、マイクロ電気機械デバイス、及びナノ電気機械デバイス等の、半導体材料を使用したデバイス(すなわち半導体デバイス)を備え、このデバイスの製造時に形成される。かかる半導体構造体においては、ある半導体構造体を別のデバイス又は構造体(例えば別の半導体構造体)に電気的に及び/又は構造的に結合することが、しばしば必要となり、又は望ましいものとなる。半導体構造体を別のデバイス又は構造体に結合するかかるプロセスは、三次元(3D)集積化プロセスと呼ばれることが多い。   [0002] Semiconductor structures include semiconductor materials such as electronic signal processors, memory devices, photoelectric devices (eg, light emitting diodes (LEDs), laser diodes, solar cells, etc.), microelectromechanical devices, and nanoelectromechanical devices. It includes the device used (ie, a semiconductor device) and is formed when the device is manufactured. In such semiconductor structures, it is often necessary or desirable to electrically and / or structurally couple one semiconductor structure to another device or structure (eg, another semiconductor structure). . Such a process for bonding a semiconductor structure to another device or structure is often referred to as a three-dimensional (3D) integration process.

[0003]2つ以上の半導体構造体の3D集積化は、超小型電子機器の用途に多数の利点をもたらすことが可能である。例えば、超小型電子機器の構成要素を3D集積化することにより、電気性能及び電力消費における改善をもたらすことが可能となり、それと同時にデバイスのフットプリント面積の縮小が得られる。例えば、P.Garrou等「The Handbook of 3D Integration」、Wiley−VCH(2008)を参照されたい。   [0003] 3D integration of two or more semiconductor structures can provide a number of advantages for microelectronic applications. For example, 3D integration of microelectronic components can provide improvements in electrical performance and power consumption while at the same time reducing the footprint area of the device. For example, P.I. See Garrou et al. “The Handbook of 3D Integration”, Wiley-VCH (2008).

[0004]半導体構造体の3D集積化は、1つ又は複数の追加の半導体チップに対する半導体チップの装着(すなわちチップ−チップ間(D2D:die−to−die))、1つ又は複数の追加の半導体ウェーハに対する半導体チップの装着(すなわちチップ−ウェーハ間(D2W:die−to−wafer))、及び1つ又は複数の追加の半導体ウェーハに対する半導体ウェーハの装着(すなわちウェーハ−ウェーハ間(W2W:wafer−to−wafer))、又はそれらの組合せにより行うことができる。   [0004] 3D integration of a semiconductor structure is the mounting of a semiconductor chip to one or more additional semiconductor chips (ie, chip-to-die (D2D)), one or more additional semiconductor chips. Mounting of semiconductor chips to a semiconductor wafer (ie, chip-to-wafer (D2W)) and mounting of semiconductor wafers to one or more additional semiconductor wafers (ie, wafer-to-wafer (W2W)) to-wafer)), or a combination thereof.

[0005]しばしば、個々の半導体チップ又は半導体ウェーハは、比較的薄く、それらのチップ又はウェーハを処理するために装置で取り扱うことが困難となり得る。したがって、いわゆる「キャリア」チップ又は「キャリア」ウェーハが、作動半導体デバイスの能動構成要素及び受動構成要素を中に備える実際のチップ又は実際のウェーハに対して装着される場合がある。典型的には、キャリアチップ又はキャリアウェーハは、形成すべき半導体デバイスの能動構成要素又は受動構成要素を含まない。かかるキャリアチップ及びキャリアウェーハは、本明細書においては「キャリア基板」と呼ぶ。このキャリア基板により、チップ又はウェーハの全体の厚さが増大し、半導体デバイスの能動構成要素及び受動構成要素が上に製造されることとなる、このキャリア基板に対して装着されたチップ又はウェーハの中の、能動構成要素及び/又は受動構成要素を処理するために使用される処理装置によるこのチップ又はウェーハの取り扱いが、容易になる。   [0005] Often, individual semiconductor chips or semiconductor wafers are relatively thin and can be difficult to handle in an apparatus to process those chips or wafers. Thus, a so-called “carrier” chip or “carrier” wafer may be attached to an actual chip or actual wafer with active and passive components of an active semiconductor device therein. Typically, the carrier chip or carrier wafer does not include active or passive components of the semiconductor device to be formed. Such carrier chips and carrier wafers are referred to herein as “carrier substrates”. The carrier substrate increases the overall thickness of the chip or wafer and allows the active and passive components of the semiconductor device to be fabricated on top of the chip or wafer mounted to the carrier substrate. Handling of this chip or wafer by the processing equipment used to process the active and / or passive components therein is facilitated.

[0006]半導体構造体中の能動構成要素と、半導体構造体が装着される別のデバイス又は構造体の導電性特徴部との間における電気的接続を確立するために、「ウェーハ貫通相互接続部」又は「TWI」と本明細書において呼ぶものを使用することが知られている。ウェーハ貫通相互接続部は、半導体構造体の少なくとも一部分を貫通して延在する導電性バイアである。   [0006] In order to establish an electrical connection between an active component in a semiconductor structure and a conductive feature of another device or structure on which the semiconductor structure is mounted, a "through-wafer interconnect" "Or" TWI "is known to use what is referred to herein. The through-wafer interconnect is a conductive via that extends through at least a portion of the semiconductor structure.

[0007]いくつかの実施形態においては、本発明は、半導体構造体を製造する方法を含む。犠牲材料が、半導体構造体を部分的に貫通して延在する少なくとも1つのバイア凹部内に施されてもよい。少なくとも1つのウェーハ貫通相互接続部の第1の部分が、半導体構造体中に形成されてもよい。少なくとも1つのウェーハ貫通相互接続部の第1の部分は、少なくとも1つのバイア凹部と整列されてもよい。少なくとも1つのバイア凹部内の犠牲材料は、導電性材料で置き換えられることにより、少なくとも1つのウェーハ貫通相互接続部の第1の部分と電気接触状態にある少なくとも1つのウェーハ貫通相互接続部の第2の部分を形成する。   [0007] In some embodiments, the present invention includes a method of manufacturing a semiconductor structure. Sacrificial material may be applied in at least one via recess that extends partially through the semiconductor structure. A first portion of at least one through-wafer interconnect may be formed in the semiconductor structure. The first portion of the at least one through-wafer interconnect may be aligned with the at least one via recess. The sacrificial material in the at least one via recess is replaced with a conductive material so that the second of the at least one through-wafer interconnect in electrical contact with the first portion of the at least one through-wafer interconnect. Form the part.

[0008]また、本発明は、半導体構造体を製造する方法のさらなる実施形態を含む。かかる方法によれば、犠牲材料が、半導体構造体の表面中に延在する少なくとも1つのバイア凹部内に施される。半導体材料の層が、半導体構造体の表面を覆って設けられてもよく、少なくとも1つのデバイス構造体が、半導体材料の層を使用して製造されてもよい。半導体材料の層を貫通して延在する少なくとも1つのウェーハ貫通相互接続部の第1の部分が、形成される。半導体構造体は、半導体材料の層の反対側の側部から薄化されてもよい。犠牲材料は、半導体構造体中の少なくとも1つのバイア凹部内から除去されてもよく、少なくとも1つのウェーハ貫通相互接続部の第1の部分は、バイア凹部内において露出されてもよく、導電性材料が、バイア凹部内に施されることにより、少なくとも1つのウェーハ貫通相互接続部の第2の部分が形成されてもよい。   [0008] The invention also includes a further embodiment of a method of manufacturing a semiconductor structure. According to such a method, the sacrificial material is applied in at least one via recess that extends into the surface of the semiconductor structure. A layer of semiconductor material may be provided over the surface of the semiconductor structure, and at least one device structure may be fabricated using the layer of semiconductor material. A first portion of at least one through-wafer interconnect that extends through the layer of semiconductor material is formed. The semiconductor structure may be thinned from the opposite side of the layer of semiconductor material. The sacrificial material may be removed from within the at least one via recess in the semiconductor structure, the first portion of the at least one through-wafer interconnect may be exposed in the via recess, and the conductive material However, the second portion of the at least one through-wafer interconnect may be formed by being applied in the via recess.

[0009]さらに他の実施形態においては、本発明は、本明細書において開示される方法により形成された半導体構造体を含む。例えば、いくつかの実施形態においては、半導体構造体が、半導体構造体の表面から半導体構造体を部分的に貫通して延在する少なくとも1つのバイア凹部内の犠牲材料と、半導体構造体の表面を覆って配置された半導体材料と、半導体構造体の表面を覆って配置された半導体材料の少なくとも一部分を含む少なくとも1つのデバイス構造体とを備える。少なくとも1つのウェーハ貫通相互接続部の第1の部分が、半導体構造体の表面を覆って配置された半導体材料を貫通して延在し、少なくとも1つのウェーハ貫通相互接続部の第1の部分が、少なくとも1つのバイア凹部と整列される。   [0009] In yet other embodiments, the invention includes a semiconductor structure formed by the methods disclosed herein. For example, in some embodiments, a semiconductor structure includes a sacrificial material in at least one via recess extending partially through the semiconductor structure from the surface of the semiconductor structure, and a surface of the semiconductor structure. And at least one device structure including at least a portion of the semiconductor material disposed over the surface of the semiconductor structure. A first portion of at least one through-wafer interconnect extends through the semiconductor material disposed over the surface of the semiconductor structure, and the first portion of at least one through-wafer interconnect is , Aligned with at least one via recess.

[0010]さらなる実施形態においては、本発明は、活性表面と、裏側表面と、活性表面と裏側表面との間の半導体構造体内に位置する少なくとも1つのトランジスタと、活性表面及び裏側表面の少なくとも一方から半導体構造体を少なくとも部分的に貫通して延在する少なくとも1つのウェーハ貫通相互接続部とを備える、半導体構造体を含む。この少なくとも1つのウェーハ貫通相互接続部は、第1の部分、第2の部分、及び第1の部分のマイクロ構造体と第2の部分のマイクロ構造体との間の識別可能な境界を備える。   [0010] In a further embodiment, the present invention provides an active surface, a back surface, at least one transistor located in a semiconductor structure between the active surface and the back surface, and at least one of the active surface and the back surface. And at least one through-wafer interconnect extending at least partially through the semiconductor structure. The at least one through-wafer interconnect includes a first portion, a second portion, and an identifiable boundary between the first portion microstructure and the second portion microstructure.

[0011]本明細書は、本発明の実施形態と見なすものを特に指摘し明確に特許請求する特許請求の範囲を結びとして示すが、本発明の実施形態の利点は、添付の図面と組み合わせて本発明の実施形態のいくつかの例の説明を読むことによって、より容易に把握されよう。
[0012] 半導体構造体の一部分の概略断面側面図である。 [0013] 図1の半導体構造体を部分的に貫通するバイア凹部を設けることにより形成され得る、別の半導体構造体の一部分の概略断面側面図である。 [0014] 図2の半導体構造体中のバイア凹部内において、この半導体構造体の露出表面の上に又は露出表面を覆って誘電体材料を施すことにより形成され得る、別の半導体構造体の一部分の概略断面側面図である。 [0015] 図3の半導体構造体のバイア凹部内にポリシリコンなどの材料を施すことにより形成され得る、別の半導体構造体の一部分の概略断面側面図である。 [0016] 図4の半導体構造体に対して別の半導体構造体を接合することにより形成され得る、接合された半導体構造体の一部分の概略断面側面図である。 [0017] 図5の接合された半導体構造体中の別の半導体構造体を薄化することにより形成され得る、別の半導体構造体の一部分の概略断面側面図である。 [0018] 図6の接合された半導体構造体の一部分の中及び/又は上にトランジスタ及びシャロートレンチアイソレーション構造体を製造することにより形成され得る、別の半導体構造体の一部分の拡大図である。 [0019] 図7の半導体構造を覆う誘電体材料層を設けることにより、及び半導体構造体を貫通するウェーハ貫通相互接続部の一部分を設けることにより形成され得る、別の半導体構造体の一部分の拡大図である。 [0020] 図8の半導体構造体の表面を覆う、導電性構造体を備える1つ又は複数の層を製造することにより形成され得る、別の半導体構造体の一部分の拡大図である。 [0021] キャリア基板に対して図9の半導体構造体を接合することにより形成され得る、別の半導体構造体の一部分の拡大図である。 [0022] 図10の半導体構造体のバイア凹部の中からポリシリコン材料を除去することにより形成され得る、別の半導体構造体の一部分の拡大図である。 [0023] 図11の半導体構造体のバイア凹部内に導電性材料を施すことによりこのバイア凹部内にウェーハ貫通相互接続部の追加的部分を形成することによって形成され得る、別の半導体構造体の一部分の拡大図である。 [0024] 図12の半導体構造体からキャリア基板を除去し、ウェーハ貫通相互接続部の露出端部を覆って導電性バンプを設けることにより形成され得る、別の半導体構造体の一部分の拡大図である。 [0025] 図10に示すような半導体を図11に示すような半導体構造体へと処理するために利用され得る別の方法を示す図である。 図10に示すような半導体を図11に示すような半導体構造体へと処理するために利用され得る別の方法を示す図である。 図10に示すような半導体を図11に示すような半導体構造体へと処理するために利用され得る別の方法を示す図である。 [0026] 図10に示すような半導体を図11に示すような半導体構造体へと処理するために利用され得るさらに他の方法を示す図である。 図10に示すような半導体を図11に示すような半導体構造体へと処理するために利用され得るさらに他の方法を示す図である。 図10に示すような半導体を図11に示すような半導体構造体へと処理するために利用され得るさらに他の方法を示す図である。 図10に示すような半導体を図11に示すような半導体構造体へと処理するために利用され得るさらに他の方法を示す図である。
[0011] While this specification concludes with claims that particularly point out and distinctly claim what is considered as embodiments of the invention, the advantages of the embodiments of the present invention may be combined with the accompanying drawings. It will be easier to grasp by reading the description of some examples of embodiments of the present invention.
[0012] FIG. 4 is a schematic cross-sectional side view of a portion of a semiconductor structure. [0013] FIG. 2 is a schematic cross-sectional side view of a portion of another semiconductor structure that may be formed by providing a via recess that partially penetrates the semiconductor structure of FIG. [0014] A portion of another semiconductor structure that may be formed in the via recess in the semiconductor structure of FIG. 2 by applying a dielectric material over or over the exposed surface of the semiconductor structure. FIG. [0015] FIG. 4 is a schematic cross-sectional side view of a portion of another semiconductor structure that may be formed by applying a material such as polysilicon into the via recess of the semiconductor structure of FIG. [0016] FIG. 5 is a schematic cross-sectional side view of a portion of a bonded semiconductor structure that may be formed by bonding another semiconductor structure to the semiconductor structure of FIG. [0017] FIG. 6 is a schematic cross-sectional side view of a portion of another semiconductor structure that may be formed by thinning another semiconductor structure in the bonded semiconductor structure of FIG. [0018] FIG. 7 is an enlarged view of a portion of another semiconductor structure that may be formed by fabricating a transistor and a shallow trench isolation structure in and / or on a portion of the bonded semiconductor structure of FIG. . [0019] Enlarging a portion of another semiconductor structure that can be formed by providing a dielectric material layer overlying the semiconductor structure of FIG. 7 and by providing a portion of a through-wafer interconnect that extends through the semiconductor structure. FIG. [0020] FIG. 9 is an enlarged view of a portion of another semiconductor structure that may be formed by fabricating one or more layers comprising a conductive structure covering a surface of the semiconductor structure of FIG. [0021] FIG. 10 is an enlarged view of a portion of another semiconductor structure that may be formed by bonding the semiconductor structure of FIG. 9 to a carrier substrate. [0022] FIG. 11 is an enlarged view of a portion of another semiconductor structure that may be formed by removing polysilicon material from a via recess in the semiconductor structure of FIG. [0023] Another semiconductor structure that may be formed by applying an electrically conductive material in the via recess of the semiconductor structure of FIG. 11 to form an additional portion of the through-wafer interconnect in the via recess. It is an enlarged view of a part. [0024] FIG. 13 is an enlarged view of a portion of another semiconductor structure that can be formed by removing the carrier substrate from the semiconductor structure of FIG. 12 and providing a conductive bump over the exposed end of the through-wafer interconnect. is there. [0025] FIG. 12 illustrates another method that may be utilized to process the semiconductor as illustrated in FIG. 10 into a semiconductor structure as illustrated in FIG. FIG. 12 illustrates another method that may be utilized to process a semiconductor as shown in FIG. 10 into a semiconductor structure as shown in FIG. FIG. 12 illustrates another method that may be utilized to process a semiconductor as shown in FIG. 10 into a semiconductor structure as shown in FIG. [0026] FIG. 13 illustrates yet another method that may be utilized to process the semiconductor as illustrated in FIG. 10 into a semiconductor structure as illustrated in FIG. FIG. 12 illustrates yet another method that can be utilized to process a semiconductor as shown in FIG. 10 into a semiconductor structure as shown in FIG. FIG. 12 illustrates yet another method that can be utilized to process a semiconductor as shown in FIG. 10 into a semiconductor structure as shown in FIG. FIG. 12 illustrates yet another method that may be utilized to process the semiconductor as shown in FIG. 10 into a semiconductor structure as shown in FIG.

[0027]以下の説明は、本開示の実施形態及びその実装を十分に説明するために、材料タイプ及び処理条件などの具体的詳細を提示する。しかし、当業者には理解されるであろうが、本開示の実施形態は、これらの具体的詳細の使用を伴わずに、及び従来の製造技術と組み合わせて、実施されてもよい。さらに、本明細書において提示する説明は、半導体デバイス又は半導体システムを製造するための完全なプロセスフローを成すものではない。本明細書においては、本発明の実施形態を理解するために必要なプロセス行為及び構造体を詳細に説明するに過ぎない。本明細書において説明される材料は、スピン塗布、ブランケット塗布、Bridgeman and Czochralskiプロセス、化学気相成長(「CVD」)、プラズマ化学気相成長(「PECVD」)、原子層堆積(「ALD」)、プラズマ原子層堆積(PEALD)、又は物理気相成長(「PVD」)を含むがそれらに限定されない任意の適切な技術により形成(例えば堆積又は成長)され得る。本明細書において説明及び例示される材料は、層として形成され得るが、これらの材料は、層には限定されず、他の三次元構成において形成されてもよい。   [0027] The following description presents specific details, such as material types and processing conditions, in order to fully describe the embodiments of the present disclosure and their implementation. However, as will be appreciated by one skilled in the art, embodiments of the present disclosure may be practiced without the use of these specific details and in combination with conventional manufacturing techniques. Further, the description presented herein does not form a complete process flow for manufacturing semiconductor devices or semiconductor systems. This specification merely describes in detail the process actions and structures necessary to understand the embodiments of the present invention. The materials described herein include spin coating, blanket coating, Bridgeman and Czochralski process, chemical vapor deposition (“CVD”), plasma enhanced chemical vapor deposition (“PECVD”), atomic layer deposition (“ALD”). , Plasma atomic layer deposition (PEALD), or physical vapor deposition (“PVD”), may be formed (eg, deposited or grown) by any suitable technique. Although the materials described and exemplified herein can be formed as layers, these materials are not limited to layers and may be formed in other three-dimensional configurations.

[0028]本明細書において使用される「水平の」及び「垂直の」という用語は、半導体構造体の配向にかかわらず、半導体構造体(例えばウェーハ、チップ、基板、等)の主要平面又は主要表面に対する要素又は構造体の相対位置を規定するものであり、説明されている構造体の配向に対する垂直次元において解釈される。本明細書において使用される「垂直の」という用語は、半導体構造体の主要表面に対して実質的に垂直である次元を意味すると共に含み、「水平の」という用語は、半導体構造体の主要表面に対して実質的に平行な次元を意味する。   [0028] As used herein, the terms "horizontal" and "vertical" refer to the principal plane or principal of a semiconductor structure (eg, wafer, chip, substrate, etc.), regardless of the orientation of the semiconductor structure. Defines the relative position of an element or structure with respect to the surface and is interpreted in a vertical dimension relative to the orientation of the structure being described. As used herein, the term “vertical” means and includes a dimension that is substantially perpendicular to the major surface of the semiconductor structure, and the term “horizontal” refers to the major of the semiconductor structure. Means a dimension substantially parallel to the surface.

[0029]本明細書において使用される「半導体構造体」という用語は、半導体デバイスの形成において使用される任意の構造体を意味すると共に含む。半導体構造体は、例えば、チップ及びウェーハ(例えばキャリア基板及びデバイス基板)、並びに相互に三次元集積化された2つ以上のチップ及び/又はウェーハを備えるアセンブリ又は複合構造体を含む。また、半導体構造体は、完成した半導体デバイス、及び半導体デバイスの製造中に形成される中間構造体を含む。半導体構造体は、導電性材料、半導体材料、及び/又は非導電性材料を含んでもよい。   [0029] The term "semiconductor structure" as used herein means and includes any structure used in the formation of semiconductor devices. Semiconductor structures include, for example, chips and wafers (eg, carrier and device substrates) and assemblies or composite structures that include two or more chips and / or wafers that are three-dimensionally integrated with each other. The semiconductor structure also includes a completed semiconductor device and an intermediate structure formed during manufacture of the semiconductor device. The semiconductor structure may include a conductive material, a semiconductor material, and / or a non-conductive material.

[0030]本明細書において使用される「処理された半導体構造体」という用語は、1つ又は複数の少なくとも部分的に形成されたデバイス構造体を備える任意の半導体構造体を意味すると共に含む。処理された半導体構造体は、半導体構造体の小部分であり、処理された半導体構造体は全て、半導体構造体である。   [0030] The term "processed semiconductor structure" as used herein means and includes any semiconductor structure that comprises one or more at least partially formed device structures. The processed semiconductor structure is a small part of the semiconductor structure, and all the processed semiconductor structures are semiconductor structures.

[0031]本明細書において使用される「接合された半導体構造体」という用語は、一体的に装着された2つ以上の半導体構造体を備える任意の構造体を意味すると共に含む。接合された半導体構造体は、半導体構造体の小部分であり、接合された半導体構造体は全て、半導体構造体である。さらに1つ又は複数の処理された半導体構造体を備える接合された半導体構造体もまた、処理された半導体構造体である。   [0031] As used herein, the term "joined semiconductor structure" means and includes any structure comprising two or more semiconductor structures attached together. The bonded semiconductor structure is a small part of the semiconductor structure, and all the bonded semiconductor structures are semiconductor structures. In addition, a bonded semiconductor structure comprising one or more processed semiconductor structures is also a processed semiconductor structure.

[0032]本明細書において使用される「デバイス構造体」という用語は、半導体構造体の上又は中に形成すべき半導体デバイスの能動構成要素又は受動構成要素の少なくとも一部分であるか、それを備えるか、又はそれを画成する、処理された半導体構造体の任意の部分を意味すると共に含む。例えば、デバイス構造体は、例えばトランジスタ、変換機、コンデンサ、抵抗、導電性ライン、導電性バイア、及び導電性接触パッドなどの、集積回路の能動構成要素及び受動構成要素を含む。   [0032] The term "device structure" as used herein is or comprises at least a portion of an active component or passive component of a semiconductor device to be formed on or in a semiconductor structure. Means or includes any portion of the processed semiconductor structure that defines or defines it. For example, the device structure includes active and passive components of an integrated circuit such as transistors, converters, capacitors, resistors, conductive lines, conductive vias, and conductive contact pads.

[0033]本明細書において使用される「ウェーハ貫通相互接続部」又は「TWI」という用語は、第1の半導体構造体と第2の半導体構造体との間に第1の半導体構造体と第2の半導体構造体との間の界面を横断する構造的相互接続部及び/又は電気的相互接続部を設けるために使用される第1の半導体構造体の少なくとも一部分を貫通して延在する任意の導電性バイアを意味すると共に含む。また、ウェーハ貫通相互接続部は、当技術においては「シリコン貫通バイア」又は「基板貫通バイア」(TSV)及び「ウェーハ貫通バイア」又は「TWV」などの他の用語によっても示される。典型的には、TWIは、半導体構造体の概して平坦な主要表面に対してほぼ垂直な方向において(すなわち「Z」軸に対して平行な方向において)半導体構造体を貫通して延在する。   [0033] As used herein, the term "through-wafer interconnect" or "TWI" refers to a first semiconductor structure and a second semiconductor structure between a first semiconductor structure and a second semiconductor structure. Any extending through at least a portion of the first semiconductor structure used to provide structural and / or electrical interconnections across the interface between the two semiconductor structures Means and includes conductive vias. Through-wafer interconnects are also indicated in the art by other terms such as “through silicon via” or “through substrate via” (TSV) and “through wafer via” or “TWV”. Typically, the TWI extends through the semiconductor structure in a direction generally perpendicular to the generally planar major surface of the semiconductor structure (ie, in a direction parallel to the “Z” axis).

[0034]本明細書において使用される「活性表面」という用語は、処理された半導体構造体に関連して使用される場合に、処理された半導体構造体の露出された主要表面の中及び/又は上に1つ又は複数のデバイス構造体を形成するように処理された、或いは処理されることとなる、処理された半導体構造体の露出された主要表面を意味すると共に含む。   [0034] The term "active surface" as used herein, when used in connection with a processed semiconductor structure, in the exposed major surface of the processed semiconductor structure and / or Or means and includes an exposed major surface of a processed semiconductor structure that has been or will be processed to form one or more device structures thereon.

[0035]本明細書において使用される「裏側表面」という用語は、処理された半導体構造体に関連して使用される場合に、処理された半導体構造体の活性表面の反対側の側部の、処理された半導体構造体の露出された主要表面を意味し、それを含む。   [0035] The term "backside surface" as used herein, when used in connection with a processed semiconductor structure, refers to the side opposite the active surface of the processed semiconductor structure. , Means and includes the exposed major surface of the treated semiconductor structure.

[0036]本明細書において使用される「III−Vタイプ半導体材料」という用語は、周期表のIIIA族の1つ又は複数の元素(B、Al、Ga、In、及びTi)並びに周期表のVA族の1つ又は複数の元素(N、P、As、Sb、及びBi)から主に構成される任意の材料を意味すると共に含む。   [0036] As used herein, the term "III-V type semiconductor material" refers to one or more elements of group IIIA of the periodic table (B, Al, Ga, In, and Ti) and periodic table Means and includes any material composed primarily of one or more elements of Group VA (N, P, As, Sb, and Bi).

[0037]本明細書において使用される「熱膨張率」という用語は、材料又は構造体に関して使用される場合に、室温における材料又は構造体の平均線形熱膨張率を意味する。   [0037] The term "coefficient of thermal expansion" as used herein refers to the average linear coefficient of thermal expansion of a material or structure at room temperature when used with respect to the material or structure.

[0038]以下においてさらに詳細に論じるように、いくつかの実施形態においては、本発明は、1つ又は複数のウェーハ貫通相互接続部を中に備える半導体構造体を形成する方法を含む。ウェーハ貫通相互接続部は、別個のプロセスにおいて形成される2つ以上の部分を備えてもよい。   [0038] As discussed in further detail below, in some embodiments, the present invention includes a method of forming a semiconductor structure having one or more through-wafer interconnects therein. The through-wafer interconnect may comprise two or more parts formed in separate processes.

[0039]図1は、第1の半導体構造体100の一部分の概略断面側面図である。第1の半導体構造体100は、材料102の層又は基板を備えてもよい。例えば、材料102は、酸化物(例えば二酸化ケイ素(SiO)若しくは酸化アルミニウム(Al))又は窒化物(例えば窒化ケイ素(Si)若しくは窒化ホウ素(BN))などのセラミックを含んでもよい。別の例としては、第1の半導体材料100は、シリコン(Si)、ゲルマニウム(Ge)、III−V族半導体材料、等の半導体材料を含んでもよい。さらに、材料102は、単結晶半導体材料又は半導体材料のエピタキシャル層を含んでもよい。1つの非限定的な例としては、第1の半導体構造体100の材料102は、単結晶バルクシリコン材料を含んでもよい。 FIG. 1 is a schematic cross-sectional side view of a portion of a first semiconductor structure 100. The first semiconductor structure 100 may comprise a layer or substrate of material 102. For example, the material 102 may be a ceramic such as an oxide (eg, silicon dioxide (SiO 2 ) or aluminum oxide (Al 2 O 3 )) or a nitride (eg, silicon nitride (Si 3 N 4 ) or boron nitride (BN)). May be included. As another example, the first semiconductor material 100 may include a semiconductor material such as silicon (Si), germanium (Ge), a group III-V semiconductor material, and the like. Further, material 102 may include a single crystal semiconductor material or an epitaxial layer of semiconductor material. As one non-limiting example, the material 102 of the first semiconductor structure 100 may comprise a single crystal bulk silicon material.

[0040]図2は、図1の半導体構造体100の中にバイア凹部112を設けることにより形成され得る、別の半導体構造体110を示す。バイア凹部112は、以下においてさらに詳細に説明するように、ウェーハ貫通相互接続部の一部分を形成するために使用され得る。図2に示すように、バイア凹部112は、半導体構造体110の第1の主要表面104からこの半導体構造体110の材料102の中に及び少なくとも部分的に貫通して延在してもよい。いくつかの実施形態においては、バイア凹部112は、半導体構造体110の材料102を部分的にのみ通り延在するブラインドバイア凹部を備えてもよい。   [0040] FIG. 2 shows another semiconductor structure 110 that may be formed by providing a via recess 112 in the semiconductor structure 100 of FIG. Via recess 112 may be used to form a portion of the through-wafer interconnect, as will be described in more detail below. As shown in FIG. 2, the via recess 112 may extend from the first major surface 104 of the semiconductor structure 110 into and at least partially through the material 102 of the semiconductor structure 110. In some embodiments, via recess 112 may comprise a blind via recess that extends only partially through material 102 of semiconductor structure 110.

[0041]バイア凹部112は、ほぼ円筒状の断面形状又は任意の他の断面形状を有してもよい。バイア凹部112は、約1マイクロメートル(1μm)以下、又は約10マイクロメートル(10μm)以下、又はさらには50マイクロメートル(50μm)以下の平均断面寸法(例えば平均直径)を有してもよい。さらに、バイア凹部112は、約0.5〜約10.0に及ぶ範囲の平均アスペクト比(すなわち平均高さ対平均断面寸法の比)を有してもよい。   [0041] The via recess 112 may have a generally cylindrical cross-sectional shape or any other cross-sectional shape. Via recesses 112 may have an average cross-sectional dimension (eg, average diameter) of about 1 micrometer (1 μm) or less, or about 10 micrometers (10 μm) or less, or even 50 micrometers (50 μm) or less. Further, via recess 112 may have an average aspect ratio (ie, a ratio of average height to average cross-sectional dimension) ranging from about 0.5 to about 10.0.

[0042]図3は、バイア凹部112内において材料102の表面に誘電体材料122を施すことにより形成され得る、別の半導体構造体120を示す。例として、またそれに限定されないが、誘電体材料122は、酸化物(例えば二酸化ケイ素(SiO)若しくは酸化アルミニウム(Al))、窒化物(例えば窒化ケイ素(Si)若しくは窒化ホウ素(BN))、又はオキシナイトライド(例えばシリコンオキシナイトライド)などのセラミックを含んでもよい。誘電体材料122は、バイア凹部112内の材料102の露出表面の上又は中にin situ形成されてもよい。さらなる実施形態においては、誘電体材料122は、バイア凹部112内の材料102の露出表面を覆って堆積されてもよい。1つの特定の非限定的な例としては、材料102は、バルクシリコン材料を含んでもよく、誘電体材料122は、酸化ケイ素を含んでもよく、誘電体材料122は、バイア凹部112内の材料102の露出表面を酸化することにより形成されてもよい。また、いくつかの実施形態においては、誘電体材料122は、図3に示すように、半導体構造体110(図2)の第1の主要表面104を覆って堆積されてもよい。 FIG. 3 illustrates another semiconductor structure 120 that may be formed by applying a dielectric material 122 to the surface of the material 102 within the via recess 112. By way of example and not limitation, the dielectric material 122 may be an oxide (eg, silicon dioxide (SiO 2 ) or aluminum oxide (Al 2 O 3 )), nitride (eg, silicon nitride (Si 3 N 4 ) or nitride). Boron (BN)), or ceramics such as oxynitride (eg, silicon oxynitride) may be included. Dielectric material 122 may be formed in situ on or in the exposed surface of material 102 in via recess 112. In further embodiments, dielectric material 122 may be deposited over the exposed surface of material 102 in via recess 112. As one specific, non-limiting example, material 102 may include a bulk silicon material, dielectric material 122 may include silicon oxide, and dielectric material 122 may include material 102 in via recess 112. It may be formed by oxidizing the exposed surface. In some embodiments, dielectric material 122 may also be deposited over first major surface 104 of semiconductor structure 110 (FIG. 2), as shown in FIG.

[0043]図4を参照すると、バイア凹部112(図3)は、犠牲材料132で充填されてもよい。犠牲材料132は、以下において論じるように、最終的に除去され別の材料で置き換えられることとなる材料を含む。犠牲材料132は、例えば多結晶シリコン材料を含んでもよい。換言すれば、犠牲材料132は、マイクロ構造体内において不規則に配向された複数の相互接合されたシリコン粒子を含むマイクロ構造体を有するシリコンを含んでもよい。かかるシリコン材料は、当技術においては「ポリシリコン」材料と通常呼ばれる。さらなる実施形態においては、犠牲材料132は、セラミック、半導体材料(例えば多結晶SiGe)、ポリマー材料、金属、等の、材料102(及びオプションの誘電体材料122)よりも選択的にエッチングされ得る任意の他の材料を含んでもよい。いくつかの実施形態においては、犠牲材料132は、酸化物、窒化物、又はオキシナイトライド(例えば二酸化ケイ素)などの、1つ又は複数の追加の誘電体材料を含んでもよい。犠牲材料132は、以下においてさらに詳細に説明するように、トランジスタ又は他のデバイス構造体の製造の際に半導体構造体がさらされ得る温度である約400℃超の温度にて半導体構造体を処理する際に、犠牲材料132の原子が半導体構造体の周囲領域内に有意な態様で拡散することがないように選択された成分、又は高温でのかかるプロセスの際に有意量の原子が周囲の構造体中に拡散した場合でも半導体構造体に対して有害な影響を及ぼさない成分を有してもよい。いくつかの実施形態においては、犠牲材料132は、材料102が示す熱膨張率の約40パーセント(40%)の範囲内、材料102が示す熱膨張率の約20パーセント(20%)の範囲内、又はさらには材料102が示す熱膨張率の約5パーセント(5%)の範囲内である熱膨張率を示してもよい。さらに、いくつかの実施形態においては、犠牲材料132は、約5.0×10−6−1以下、約3.0×10−6−1以下、又はさらには約1.0×10−6−1以下である熱膨張率を有する材料を含んでもよい。 [0043] Referring to FIG. 4, the via recess 112 (FIG. 3) may be filled with a sacrificial material 132. FIG. The sacrificial material 132 includes a material that will eventually be removed and replaced with another material, as discussed below. The sacrificial material 132 may include, for example, a polycrystalline silicon material. In other words, the sacrificial material 132 may include silicon having a microstructure that includes a plurality of interconnected silicon particles that are randomly oriented within the microstructure. Such silicon materials are commonly referred to in the art as “polysilicon” materials. In further embodiments, the sacrificial material 132 is an optional material that can be etched more selectively than the material 102 (and optional dielectric material 122), such as ceramic, semiconductor material (eg, polycrystalline SiGe), polymer material, metal, etc. Other materials may also be included. In some embodiments, the sacrificial material 132 may include one or more additional dielectric materials, such as oxides, nitrides, or oxynitrides (eg, silicon dioxide). The sacrificial material 132 treats the semiconductor structure at a temperature greater than about 400 ° C., which is the temperature at which the semiconductor structure may be exposed during the manufacture of transistors or other device structures, as described in more detail below. The components selected so that the atoms of the sacrificial material 132 do not diffuse in a significant manner into the surrounding region of the semiconductor structure, or a significant amount of atoms in the surroundings during such a process at elevated temperatures. Even when it diffuses into the structure, it may have a component that does not adversely affect the semiconductor structure. In some embodiments, the sacrificial material 132 is within a range of about 40 percent (40%) of the coefficient of thermal expansion exhibited by the material 102 and within a range of about 20 percent (20%) of the coefficient of thermal expansion exhibited by the material 102. Or even may exhibit a coefficient of thermal expansion that is in the range of about 5 percent (5%) of the coefficient of thermal expansion exhibited by material 102. Further, in some embodiments, the sacrificial material 132 is about 5.0 × 10 −6 ° C.− 1 or less, about 3.0 × 10 −6 ° C.− 1 or less, or even about 1.0 × 10 10 A material having a coefficient of thermal expansion of −6 ° C. −1 or less may be included.

[0044]バイア凹部112(図3)内に犠牲材料132を施した後に、半導体構造体130の表面134は、犠牲材料132の露出表面が、半導体構造体130の表面134において材料102の露出表面と少なくとも実質的に同一平面及び同一外延を有するように、平坦化されてもよい。さらに詳細には、犠牲材料132は、例えばCVD法などを利用して、第1の主要表面104(及びオプションの誘電体材料122)を覆って共形的に形成されてもよい。犠牲材料132は、バイア凹部112が犠牲材料132で少なくとも実質的に完全に充填されるような厚さにまで形成されてもよい。次いで、余剰の犠牲材料132(及びオプションの誘電体材料132)が、半導体構造体130の表面134を平坦化するために除去されてもよい。例えば、半導体構造体130の表面134は、化学プロセス(例えば湿式化学エッチングプロセス若しくは乾式化学エッチングプロセス)又は機械プロセス(例えば研磨プロセス若しくはラッピングプロセス)を利用して、或いは化学機械研磨(CMP)プロセスにより、平坦化されてもよい。   [0044] After applying the sacrificial material 132 in the via recess 112 (FIG. 3), the surface 134 of the semiconductor structure 130 is exposed to the exposed surface of the material 102 at the surface 134 of the semiconductor structure 130. And may be planarized to have at least substantially the same plane and the same extension. More specifically, the sacrificial material 132 may be conformally formed over the first major surface 104 (and optional dielectric material 122), for example, using a CVD method or the like. The sacrificial material 132 may be formed to a thickness such that the via recess 112 is at least substantially completely filled with the sacrificial material 132. The excess sacrificial material 132 (and optional dielectric material 132) may then be removed to planarize the surface 134 of the semiconductor structure 130. For example, the surface 134 of the semiconductor structure 130 may utilize a chemical process (eg, a wet chemical etch process or a dry chemical etch process) or a mechanical process (eg, a polishing process or a lapping process), or by a chemical mechanical polishing (CMP) process. , May be planarized.

[0045]上述のようにバイア凹部112(図3)内に犠牲材料132を施した後に、半導体材料の薄層が、半導体構造体130の表面134を覆って設けられてもよい。非限定的な例としては、半導体材料の薄層は、図5及び図6を参照として以下において説明するように、半導体構造体130の表面134を覆って設けられてもよい。   [0045] After applying the sacrificial material 132 in the via recess 112 (FIG. 3) as described above, a thin layer of semiconductor material may be provided over the surface 134 of the semiconductor structure 130. As a non-limiting example, a thin layer of semiconductor material may be provided over the surface 134 of the semiconductor structure 130, as will be described below with reference to FIGS.

[0046]図5は、図4の半導体構造体130の表面134に対して基板142を備える別の半導体構造体を接合することにより形成され得る、接合された半導体構造体を示す。基板142は、例えばシリコン(Si)、ゲルマニウム(Ge)、III−V族半導体材料、等の半導体材料を含んでもよい。さらに、基板142の材料は、単結晶半導体材料又は半導体材料のエピタキシャル層を含んでもよい。非限定的な例としては、基板142の材料は、単結晶バルクシリコン材料を含んでもよい。   [0046] FIG. 5 illustrates a bonded semiconductor structure that may be formed by bonding another semiconductor structure comprising a substrate 142 to the surface 134 of the semiconductor structure 130 of FIG. The substrate 142 may include a semiconductor material such as silicon (Si), germanium (Ge), or a III-V semiconductor material. Further, the material of the substrate 142 may include a single crystal semiconductor material or an epitaxial layer of semiconductor material. As a non-limiting example, the material of the substrate 142 may include a single crystal bulk silicon material.

[0047]基板142は、半導体構造体130の接合表面と基板142の接合表面との間の接合界面に沿って半導体構造体130の接合表面と基板142の接合表面との間に直接原子結合又は直接分子結合を生じさせることにより、基板142が半導体構造体130(図4)に対して直接的に接合される、直接接合プロセスを利用して、表面134に対して接合されてもよい。換言すれば、基板142は、基板142と半導体構造体130との間に接着剤又はいかなる他の中間接合材料を使用することもなく、半導体構造体130に対して直接的に接合されてもよい。基板142と半導体構造体130との間における原子結合又は分子結合の性質は、基板142及び半導体構造体130のそれぞれの材料成分により決定される。したがって、いくつかの実施形態によれば、直接原子結合又は直接分子結合は、例えば酸化ケイ素及び酸化ゲルマニウムの少なくとも一方と、シリコン、ゲルマニウム、酸化ケイ素、及び酸化ゲルマニウムの中の少なくとも1つとの間において生じるものであってもよい。   [0047] The substrate 142 may have a direct atomic bond between the bonding surface of the semiconductor structure 130 and the bonding surface of the substrate 142 along a bonding interface between the bonding surface of the semiconductor structure 130 and the bonding surface of the substrate 142. The substrate 142 may be bonded to the surface 134 using a direct bonding process where the substrate 142 is bonded directly to the semiconductor structure 130 (FIG. 4) by creating a direct molecular bond. In other words, the substrate 142 may be bonded directly to the semiconductor structure 130 without using an adhesive or any other intermediate bonding material between the substrate 142 and the semiconductor structure 130. . The nature of atomic or molecular bonds between the substrate 142 and the semiconductor structure 130 is determined by the respective material components of the substrate 142 and the semiconductor structure 130. Thus, according to some embodiments, the direct atomic bond or direct molecular bond is between, for example, at least one of silicon oxide and germanium oxide and at least one of silicon, germanium, silicon oxide, and germanium oxide. It may occur.

[0048]例として、またそれに限定されないが、基板142の接合表面は、酸化物材料(例えば二酸化ケイ素(SiO))を含んでもよく、半導体構造体130の接合表面は、同じ酸化物材料(例えば二酸化ケイ素(SiO))から少なくとも実質的に構成されてもよい。かかる実施形態においては、半導体構造体130の接合表面に対して基板142の接合表面を接合するために、酸化ケイ素−酸化ケイ素間の表面直接接合プロセスが利用されてもよい。かかる実施形態においては、図5に示すように、接合材料148(例えば二酸化ケイ素などの酸化物の層)が、基板142と半導体構造体130(図4)との間の接合界面において、基板142と半導体構造体130との間に配置されてもよい。接合材料148は、例えば約1,000Åの平均厚さを有してもよい。 [0048] By way of example and not limitation, the bonding surface of the substrate 142 may include an oxide material (eg, silicon dioxide (SiO 2 )), and the bonding surface of the semiconductor structure 130 may be the same oxide material ( For example, it may be at least substantially composed of silicon dioxide (SiO 2 ). In such embodiments, a silicon oxide-silicon oxide surface direct bonding process may be utilized to bond the bonding surface of the substrate 142 to the bonding surface of the semiconductor structure 130. In such an embodiment, as shown in FIG. 5, the bonding material 148 (eg, a layer of oxide such as silicon dioxide) is applied to the substrate 142 at the bonding interface between the substrate 142 and the semiconductor structure 130 (FIG. 4). And the semiconductor structure 130. The bonding material 148 may have an average thickness of, for example, about 1,000 mm.

[0049]さらなる実施形態においては、基板142の接合表面は、半導体材料(例えばシリコン)を含んでもよく、半導体構造体130の接合表面は、同じ半導体材料(例えばシリコン)から少なくとも実質的に構成されてもよい。かかる実施形態においては、半導体構造体130の接合表面に対して基板142の接合表面を接合するために、シリコン−シリコン間の表面直接接合プロセスが利用されてもよい。   [0049] In a further embodiment, the bonding surface of the substrate 142 may include a semiconductor material (eg, silicon), and the bonding surface of the semiconductor structure 130 is at least substantially composed of the same semiconductor material (eg, silicon). May be. In such embodiments, a silicon-silicon surface direct bonding process may be utilized to bond the bonding surface of the substrate 142 to the bonding surface of the semiconductor structure 130.

[0050]いくつかの実施形態においては、基板142のそれぞれの接合表面と半導体構造体130のそれぞれの接合表面との間の直接接合は、比較的平滑な表面を有するように基板142の接合表面及び半導体構造体130の接合表面を形成し、その後これらの接合表面を一体的に当接させ、アニールプロセスの際にこれらの接合表面間の接触を維持することにより、確立されてもよい。   [0050] In some embodiments, the direct bonding between each bonding surface of the substrate 142 and each bonding surface of the semiconductor structure 130 has a relatively smooth surface so that the bonding surface of the substrate 142 has a relatively smooth surface. And may be established by forming bonding surfaces of the semiconductor structure 130 and then abutting the bonding surfaces together and maintaining contact between the bonding surfaces during the annealing process.

[0051]例えば、基板142の接合表面及び半導体構造体130の接合表面はそれぞれ、約2ナノメートル(2.0nm)以下、約1ナノメートル(1.0nm)以下、又はさらには約1/4ナノメートル(0.25nm)以下の二乗平均平方根表面粗度(RRMS)を有するように形成されてもよい。いくつかの実施形態においては、基板142の接合表面及び半導体構造体130の接合表面はそれぞれ、約1/4ナノメートル(0.25nm)〜約2ナノメートル(2.0nm)、又はさらには約1/2ナノメートル(0.5nm)〜約1ナノメートル(1.0nm)の二乗平均平方根表面粗度(RRMS)を有するように形成されてもよい。 [0051] For example, the bonding surface of the substrate 142 and the bonding surface of the semiconductor structure 130 are each about 2 nanometers (2.0 nm) or less, about 1 nanometer (1.0 nm) or less, or even about 1/4. It may be formed to have a root mean square surface roughness (R RMS ) of nanometers (0.25 nm) or less. In some embodiments, the bonding surface of the substrate 142 and the bonding surface of the semiconductor structure 130 are each about 1/4 nanometer (0.25 nm) to about 2 nanometer (2.0 nm), or even about It may be formed to have a root mean square surface roughness (R RMS ) of ½ nanometer (0.5 nm) to about 1 nanometer (1.0 nm).

[0052]アニールプロセスは、摂氏約100度(100℃)〜摂氏約400度(400℃)の温度にて約2分〜約15時間の時間にわたり、炉内にて基板142及び半導体構造体130を加熱することを含んでもよい。   [0052] The annealing process may be performed in a furnace at a temperature of about 100 degrees Celsius (100 degrees Celsius) to about 400 degrees Celsius (400 degrees Celsius) for about 2 minutes to about 15 hours in a furnace. Heating may be included.

[0053]基板142の接合表面及び半導体構造体130の接合表面はそれぞれ、機械研磨プロセス及び化学エッチングプロセスの少なくとも一方を利用して、上述のように比較的平滑になるように形成されてもよい。例えば、基板142の接合表面及び半導体構造体130の接合表面のそれぞれを平坦化する及び/又はそれぞれの表面粗度を低減させるために、化学機械研磨(CMP)プロセスが利用されてもよい。   [0053] The bonding surface of the substrate 142 and the bonding surface of the semiconductor structure 130 may each be formed to be relatively smooth as described above using at least one of a mechanical polishing process and a chemical etching process. . For example, a chemical mechanical polishing (CMP) process may be utilized to planarize and / or reduce the respective surface roughness of the bonding surface of the substrate 142 and the semiconductor structure 130.

[0054]基板142の第1の部分144が、図5の半導体構造体140から除去されることにより、表面134を覆う基板142の第2の部分146が後に残され、図6の接合された半導体構造体150が形成されてもよい。換言すれば、基板142の第1の部分144は、基板142の第2の部分146から分離されてもよい。図6の半導体構造体150は、表面134を覆う半導体材料の薄層152を備える。この半導体材料の薄層152は、基板142(図5)の第2の部分144により形成される。   [0054] The first portion 144 of the substrate 142 is removed from the semiconductor structure 140 of FIG. 5 to leave behind a second portion 146 of the substrate 142 that covers the surface 134 and is bonded to FIG. A semiconductor structure 150 may be formed. In other words, the first portion 144 of the substrate 142 may be separated from the second portion 146 of the substrate 142. The semiconductor structure 150 of FIG. 6 includes a thin layer 152 of semiconductor material that covers a surface 134. This thin layer 152 of semiconductor material is formed by the second portion 144 of the substrate 142 (FIG. 5).

[0055]再び図5を参照すると、例として、またそれに限定されないが、基板142の第2の部分146から基板142の第1の部分144を分離させるために、スマートカット(SMART−CUT)(登録商標)プロセスとして当業界で知られているプロセスが利用されてもよい。かかるプロセスは、例えば、Bruelの米国再発行特許第39,484号(2007年2月6日発行)、Aspar等の米国特許第6,303,468号(2001年10月16日発行)、Aspar等の米国特許第6,335,258号(2002年1月1日発行)、Moriceau等の米国特許第6,756,286号(2004年6月29日発行)、Aspar等の米国特許第6,809,044号(2004年10月26日発行)、及びAspar等の米国特許第6,946,365号(2005年9月20日発行)において詳細に記載されている。   [0055] Referring again to FIG. 5, by way of example and not limitation, a smart cut (SMART-CUT) (in order to separate the first portion 144 of the substrate 142 from the second portion 146 of the substrate 142). A process known in the art as a registered trademark process may be used. Such processes include, for example, Bruel, US Reissue Patent No. 39,484 (issued February 6, 2007), Aspar et al., US Pat. No. 6,303,468 (issued October 16, 2001), Aspar. U.S. Patent No. 6,335,258 (issued January 1, 2002), Moriceau et al. US Patent No. 6,756,286 (issued June 29, 2004), Aspar et al. US Patent No. 6 , 809,044 (issued October 26, 2004), and U.S. Pat. No. 6,946,365 to Aspar et al. (Issued September 20, 2005).

[0056]複数のイオン(例えば水素、ヘリウム、又は不活性ガスイオン)が、基板142中に注入されてもよい。イオンは、上述のように図4の半導体130に対して基板142を装着する前又は後に、基板142中に注入されてもよい。例えば、イオンは、基板142の側部に位置決めされたイオン源(図示せず)から基板142中に注入されてもよい。イオンは、基板142の主要表面に対して実質的に垂直な方向に沿って基板142中に注入されてもよい。当技術において周知のように、イオンが基板中に注入される深さは、イオンが基板中に注入されるエネルギーに少なくとも部分的に相関する。一般的には、より低いエネルギーで注入されるイオンは、比較的より浅い深さに注入され、より高いエネルギーで注入されるイオンは、比較的深い深さに注入されることとなる。   [0056] A plurality of ions (eg, hydrogen, helium, or inert gas ions) may be implanted into the substrate 142. The ions may be implanted into the substrate 142 before or after mounting the substrate 142 to the semiconductor 130 of FIG. 4 as described above. For example, ions may be implanted into the substrate 142 from an ion source (not shown) positioned on the side of the substrate 142. Ions may be implanted into the substrate 142 along a direction substantially perpendicular to the major surface of the substrate 142. As is well known in the art, the depth at which ions are implanted into the substrate is at least partially correlated to the energy at which ions are implanted into the substrate. In general, ions implanted at a lower energy will be implanted at a relatively shallower depth, and ions implanted at a higher energy will be implanted at a relatively deeper depth.

[0057]イオンは、基板142内において所望の深さにイオンを注入するように選択された所定のエネルギーで、基板142内に注入されてもよい。ある特定の非限定的な例としては、イオンは、基板142の第2の部分146の平均厚さTが約300ナノメートル(300nm)以下又はさらには約100ナノメートル(100nm)以下となるように選択された深さにて、基板142内に配置されてもよい。当技術において周知のように、不可避にも少なくともいくつかのイオンが、所望の注入深さ以外の深さに注入されてもよく、基板142の表面からの基板142中への深さに対するイオンの濃度のグラフが、所望の注入深さにて最大値を有するほぼベル形の(対称又は非対称の)曲線を呈してもよい。   [0057] The ions may be implanted into the substrate 142 at a predetermined energy selected to implant ions into the substrate 142 to a desired depth. As one particular non-limiting example, the ions are such that the average thickness T of the second portion 146 of the substrate 142 is less than or equal to about 300 nanometers (300 nm), or even less than or equal to about 100 nanometers (100 nm). May be disposed in the substrate 142 at a selected depth. As is well known in the art, inevitably at least some ions may be implanted to a depth other than the desired implantation depth, and the ions may have a depth relative to the depth into the substrate 142 from the surface of the substrate 142. The concentration graph may exhibit a substantially bell-shaped (symmetric or asymmetric) curve with a maximum at the desired implantation depth.

[0058]基板142中への注入時に、イオンは、基板142内において破断面143(図5において破線として示す)を画定してもよい。破断面143は、基板142の最大イオン濃度平面と共に直線を成す(例えばこの平面を中心とする)基板142内の層又は領域を含んでもよい。破断面143は、後のプロセスにおいて基板142がその区域に沿って劈開又は破断し得る脆弱区域を、基板142内に画定し得る。基板142は、基板142を加熱することにより、基板142に対して機械力を印加することにより、又は基板142に対して別の態様でエネルギーを印加することにより、この破断面143に沿って劈開又は破断されてもよい。   [0058] Upon implantation into the substrate 142, the ions may define a fracture surface 143 (shown as a dashed line in FIG. 5) in the substrate 142. The fracture surface 143 may include a layer or region in the substrate 142 that is linear with (eg, centered on) the maximum ion concentration plane of the substrate 142. The fracture surface 143 may define a weakened area in the substrate 142 where the substrate 142 may be cleaved or broken along that area in a later process. The substrate 142 is cleaved along the fracture surface 143 by heating the substrate 142, applying mechanical force to the substrate 142, or applying energy to the substrate 142 in another manner. Or it may be broken.

[0059]さらなる実施形態においては、基板142の第2の部分146は、基板142などの材料の比較的厚い層(例えば約300ミクロン超の平均厚さを有する層)を接合し、その後表面134の反対側の基板142の側部149から比較的厚い基板142を薄化することにより、図4の半導体構造体130の表面134を覆って設けられてもよい。例えば、基板142は、化学プロセス(例えば湿式化学エッチングプロセス若しくは乾式化学エッチングプロセス)、機械プロセス(例えば研磨プロセス若しくはラッピングプロセス)、又は化学機械研磨(CMP)プロセスを利用して薄化されてもよい。   [0059] In a further embodiment, the second portion 146 of the substrate 142 bonds a relatively thick layer of material, such as the substrate 142 (eg, a layer having an average thickness greater than about 300 microns), and then the surface 134. 4 may be provided over the surface 134 of the semiconductor structure 130 of FIG. 4 by thinning the relatively thick substrate 142 from the side 149 of the opposite substrate 142. For example, the substrate 142 may be thinned using a chemical process (eg, a wet chemical etch process or a dry chemical etch process), a mechanical process (eg, a polishing process or a lapping process), or a chemical mechanical polishing (CMP) process. .

[0060]さらに他の実施形態においては、半導体材料(基板142の第2の部分146と成分及び構成において少なくとも実質的に同様であってもよい)の比較的薄い層が、図4の半導体構造体130の表面134を覆って(例えば上に)in situ形成されてもよい。例えば、シリコン材料の比較的薄い層が、図4の半導体構造体130の表面134を覆って所望の厚さにまでシリコンなどの材料を堆積することにより、形成されてもよい。   [0060] In yet other embodiments, a relatively thin layer of semiconductor material (which may be at least substantially similar in composition and configuration to the second portion 146 of the substrate 142) is provided in the semiconductor structure of FIG. It may be formed in situ over (eg, on) the surface 134 of the body 130. For example, a relatively thin layer of silicon material may be formed by depositing a material such as silicon over the surface 134 of the semiconductor structure 130 of FIG. 4 to a desired thickness.

[0061]図3の半導体構造体130の表面134を覆って半導体材料の薄層152を設けた後に、1つ又は複数のデバイス構造体が、半導体材料の薄層152の上及び/又は中に形成されてもよい。換言すれば、1つ又は複数のデバイス構造体が、半導体材料の薄層152を使用して形成されてもよい。例として、またそれに限定されないが、複数のトランジスタが、半導体材料の薄層152を使用して作製されてもよい。   [0061] After providing a thin layer 152 of semiconductor material over the surface 134 of the semiconductor structure 130 of FIG. 3, one or more device structures are on and / or in the thin layer 152 of semiconductor material. It may be formed. In other words, one or more device structures may be formed using a thin layer 152 of semiconductor material. By way of example and not limitation, a plurality of transistors may be fabricated using a thin layer 152 of semiconductor material.

[0062]図7は、半導体構造体150を処理することにより図7の接合され処理された半導体構造体160を形成した後の、破線158内に囲まれた図6の接合された半導体デバイス150の一部分を示す。半導体構造体160は、1つ又は複数のトランジスタ162を備える。明瞭化のため、図7においては1つのトランジスタ162のみを示す。図7に示すように、各トランジスタ162は、ソース領域163A及びソース接触子163Bを備えるソースと、ドレイン領域164A及びドレイン接触子164Bを備えるドレインと、ゲート構造体165とを備えてもよい。ソース領域163A及びドレイン領域164Aはそれぞれ、1つ又は複数のドーパントでドープされて導電性を与えられた、半導体材料の薄層152の領域を含んでもよい。ソース領域163A及びドレイン領域164Aは、半導体材料の薄層152の非ドープ領域を含み得るチャネル領域166により、相互に分離されてもよい。ゲート構造体165は、トランジスタ162のソースとドレインとの間においてチャネル領域166を覆って横方向に配設されてもよい。ソース接触子163B、ドレイン接触子164B、及びゲート構造体165はそれぞれ、1つ又は複数の金属などの導電性材料、又はドープされたポリシリコン材料を含んでもよい。ゲート構造体165の導電性材料は、1つ又は複数の誘電体材料(例えば酸化物、窒化物、オキシナイトライド、等)により、半導体材料の薄層152から電気的に隔離されてもよい。   [0062] FIG. 7 illustrates the bonded semiconductor device 150 of FIG. 6 surrounded by a dashed line 158 after processing the semiconductor structure 150 to form the bonded and processed semiconductor structure 160 of FIG. A part of is shown. The semiconductor structure 160 includes one or more transistors 162. For clarity, only one transistor 162 is shown in FIG. As shown in FIG. 7, each transistor 162 may include a source including a source region 163A and a source contact 163B, a drain including a drain region 164A and a drain contact 164B, and a gate structure 165. Source region 163A and drain region 164A may each include a region of thin layer 152 of semiconductor material doped with one or more dopants to render it conductive. The source region 163A and the drain region 164A may be separated from each other by a channel region 166 that may include an undoped region of the thin layer 152 of semiconductor material. The gate structure 165 may be disposed laterally over the channel region 166 between the source and drain of the transistor 162. Source contact 163B, drain contact 164B, and gate structure 165 may each include a conductive material, such as one or more metals, or a doped polysilicon material. The conductive material of the gate structure 165 may be electrically isolated from the thin layer 152 of semiconductor material by one or more dielectric materials (eg, oxide, nitride, oxynitride, etc.).

[0063]図7に示すように、1つ又は複数のシャロートレンチアイソレーション構造体168が、トランジスタ162の近位において半導体材料の薄層152の中に及びそれを貫通して形成されてもよい。シャロートレンチアイソレーション構造体168は、誘電体材料を含んでもよく、半導体構造体160の他のトランジスタ又は他のデバイス構造体から各トランジスタ162を電気的に隔離するために使用されてもよい。例として、またそれに限定されないが、シャロートレンチアイソレーション構造体168は、酸化物、窒化物、オキシナイトライド、等の誘電体材料を含んでもよい。シャロートレンチアイソレーション構造体168は、バイア凹部112及びバイア凹部112内に収容された犠牲材料132と垂直方向に整列されてもよい(すなわち表面134などの半導体構造体160の主要表面に対して垂直な方向に沿って整列されてもよい)。換言すれば、バイア凹部112及び犠牲材料132は、シャロートレンチアイソレーション構造体168及びバイア凹部112の中の1つの中の犠牲材料132を通過する直線が、表面134などの半導体構造体160の主要表面に対して少なくとも実質的に垂直に引かれ得るように、相互に対して位置決めされてもよい。   [0063] As shown in FIG. 7, one or more shallow trench isolation structures 168 may be formed in and through the thin layer 152 of semiconductor material proximal to the transistor 162. . Shallow trench isolation structure 168 may include a dielectric material and may be used to electrically isolate each transistor 162 from other transistors or other device structures of semiconductor structure 160. By way of example and not limitation, the shallow trench isolation structure 168 may include a dielectric material such as oxide, nitride, oxynitride, and the like. The shallow trench isolation structure 168 may be aligned vertically with the via recess 112 and the sacrificial material 132 contained within the via recess 112 (ie, perpendicular to the major surface of the semiconductor structure 160, such as the surface 134). May be aligned along any direction). In other words, the via recess 112 and the sacrificial material 132 have a straight line passing through the sacrificial material 132 in one of the shallow trench isolation structure 168 and the via recess 112, and the main structure of the semiconductor structure 160 such as the surface 134. They may be positioned relative to each other so that they can be pulled at least substantially perpendicular to the surface.

[0064]図8を参照すると、接合され処理された半導体構造体170が、1つ又は複数のトランジスタ162及びシャロートレンチアイソレーション構造体168が中及び/又は上に形成された図7の半導体構造体160の露出表面169を覆って誘電体材料(例えば層間誘電体材料)の層172を設け、シャロートレンチアイソレーション構造体168の中にウェーハ貫通相互接続部の第1の部分174を形成することにより、形成されてもよい。   [0064] Referring to FIG. 8, the bonded and processed semiconductor structure 170 has the semiconductor structure of FIG. 7 with one or more transistors 162 and shallow trench isolation structures 168 formed therein and / or thereon. A layer 172 of dielectric material (eg, interlayer dielectric material) is provided over the exposed surface 169 of the body 160 to form a first portion 174 of the through-wafer interconnect in the shallow trench isolation structure 168. May be formed.

[0065]誘電体材料の層172は、表面169の上に形成されるか又は表面169を覆って配設されてもよく、図8に示すようにトランジスタ162のゲート構造体165を覆うのに十分な大きさの平均厚さを有してもよい。誘電体材料の層172は、酸化物、窒化物、オキシナイトライド、等の誘電体材料を含んでもよい。   [0065] A layer 172 of dielectric material may be formed over or disposed over the surface 169 to cover the gate structure 165 of the transistor 162 as shown in FIG. It may have a sufficient average thickness. The dielectric material layer 172 may comprise a dielectric material such as oxide, nitride, oxynitride, or the like.

[0066]図8を引き続き参照すると、ウェーハ貫通相互接続部の第1の部分174は、半導体構造体170中に形成されてもよい。ウェーハ貫通相互接続部の第1の部分174は、1つ又は複数の金属、ドープされたポリシリコン、等の導電性材料を含んでもよい。ウェーハ貫通相互接続部の第1の部分174は、誘電体材料の層172を貫通し、シャロートレンチアイソレーション構造体168を貫通し、任意の接合材料148を貫通して、材料102内のバイア凹部112中の犠牲材料132にバイア凹部176を形成することにより、形成されてもよい。いくつかの実施形態においては、シャロートレンチアイソレーション構造体168は、半導体材料の薄層152を完全に貫通して延在しなくてもよく、またバイア凹部176は、半導体材料の薄層152の少なくとも一部分を貫通して延在してもよい。バイア凹部176は、例えばマスキングプロセス及びエッチングプロセスを利用して形成されてもよい。マスク層が、誘電体材料の層172の露出された主要表面178を覆って設けられてもよい。このマスク層は、バイア凹部176を形成することが求められる位置においてマスク層を貫通して延在する穴又は孔を形成するように、パターニングされてもよい。マスク層中の孔は、形成すべきバイア凹部176の所望の断面サイズ及び断面形状に対応する断面サイズ及び断面形状を有してもよい。次いで、半導体構造体170は、マスク層を(有意な速度で)エッチングすることなくバイア凹部176を貫通して延在させることとなる様々な材料をエッチングするための、1つ又は複数のエッチング液にさらされてもよい。例えば、湿式化学エッチングプロセス又は乾式化学エッチングプロセスが、誘電体材料の層172、シャロートレンチアイソレーション構造体168、及び任意の接合材料148を貫通して犠牲材料132までのバイア凹部176を形成するために、利用されてもよい。   [0066] With continued reference to FIG. 8, the first portion 174 of the through-wafer interconnect may be formed in the semiconductor structure 170. The first portion 174 of the through-wafer interconnect may include a conductive material such as one or more metals, doped polysilicon, and the like. A first portion 174 of the through-wafer interconnect extends through the dielectric material layer 172, through the shallow trench isolation structure 168, through the optional bonding material 148, and via recesses in the material 102. 112 may be formed by forming a via recess 176 in the sacrificial material 132 in 112. In some embodiments, the shallow trench isolation structure 168 may not extend completely through the thin layer 152 of semiconductor material, and the via recess 176 may be formed on the thin layer 152 of semiconductor material. It may extend through at least a portion. The via recess 176 may be formed using, for example, a masking process and an etching process. A mask layer may be provided over the exposed major surface 178 of the layer 172 of dielectric material. This mask layer may be patterned to form holes or holes that extend through the mask layer at locations where it is desired to form via recesses 176. The holes in the mask layer may have a cross-sectional size and cross-sectional shape corresponding to the desired cross-sectional size and cross-sectional shape of the via recess 176 to be formed. The semiconductor structure 170 then uses one or more etchants to etch various materials that will extend through the via recess 176 without etching the mask layer (at a significant rate). You may be exposed to. For example, a wet chemical etch process or a dry chemical etch process may form a via recess 176 through the dielectric material layer 172, the shallow trench isolation structure 168, and the optional bonding material 148 to the sacrificial material 132. In addition, it may be used.

[0067]いくつかの実施形態においては、バイア凹部176は、約0.5〜約10.0に及ぶ範囲内の平均アスペクト比(すなわち平均高さ対平均断面寸法の比)を有してもよい。   [0067] In some embodiments, via recess 176 may have an average aspect ratio (ie, ratio of average height to average cross-sectional dimension) within a range ranging from about 0.5 to about 10.0. Good.

[0068]バイア凹部176の形成後に、導電性材料が、バイア凹部176内に施されてもよい。例えば、1つ又は複数の金属材料が、無電解めっきプロセス及び/又は電解めっきプロセスを利用してバイア凹部176内に配置されてもよい。   [0068] After formation of the via recess 176, a conductive material may be applied in the via recess 176. For example, one or more metallic materials may be disposed in the via recess 176 using an electroless plating process and / or an electrolytic plating process.

[0069]ウェーハ貫通相互接続部の第1の部分174は、それが貫通して延在するシャロートレンチアイソレーション構造体168と同様に、バイア凹部112及びバイア凹部112内に収容された犠牲材料132と垂直方向に整列されてもよい(すなわち表面134などの半導体構造体170の主要表面に対して垂直な方向に沿って整列されてもよい)。換言すれば、ウェーハ貫通相互接続部の第1の部分174及び犠牲材料132は、ウェーハ貫通相互接続部の第1の部分174及びバイア凹部112の1つの内の犠牲材料132の体積部分を通過する直線が、表面134などの半導体構造体170の主要表面に対して少なくとも実質的に垂直に引かれ得るように、相互に対して位置決めされてもよい。   [0069] The first portion 174 of the through-wafer interconnect is similar to the shallow trench isolation structure 168 through which it extends, and the sacrificial material 132 contained within the via recess 112. (I.e., aligned along a direction perpendicular to the major surface of the semiconductor structure 170, such as the surface 134). In other words, the first portion 174 of the through-wafer interconnect and the sacrificial material 132 pass through the first portion 174 of the through-wafer interconnect and the volume portion of the sacrificial material 132 within one of the via recesses 112. The straight lines may be positioned relative to each other so that they can be drawn at least substantially perpendicular to the major surface of the semiconductor structure 170, such as the surface 134.

[0070]ウェーハ貫通相互接続部の第1の部分174の形成後に、追加の処理が、誘電体材料の層172の露出された主要表面178を覆って導電性バイア、導電性ライン、導電性トレース、及び導電性パッドなどの追加のデバイス構造体を形成するために実施されてもよい。かかるプロセスは、当技術において「バックエンドオブライン」(BEOL)プロセスと呼ばれるものを含んでもよい。   [0070] After formation of the first portion 174 of the through-wafer interconnect, additional processing covers the exposed major surface 178 of the layer of dielectric material 172, conductive vias, conductive lines, conductive traces. And may be implemented to form additional device structures such as conductive pads. Such processes may include what is referred to in the art as a “back end of line” (BEOL) process.

[0071]例えば、図9は、1つ又は複数の周囲の誘電体材料184内に複数のデバイス構造体182を製造することにより形成され得る、接合され処理された半導体構造体180を示す。デバイス構造体182は、1つ又は複数の金属又はドープされたポリシリコンなどの導電性材料を含む導電性バイア、導電性ライン、導電性トレース、及び導電性パッドの中の1つ又は複数を備えてもよい。1つ又は複数の周囲の誘電体材料184は、酸化物、窒化物、オキシナイトライド、等を含んでもよい。様々なデバイス構造体182及び周囲の誘電体材料184は、当技術において公知のプロセスを利用して誘電体材料の層172の主要表面178を覆ってリソグラフィにより(すなわち層ごとに)形成されてもよい。   [0071] For example, FIG. 9 illustrates a bonded and processed semiconductor structure 180 that may be formed by manufacturing a plurality of device structures 182 in one or more surrounding dielectric materials 184. Device structure 182 comprises one or more of conductive vias, conductive lines, conductive traces, and conductive pads including conductive material such as one or more metals or doped polysilicon. May be. The one or more surrounding dielectric materials 184 may include oxides, nitrides, oxynitrides, and the like. Various device structures 182 and surrounding dielectric material 184 may be formed lithographically (ie, layer by layer) over major surface 178 of layer 172 of dielectric material using processes known in the art. Good.

[0072]図9に関連して上記で論じたような誘電体材料の層172を覆うデバイス構造体182の形成後に、材料102の一部分が、半導体構造体180から除去されて、図10の接合され処理された半導体構造体190に示すように、材料102を貫通する犠牲材料132が露出されるようにしてもよい。材料102のこの一部分は、活性表面186の反対側の半導体構造体180の側部の材料102の露出された主要表面103(図9)から除去されてもよい。例として、またそれに限定されないが、材料102のこの一部分は、例えば化学エッチングプロセス、機械研磨プロセス、又は化学機械研磨(CMP)プロセスの中の1つ又は複数などを利用して除去されてもよい。また、誘電体材料122が、図9に図示するように犠牲材料132と材料102との間に配設される場合には、誘電体材料122の一部分が除去されて、図10に図示するように、半導体構造体190の外側に犠牲材料132が露出されてもよい。   [0072] After formation of the device structure 182 overlying the layer of dielectric material 172 as discussed above in connection with FIG. 9, a portion of the material 102 is removed from the semiconductor structure 180 to provide the junction of FIG. The sacrificial material 132 that penetrates the material 102 may be exposed, as shown in the processed semiconductor structure 190. This portion of the material 102 may be removed from the exposed major surface 103 (FIG. 9) of the material 102 on the side of the semiconductor structure 180 opposite the active surface 186. By way of example and not limitation, this portion of material 102 may be removed utilizing, for example, one or more of a chemical etching process, a mechanical polishing process, or a chemical mechanical polishing (CMP) process. . Also, if the dielectric material 122 is disposed between the sacrificial material 132 and the material 102 as shown in FIG. 9, a portion of the dielectric material 122 is removed, as shown in FIG. In addition, the sacrificial material 132 may be exposed outside the semiconductor structure 190.

[0073]任意には、図9の半導体構造体180の活性表面186は、材料102の除去の際の半導体構造体の取り扱いを支援するために、材料102を除去して犠牲材料132を露出させる前に、図10に図示するようにキャリア基板192に対して接合されてもよい。   [0073] Optionally, the active surface 186 of the semiconductor structure 180 of FIG. 9 removes the material 102 and exposes the sacrificial material 132 to assist in handling the semiconductor structure during removal of the material 102. Before, it may be bonded to the carrier substrate 192 as illustrated in FIG.

[0074]図10に図示するように半導体構造体190の外側に犠牲材料132を露出させた後に、犠牲材料132は、図11に図示する接合され処理された半導体構造体200を形成するために、バイア凹部112内から除去されてもよい。例として、またそれに限定されないが、湿式化学エッチングプロセスが、バイア凹部112内から犠牲材料132を除去するために利用されてもよい。誘電体材料122及び任意の接合材料148を除去する速度よりも速い速度で半導体構造体200から犠牲材料132をエッチング(例えば除去)するエッチング液が、犠牲材料132を除去するために使用されてもよい。換言すれば、犠牲材料132(及び任意にはオプションの誘電体材料122に対して)並びに接合材料148に対して選択性を有するエッチング液が、犠牲材料132を除去するために使用されてもよい。犠牲材料がポリシリコン材料を含む実施形態においては、エッチング液は、硝酸、フッ酸、及び水の混合物を含んでもよい。犠牲材料132が例えば二酸化ケイ素などの他の誘電体材料を含む実施形態においては、犠牲材料132は、フッ酸を含むエッチ溶液又はプラズマエッチングプロセス(例えば六フッ化硫黄SFエッチ化学成分を使用する)の利用により、選択的にエッチングされてもよい。 [0074] After exposing the sacrificial material 132 to the outside of the semiconductor structure 190 as illustrated in FIG. 10, the sacrificial material 132 is formed to form the bonded and processed semiconductor structure 200 illustrated in FIG. The via recess 112 may be removed. By way of example and not limitation, a wet chemical etching process may be utilized to remove the sacrificial material 132 from within the via recess 112. An etchant that etches (eg, removes) the sacrificial material 132 from the semiconductor structure 200 at a rate that is faster than the rate at which the dielectric material 122 and any bonding material 148 are removed may be used to remove the sacrificial material 132. Good. In other words, an etchant that is selective to the sacrificial material 132 (and optionally to the optional dielectric material 122) and the bonding material 148 may be used to remove the sacrificial material 132. . In embodiments where the sacrificial material includes a polysilicon material, the etchant may include a mixture of nitric acid, hydrofluoric acid, and water. In embodiments where the sacrificial material 132 includes other dielectric materials such as silicon dioxide, the sacrificial material 132 uses an etch solution or plasma etch process that includes hydrofluoric acid (eg, sulfur hexafluoride SF 6 etch chemistry). ) May be selectively etched.

[0075]図12に図示するように、導電性材料が、ウェーハ貫通相互接続部214の第2の部分212を形成するために、バイア凹部112内(犠牲材料132の除去により空所となる空間内)に施されてもよい。ウェーハ貫通相互接続部214は、第1の部分174及び第2の部分212を備える。直接的な物理的及び電気的接触が、ウェーハ貫通相互接続部214の第1の部分174と第2の部分212との間に確立されてもよい。   [0075] As illustrated in FIG. 12, the conductive material forms voids in via recess 112 (removal of sacrificial material 132) to form second portion 212 of through-wafer interconnect 214. Inside). The through-wafer interconnect 214 includes a first portion 174 and a second portion 212. Direct physical and electrical contact may be established between the first portion 174 and the second portion 212 of the through-wafer interconnect 214.

[0076]ウェーハ貫通相互接続部214の第2の部分212の導電性材料は、1つ又は複数の金属、ドープされたポリシリコン、等の導電性材料を含んでもよい。いくつかの実施形態においては、ウェーハ貫通相互接続部214の第2の部分212の導電性材料は、ウェーハ貫通相互接続部214の第1の部分174の導電性材料と少なくとも実質的に同一であってもよい。この導電性材料は、バイア凹部112、176内に施されてもよい。例えば、1つ又は金属材料が、無電解めっきプロセス及び/又は電解めっきプロセスを利用してバイア凹部176内に配置されてもよい。   [0076] The conductive material of the second portion 212 of the through-wafer interconnect 214 may include a conductive material such as one or more metals, doped polysilicon, and the like. In some embodiments, the conductive material of the second portion 212 of the through-wafer interconnect 214 is at least substantially the same as the conductive material of the first portion 174 of the through-wafer interconnect 214. May be. This conductive material may be applied in the via recesses 112, 176. For example, one or a metallic material may be disposed in the via recess 176 utilizing an electroless plating process and / or an electrolytic plating process.

[0077]ウェーハ貫通相互接続部214は、第1の部分174及び第2の部分212を備える。半導体構造体210の製造の際に異なる連続的な時間にて別個のプロセスにおいて第1の部分174及び第2の部分212を形成することにより、本発明のいくつかの実施形態においては、ウェーハ貫通相互接続部214の第1の部分174と第2の部分212との間にマイクロ構造の明確な識別可能な境界216が存在する場合がある。この識別可能な境界216は、半導体材料の薄層152の主要表面の近位に位置し得る。例えば、識別可能な境界216は、半導体材料の薄層152の主要表面に配置された接合材料148と同一平面を成してもよい。さらに、半導体構造体210は、図12に図示するように、活性表面186に対して平行に配向されてもよい。   The through-wafer interconnect 214 includes a first portion 174 and a second portion 212. By forming the first portion 174 and the second portion 212 in separate processes at different successive times during the manufacture of the semiconductor structure 210, in some embodiments of the present invention, wafer through There may be a distinct identifiable boundary 216 of the microstructure between the first portion 174 and the second portion 212 of the interconnect 214. This identifiable boundary 216 may be located proximal to the major surface of the thin layer 152 of semiconductor material. For example, the identifiable boundary 216 may be coplanar with the bonding material 148 disposed on the major surface of the thin layer 152 of semiconductor material. Further, the semiconductor structure 210 may be oriented parallel to the active surface 186 as illustrated in FIG.

[0078]いくつかの実施形態においては、ウェーハ貫通相互接続部214は、約0.5〜約10.0に及ぶ範囲内の平均アスペクト比(すなわち平均高さ対平均断面寸法の比)を有してもよい。   [0078] In some embodiments, the through-wafer interconnect 214 has an average aspect ratio (ie, ratio of average height to average cross-sectional dimension) in a range ranging from about 0.5 to about 10.0. May be.

[0079]上述のようにウェーハ貫通相互接続部214を形成した後に、キャリア基板192が、図13の接合され処理された半導体構造体220を形成するために、図12の接合され処理された半導体構造体210から除去されてもよい。図13に図示するように、導電性バンプ222が、活性表面186の反対側の半導体構造体220の裏側表面224にて、ウェーハ貫通相互接続部214の第2の部分212の露出端部と構造的に及び電気的に結合されてもよい。導電性バンプ222は、例えば導電性はんだ合金などの導電性材料を含んでもよい。   [0079] After forming the through-wafer interconnect 214 as described above, the carrier substrate 192 is bonded and processed in FIG. 12 to form the bonded and processed semiconductor structure 220 in FIG. It may be removed from the structure 210. As illustrated in FIG. 13, conductive bumps 222 are structured with the exposed end of the second portion 212 of the through-wafer interconnect 214 at the backside surface 224 of the semiconductor structure 220 opposite the active surface 186. And may be electrically and electrically coupled. The conductive bump 222 may include a conductive material such as a conductive solder alloy.

[0080]任意には、図13に示す半導体構造体220は、必要又は所望に応じてさらに処理及びパッケージングされてもよい。引き続いて半導体構造体220は、導電性バンプ222を使用してプリント回路基板、別の半導体構造体(例えば別のチップ若しくはウェーハ)、等の別の構造体に構造的に及び機械的に結合されてもよい。さらなる実施形態においては、半導体構造体220は、例えば導電性リード、異方性導電性膜、等の使用などの当技術において公知である他のデバイス及び技術を使用して、別の構造体に構造的に及び電気的に結合されてもよい。   [0080] Optionally, the semiconductor structure 220 shown in FIG. 13 may be further processed and packaged as needed or desired. Subsequently, the semiconductor structure 220 is structurally and mechanically coupled to another structure, such as a printed circuit board, another semiconductor structure (eg, another chip or wafer), etc. using conductive bumps 222. May be. In further embodiments, the semiconductor structure 220 may be transferred to another structure using other devices and techniques known in the art, such as the use of conductive leads, anisotropic conductive films, etc. It may be structurally and electrically coupled.

[0081]再び図10を参照すると、本発明のいくつかの実施形態においては、半導体構造体190の他の材料をエッチングすることなくバイア凹部112内の犠牲材料132を選択的にエッチングすることが比較的困難となる場合がある。かかる実施形態においては、本明細書において上述したように、犠牲材料132のエッチングの前に半導体構造体190の他の材料を保護することが望ましい場合がある。   [0081] Referring again to FIG. 10, in some embodiments of the present invention, the sacrificial material 132 in the via recess 112 may be selectively etched without etching other materials of the semiconductor structure 190. It can be relatively difficult. In such embodiments, it may be desirable to protect other materials of the semiconductor structure 190 prior to etching the sacrificial material 132, as described herein above.

[0082]例えば、図14は、場合によってはキャリア基板192のある表面を除いて、半導体構造体230の全ての露出表面を少なくとも実質的に覆うような態様で、図10の半導体構造体190の表面を覆うマスク層232を堆積することにより形成され得る、半導体構造体230を図示する。マスク層232は、酸化物(例えば二酸化ケイ素(SiO)若しくは酸化アルミニウム(Al))、窒化物(例えば窒化ケイ素(Si)若しくは窒化ホウ素(BN))、又はオキシナイトライドなどのセラミック材料を含んでもよい。 [0082] For example, FIG. 14 illustrates an embodiment of the semiconductor structure 190 of FIG. 10 in a manner that covers at least substantially all of the exposed surface of the semiconductor structure 230 except in certain cases on certain surfaces of the carrier substrate 192. Illustrated is a semiconductor structure 230 that may be formed by depositing a mask layer 232 over the surface. The mask layer 232 may be an oxide (eg, silicon dioxide (SiO 2 ) or aluminum oxide (Al 2 O 3 )), nitride (eg, silicon nitride (Si 3 N 4 ) or boron nitride (BN)), or oxynitride. A ceramic material such as

[0083]図15に図示するように、マスク層232は、マスク層232を貫通して延在して最終的に図15の接合され処理された半導体構造体240をもたらす開口242を形成するようにパターニングされてもよい。当技術において公知であるようなフォトリソグラフィマスキング及びエッチングプロセスが、マスク層232を貫通する開口242を形成するために利用されてもよい。開口242は、バイア凹部112内の犠牲材料132が開口242を通して露出されるようにサイズ設定、形状設定、及び位置決めされてもよい。次いで、半導体構造体240は、マスク層232の材料よりも犠牲材料132に対して選択性を有するエッチング液を使用して湿式エッチングプロセス又は乾式エッチングプロセスを受けてもよい。かかるエッチングプロセスは、バイア凹部112内から犠牲材料132を除去させて、図16の半導体構造体250をもたらす。次いで、マスク層232は、図11の半導体構造体200と少なくとも実質的に同一である半導体構造体を形成するために、図16の半導体構造体250から除去されてもよい。   [0083] As illustrated in FIG. 15, the mask layer 232 extends through the mask layer 232 to form an opening 242 that ultimately results in the bonded and processed semiconductor structure 240 of FIG. May be patterned. Photolithographic masking and etching processes such as are known in the art may be utilized to form the opening 242 through the mask layer 232. The opening 242 may be sized, shaped, and positioned so that the sacrificial material 132 in the via recess 112 is exposed through the opening 242. The semiconductor structure 240 may then be subjected to a wet etch process or a dry etch process using an etchant that is selective to the sacrificial material 132 over the material of the mask layer 232. Such an etching process removes the sacrificial material 132 from within the via recess 112, resulting in the semiconductor structure 250 of FIG. The mask layer 232 may then be removed from the semiconductor structure 250 of FIG. 16 to form a semiconductor structure that is at least substantially identical to the semiconductor structure 200 of FIG.

[0084]さらなる方法においては、図9及び図10に関連して先に論じたような材料102の薄化時に、材料102が、図17の半導体構造体260を形成するように、犠牲材料132及び/又はオプションの誘電体材料122よりも窪まされてもよい。例として、またそれに限定されないが、材料102は、約2,000Åだけ、犠牲材料132及び/又はオプションの誘電体材料122よりも窪まされてもよい。図17の半導体構造体260を形成した後に、マスク層272が、図18の半導体構造体270を形成するように半導体構造体260を覆って堆積されてもよい。マスク層272は、酸化物(例えば二酸化ケイ素(SiO)若しくは酸化アルミニウム(Al))、窒化物(例えば窒化ケイ素(Si)若しくは窒化ホウ素(BN))、又はオキシナイトライドなどのセラミック材料を含んでもよい。図18に図示するように、半導体構造体270は、キャリア基板192の反対側の側部に主要表面274を備えてもよい。 [0084] In a further method, sacrificial material 132 is formed such that upon thinning of material 102 as discussed above in connection with FIGS. 9 and 10, material 102 forms semiconductor structure 260 of FIG. And / or may be recessed from the optional dielectric material 122. By way of example and not limitation, material 102 may be recessed from sacrificial material 132 and / or optional dielectric material 122 by about 2,000 inches. After forming the semiconductor structure 260 of FIG. 17, a mask layer 272 may be deposited over the semiconductor structure 260 to form the semiconductor structure 270 of FIG. The mask layer 272 may be an oxide (eg, silicon dioxide (SiO 2 ) or aluminum oxide (Al 2 O 3 )), nitride (eg, silicon nitride (Si 3 N 4 ) or boron nitride (BN)), or oxynitride. A ceramic material such as As shown in FIG. 18, the semiconductor structure 270 may include a major surface 274 on the opposite side of the carrier substrate 192.

[0085]図18の半導体構造体270の主要表面274は、バイア凹部112内の犠牲材料132の体積部分を覆うマスク層272の部分(及び任意の誘電体材料122の部分)を除去して図19の接合され処理された半導体構造体280を形成するために、化学機械研磨(CMP)プロセスなどの平坦化プロセスを受けてもよい。図19に図示するように、犠牲材料132は、主要表面274(図18)の平坦化の後に、マスク層272を貫通して露出され得る。次いで、犠牲材料132の露出後に、半導体構造体280は、マスク層272の材料よりも犠牲材料132に対して選択性を有するエッチング液を使用した湿式エッチングプロセス又は乾式エッチングプロセスを受けてもよい。かかるエッチングプロセスは、バイア凹部112内からの犠牲材料132の除去をもたらし、その結果として図20の接合され処理された半導体構造体290が得られる。次いで、マスク層272は、図11の半導体構造体200と少なくとも実質的に同一である半導体構造体を形成するために、図20の半導体構造体290から除去されてもよく、次いで、この形成された半導体構造体は、先述のようにさらに処理されてもよい。   [0085] The major surface 274 of the semiconductor structure 270 of FIG. 18 is shown with portions of the mask layer 272 (and portions of the optional dielectric material 122) covering the volume of the sacrificial material 132 in the via recess 112 removed. A planarization process, such as a chemical mechanical polishing (CMP) process, may be performed to form 19 bonded and processed semiconductor structures 280. As illustrated in FIG. 19, the sacrificial material 132 may be exposed through the mask layer 272 after planarization of the major surface 274 (FIG. 18). Then, after the sacrificial material 132 is exposed, the semiconductor structure 280 may be subjected to a wet etching process or a dry etching process using an etchant that is selective to the sacrificial material 132 over the material of the mask layer 272. Such an etching process results in the removal of the sacrificial material 132 from within the via recess 112, resulting in the bonded and processed semiconductor structure 290 of FIG. The mask layer 272 may then be removed from the semiconductor structure 290 of FIG. 20 to form a semiconductor structure that is at least substantially identical to the semiconductor structure 200 of FIG. The semiconductor structure may be further processed as described above.

[0086]ウェーハ貫通相互接続部214に関連して上述したようなマルチステッププロセス(例えば2ステッププロセス)におけるウェーハ貫通相互接続部の形成は、ウェーハ貫通相互接続部の個々の部分のアスペクト比が、ウェーハ貫通相互接続部全体のアスペクト比よりも小さく、それにより、ウェーハ貫通相互接続部の個々の部分が中に形成されるバイア凹部のエッチングがより容易になり、バイア凹部内の露出表面を覆う絶縁誘電体材料の被覆が向上し、ウェーハ貫通相互接続部の個々のセクションを形成するためのバイア凹部内の導電性材料のめっき加工が向上するため、製造時の適切に作動する半導体構造体の歩留まりを向上させることができる。さらに、本明細書において説明したようなトランジスタ162などのトランジスタの製造により、半導体構造体は、約400℃超の温度にさらされる場合がある。導電性金属が、かかる高温で半導体構造体の処理中にバイア凹部内に配置された場合には、金属原子は、半導体構造体の他の領域中に拡散し、これが、半導体構造体の作動に悪影響を及ぼし得る。さらに、かかる金属材料の熱膨張率と周囲の誘電体材料及び半導体材料の熱膨張率との間の不整合は、半導体構造体に対して構造的損傷をもたらすおそれがある。したがって、トランジスタの製造前に半導体構造体中のバイア凹部内に犠牲材料を施し、トランジスタの製造後に別の導電性材料で犠牲材料を置き換えることにより、かかる構造的損傷を回避させるか、又はかかる構造的損傷を引き起こし得る可能性を低減させることができる。   [0086] Formation of the through-wafer interconnect in a multi-step process (eg, a two-step process) as described above in connection with the through-wafer interconnect 214 is such that the aspect ratio of the individual portions of the through-wafer interconnect is: Less than the overall aspect ratio of the through-wafer interconnect, which makes it easier to etch via recesses in which the individual portions of the through-wafer interconnect are formed, insulating the exposed surface in the via recess Yield of semiconductor structures that work properly during manufacturing due to improved coverage of dielectric material and improved plating of conductive material in via recesses to form individual sections of through-wafer interconnects Can be improved. Further, the fabrication of transistors, such as transistor 162 as described herein, may expose the semiconductor structure to temperatures greater than about 400 degrees Celsius. If the conductive metal is placed in the via recess during processing of the semiconductor structure at such a high temperature, the metal atoms diffuse into other regions of the semiconductor structure, which contributes to the operation of the semiconductor structure. Can have adverse effects. Furthermore, mismatches between the thermal expansion coefficients of such metallic materials and the thermal expansion coefficients of the surrounding dielectric and semiconductor materials can cause structural damage to the semiconductor structure. Therefore, by applying a sacrificial material in a via recess in the semiconductor structure before the manufacture of the transistor and replacing the sacrificial material with another conductive material after the manufacture of the transistor, such structural damage is avoided or such a structure The possibility of causing mechanical damage can be reduced.

[0087]本発明のさらなる非限定的な実施形態を以下において説明する。   [0087] Further non-limiting embodiments of the invention are described below.

[0088]実施形態1:半導体構造体を製造する方法であって、半導体構造体を部分的に貫通して延在する少なくとも1つのバイア凹部内に犠牲材料を設けることと、半導体構造体中に少なくとも1つのウェーハ貫通相互接続部の第1の部分を形成することと、少なくとも1つのバイア凹部に少なくとも1つのウェーハ貫通相互接続部の第1の部分を位置合わせさせることと、少なくとも1つのバイア凹部内の犠牲材料を導電性材料で置き換え、少なくとも1つのウェーハ貫通相互接続部の第1の部分と電気接触状態にある少なくとも1つのウェーハ貫通相互接続部の第2の部分を形成することとを含む、方法。   [0088] Embodiment 1: A method of manufacturing a semiconductor structure, comprising providing a sacrificial material in at least one via recess extending partially through the semiconductor structure; and in the semiconductor structure Forming a first portion of at least one through-wafer interconnect, aligning at least one via recess with the first portion of at least one through-wafer interconnect, and at least one via recess. Replacing the sacrificial material in the conductive material and forming a second portion of the at least one through-wafer interconnect in electrical contact with the first portion of the at least one through-wafer interconnect. ,Method.

[0089]実施形態2:実施形態1の方法であって、半導体構造体中に少なくとも1つのウェーハ貫通相互接続部の第1の部分を形成することが、誘電体材料を貫通して少なくとも1つのウェーハ貫通相互接続部の第1の部分を延在させることをさらに含む、方法。   [0089] Embodiment 2: The method of embodiment 1, wherein forming a first portion of at least one through-wafer interconnect in a semiconductor structure passes through at least one dielectric material. Extending the first portion of the through-wafer interconnect.

[0090]実施形態3:実施形態1の方法であって、半導体構造体を部分的に貫通して延在する少なくとも1つのバイア凹部内に犠牲材料を設けることが、半導体構造体の表面から半導体構造体を部分的に貫通して延在する少なくとも1つのブラインドバイア凹部を形成することと、少なくとも1つのブラインドバイア凹部内にポリシリコン材料、III−V半導体材料、及び誘電体材料の中の少なくとも1つを設けることとを含む、方法。   [0090] Embodiment 3: The method of embodiment 1, wherein providing a sacrificial material in at least one via recess extending partially through the semiconductor structure from the surface of the semiconductor structure to the semiconductor Forming at least one blind via recess extending partially through the structure, and at least one of a polysilicon material, a III-V semiconductor material, and a dielectric material in the at least one blind via recess. Providing one.

[0091]実施形態4:実施形態3の方法であって、少なくとも1つのブラインドバイア凹部内にポリシリコン材料、III−V半導体材料、及び誘電体材料の中の少なくとも1つを設けることが、少なくとも1つのブラインドバイア凹部内にポリシリコン材料を設けることを含む、方法。   [0091] Embodiment 4: The method of Embodiment 3, wherein providing at least one of polysilicon material, III-V semiconductor material, and dielectric material in at least one blind via recess, at least Providing a polysilicon material in one blind via recess.

[0092]実施形態5:実施形態3の方法であって、バルクシリコン材料を貫通する少なくとも1つのバイア凹部を形成することをさらに含む、方法。   [0092] Embodiment 5: The method of Embodiment 3, further comprising forming at least one via recess through the bulk silicon material.

[0093]実施形態6:実施形態4の方法であって、少なくとも1つのブラインドバイア凹部内の、バルクシリコン材料とポリシリコン材料との間に誘電体材料を設けることをさらに含む、方法。   [0093] Embodiment 6: The method of embodiment 4, further comprising providing a dielectric material between the bulk silicon material and the polysilicon material in the at least one blind via recess.

[0094]実施形態7:実施形態3の方法であって、少なくとも1つのブラインドバイア凹部内にポリシリコン材料を設けた後に、半導体構造体の表面を覆う半導体材料の薄層を設けることをさらに含む、方法。   [0094] Embodiment 7: The method of Embodiment 3, further comprising providing a thin layer of semiconductor material covering the surface of the semiconductor structure after providing polysilicon material in the at least one blind via recess. ,Method.

[0095]実施形態8:実施形態7の方法であって、半導体構造体の表面を覆う半導体材料の薄層を設けることが、半導体材料を含む基板中にイオンを注入することにより基板中に破断面を形成することと、半導体構造体の表面に対して基板を接合することと、破断面に沿って基板を破断し、基板の残りの部分から、前記半導体構造体の前記表面に対して接合された状態に留まる半導体材料の前記薄層を分離させることとを含む、方法。   [0095] Embodiment 8: The method of Embodiment 7, wherein the provision of a thin layer of semiconductor material covering the surface of the semiconductor structure breaks down the substrate by implanting ions into the substrate comprising the semiconductor material. Forming a cross-section, bonding the substrate to the surface of the semiconductor structure, rupturing the substrate along the fracture surface, and bonding to the surface of the semiconductor structure from the remaining portion of the substrate Separating the thin layer of semiconductor material that remains in the processed state.

[0096]実施形態9:実施形態8の方法であって、半導体構造体の表面に対して基板を接合することが、半導体構造体の表面に対して基板を直接的に接合することを含む、方法。   [0096] Embodiment 9: The method of Embodiment 8, wherein bonding the substrate to the surface of the semiconductor structure includes directly bonding the substrate to the surface of the semiconductor structure. Method.

[0097]実施形態10:実施形態7の方法であって、半導体材料の薄層を使用してデバイス構造体の少なくとも一部分を形成することをさらに含む、方法。   [0097] Embodiment 10: The method of embodiment 7, further comprising forming at least a portion of the device structure using a thin layer of semiconductor material.

[0098]実施形態11:実施形態10の方法であって、半導体材料の薄層を使用してデバイス構造体の少なくとも一部分を形成することが、半導体材料の薄層を使用してトランジスタの少なくとも一部分を形成することを含む、方法。   [0098] Embodiment 11: The method of embodiment 10, wherein the thin layer of semiconductor material is used to form at least a portion of the device structure, and the thin layer of semiconductor material is used to form at least a portion of the transistor. Forming a method.

[0099]実施形態12:実施形態7の方法であって、半導体構造体の表面を覆う半導体材料の薄層を設けることが、約300ナノメートル(300nm)以下の平均厚さを有する薄層を形成することを含む、方法。   [0099] Embodiment 12: The method of Embodiment 7, wherein providing a thin layer of semiconductor material covering a surface of the semiconductor structure has a thin layer having an average thickness of about 300 nanometers (300 nm) or less. Forming a method.

[0100]実施形態13:実施形態12の方法であって、半導体構造体の表面を覆う半導体材料の薄層を設けることが、約100ナノメートル(100nm)以下の平均厚さを有する薄層を形成することを含む、方法。   [0100] Embodiment 13: The method of Embodiment 12, wherein providing a thin layer of semiconductor material covering a surface of the semiconductor structure comprises a thin layer having an average thickness of about 100 nanometers (100 nm) or less. Forming a method.

[0101]実施形態14:実施形態1〜3のいずれか1つの方法であって、少なくとも1つのウェーハ貫通相互接続部の第1の部分を形成した後に、及び導電性材料で犠牲材料を置き換え、少なくとも1つのウェーハ貫通相互接続部の第2の部分を形成する前に、半導体構造体を薄化することをさらに含む、方法。   [0101] Embodiment 14: The method of any one of Embodiments 1 to 3, after forming the first portion of the at least one through-wafer interconnect, and replacing the sacrificial material with a conductive material; The method further comprises thinning the semiconductor structure prior to forming the second portion of the at least one through-wafer interconnect.

[0102]実施形態15:実施形態14の方法であって、半導体構造体を薄化することが、半導体構造体の外側に犠牲材料を露出させることを含む、方法。   [0102] Embodiment 15: The method of Embodiment 14, wherein thinning the semiconductor structure includes exposing a sacrificial material to the outside of the semiconductor structure.

[0103]実施形態16:実施形態14の方法であって、半導体構造体を薄化する前にキャリア基板に対して半導体構造体を装着することと、半導体構造体を薄化した後に半導体構造体からキャリア基板を除去することとをさらに含む、方法。   [0103] Embodiment 16: The method of Embodiment 14, wherein the semiconductor structure is attached to a carrier substrate before the semiconductor structure is thinned, and the semiconductor structure is thinned after the semiconductor structure is thinned. Removing the carrier substrate from the method.

[0104]実施形態17:半導体構造体を製造する方法であって、半導体構造体の表面中に延在する少なくとも1つのバイア凹部内に犠牲材料を設けることと、半導体構造体の表面を覆って半導体材料の層を設けることと、半導体材料の層を使用して少なくとも1つのデバイス構造体を製造することと、半導体材料の層を貫通して延在する少なくとも1つのウェーハ貫通相互接続部の第1の部分を形成することと、半導体材料の層の反対側の側部から半導体構造体を薄化することと、半導体構造体中の少なくとも1つのバイア凹部内から犠牲材料を除去し、バイア凹部内の少なくとも1つのウェーハ貫通相互接続部の第1の部分を露出させることと、バイア凹部内に導電性材料を設け、少なくとも1つのウェーハ貫通相互接続部の第2の部分を形成することとを含む、方法。   [0104] Embodiment 17: A method of manufacturing a semiconductor structure, comprising providing a sacrificial material in at least one via recess extending into a surface of the semiconductor structure, and covering the surface of the semiconductor structure Providing a layer of semiconductor material; using the layer of semiconductor material to manufacture at least one device structure; and forming a first through-wafer interconnect that extends through the layer of semiconductor material. Forming a portion of the semiconductor structure, thinning the semiconductor structure from a side opposite the layer of semiconductor material, removing sacrificial material from within at least one via recess in the semiconductor structure, Exposing a first portion of at least one through-wafer interconnect within and providing a conductive material within the via recess to form a second portion of at least one through-wafer interconnect And a Rukoto, method.

[0105]実施形態18:実施形態17の方法であって、少なくとも1つのバイア凹部内に犠牲材料を設けることが、少なくとも1つのバイア凹部内にポリシリコン材料を設けることを含む、方法。   [0105] Embodiment 18: The method of Embodiment 17, wherein providing a sacrificial material in at least one via recess includes providing a polysilicon material in at least one via recess.

[0106]実施形態19:実施形態17又は実施形態18の方法であって、犠牲材料と少なくとも1つのバイア凹部内の半導体構造体との間に誘電体材料を設けることをさらに含む、方法。   [0106] Embodiment 19: The method of Embodiment 17 or Embodiment 18, further comprising providing a dielectric material between the sacrificial material and the semiconductor structure in the at least one via recess.

[0107]実施形態20:実施形態17〜19のいずれか1つの方法であって、半導体構造体の表面を覆って半導体材料の層を設けることが、基板から半導体構造体に半導体材料の層を転写することを含む、方法。   [0107] Embodiment 20: The method of any one of Embodiments 17-19, wherein the layer of semiconductor material is provided over the surface of the semiconductor structure to provide a layer of semiconductor material from the substrate to the semiconductor structure. A method comprising transcribing.

[0108]実施形態21:実施形態20の方法であって、基板から半導体構造体に半導体材料の層を転写することが、基板中にイオンを注入することと、半導体構造体に対して基板を接合することと、基板内に注入されたイオンにより画定される面に沿って基板を破断し、基板の残りの部分から半導体材料の層を分離させることとを含む、方法。   [0108] Embodiment 21: The method of embodiment 20, wherein transferring a layer of semiconductor material from the substrate to the semiconductor structure includes implanting ions into the substrate and bonding the substrate to the semiconductor structure. Joining, and breaking the substrate along a surface defined by ions implanted into the substrate, separating the layer of semiconductor material from the remainder of the substrate.

[0109]実施形態22:実施形態17〜21のいずれか1つの方法であって、半導体構造体の表面を覆って半導体材料の層を設けることが、約100ナノメートル(100nm)以下の平均厚さを有するように半導体材料の層を選択することを含む、方法。   [0109] Embodiment 22: The method of any one of Embodiments 17-21, wherein providing a layer of semiconductor material over the surface of the semiconductor structure provides an average thickness of about 100 nanometers (100 nm) or less. Selecting a layer of semiconductor material to have a thickness.

[0110]実施形態23:実施形態17〜22のいずれか1つの方法であって、半導体構造体を薄化する前にキャリア基板に対して半導体構造体を装着することと、半導体構造体を薄化した後に半導体構造体からキャリア基板を除去することとをさらに含む、方法。   [0110] Embodiment 23: The method of any one of Embodiments 17-22, wherein the semiconductor structure is attached to the carrier substrate before thinning the semiconductor structure, and the semiconductor structure is thinned. Removing the carrier substrate from the semiconductor structure after forming.

[0111]実施形態24:実施形態17〜23のいずれか1つの方法であって、少なくとも1つのウェーハ貫通相互接続部の上に導電性バンプを形成することをさらに含む、方法。   [0111] Embodiment 24: The method of any one of Embodiments 17-23, further comprising forming conductive bumps on at least one through-wafer interconnect.

[0112]実施形態25:半導体構造体の表面から半導体構造体を部分的に貫通して延在する少なくとも1つのバイア凹部内の犠牲材料と、半導体構造体の表面を覆って配置される半導体材料と、半導体構造体の表面を覆って配置される半導体材料の少なくとも一部分を含む少なくとも1つのデバイス構造体と、半導体構造体の表面を覆って配置される半導体材料を貫通して延在する少なくとも1つのウェーハ貫通相互接続部の第1の部分であって、少なくとも1つのウェーハ貫通相互接続部の第1の部分が、少なくとも1つのバイア凹部と位置合わせされている、第1の部分とを備える、半導体構造体。   [0112] Embodiment 25: A sacrificial material in at least one via recess extending partially through the semiconductor structure from the surface of the semiconductor structure, and a semiconductor material disposed over the surface of the semiconductor structure At least one device structure including at least a portion of a semiconductor material disposed over the surface of the semiconductor structure; and at least one extending through the semiconductor material disposed over the surface of the semiconductor structure. A first portion of one through-wafer interconnect, wherein the first portion of at least one through-wafer interconnect is aligned with at least one via recess. Semiconductor structure.

[0113]実施形態26:実施形態25の半導体構造体であって、半導体構造体の表面を覆って配置される半導体材料により少なくとも部分的に囲まれる誘電体材料の体積部分であって、少なくとも1つのウェーハ貫通相互接続部の第1の部分が、誘電体材料の体積部分に貫通して延在し、直接的に接触する、誘電体材料の体積部分をさらに備える、半導体構造体。   [0113] Embodiment 26: The semiconductor structure of embodiment 25, wherein the volume portion of the dielectric material is at least partially surrounded by a semiconductor material disposed over the surface of the semiconductor structure, and at least 1 A semiconductor structure, wherein the first portion of one through-wafer interconnect further comprises a volume of dielectric material extending through and in direct contact with the volume of dielectric material.

[0114]実施形態27:実施形態26の半導体構造体であって、誘電体材料の体積部分が、シャロートレンチアイソレーション構造体を含む、半導体構造体。   [0114] Embodiment 27: The semiconductor structure of Embodiment 26, wherein the volume portion of the dielectric material includes a shallow trench isolation structure.

[0115]実施形態28:実施形態25〜27のいずれか1つの半導体構造体であって、犠牲材料が、ポリシリコン材料を含む、半導体構造体。   [0115] Embodiment 28: The semiconductor structure of any one of Embodiments 25 to 27, wherein the sacrificial material comprises a polysilicon material.

[0116]実施形態29:実施形態25〜28のいずれか1つの半導体構造体であって、少なくとも1つのデバイス構造体が、少なくとも1つのトランジスタを備える、半導体構造体。   [0116] Embodiment 29: The semiconductor structure of any one of Embodiments 25 to 28, wherein at least one device structure comprises at least one transistor.

[0117]実施形態30:実施形態25〜29のいずれか1つの半導体構造体であって、犠牲材料が、半導体構造体の表面を覆って配置される半導体材料の反対側の側部において半導体構造体の外側に露出される、半導体構造体。   [0117] Embodiment 30: The semiconductor structure of any one of Embodiments 25 to 29, wherein the sacrificial material is on the opposite side of the semiconductor material disposed over the surface of the semiconductor structure. A semiconductor structure exposed outside the body.

[0118]実施形態31:実施形態25〜30のいずれか1つの半導体構造体であって、半導体構造体に装着されたキャリア基板をさらに備える、半導体構造体。   [0118] Embodiment 31: The semiconductor structure of any one of Embodiments 25-30, further comprising a carrier substrate attached to the semiconductor structure.

[0119]実施形態32:実施形態25〜31のいずれか1つの半導体構造体であって、半導体構造体の表面を覆って配置される半導体材料が、約300ナノメートル(300nm)以下の平均厚さを有する半導体材料の層を備える、半導体構造体。   [0119] Embodiment 32: The semiconductor structure of any one of Embodiments 25-31, wherein the semiconductor material disposed over the surface of the semiconductor structure has an average thickness of about 300 nanometers (300 nm) or less. A semiconductor structure comprising a layer of semiconductor material having a thickness.

[0120]実施形態33:実施形態32の半導体構造体であって、半導体材料の層が、約100ナノメートル(100nm)以下の平均厚さを有する、半導体構造体。   [0120] Embodiment 33: The semiconductor structure of embodiment 32, wherein the layer of semiconductor material has an average thickness of about 100 nanometers (100 nm) or less.

[0121]実施形態34:活性表面と、裏側表面と、活性表面と裏側表面との間の半導体構造体内に位置する少なくとも1つのトランジスタと、活性表面及び裏側表面の少なくとも一方から半導体構造体を少なくとも部分的に貫通して延在する少なくとも1つのウェーハ貫通相互接続部であって、第1の部分、第2の部分、及び第1の部分のマイクロ構造体と第2の部分のマイクロ構造体との間の識別可能な境界を備える、少なくとも1つのウェーハ貫通相互接続部とを備える、半導体構造体。   [0121] Embodiment 34: At least one transistor located within a semiconductor structure between an active surface, a backside surface, an active surface and a backside surface, and at least a semiconductor structure from at least one of the active surface and the backside surface At least one through-wafer interconnect extending partially through the first portion, the second portion, the first portion microstructure and the second portion microstructure; A semiconductor structure comprising at least one through-wafer interconnect with an identifiable boundary between the two.

[0122]実施形態35:実施形態34の半導体構造体であって、少なくとも1つのトランジスタが、半導体材料の薄層の少なくとも一部分を含む、半導体構造体。   [0122] Embodiment 35: The semiconductor structure of embodiment 34, wherein the at least one transistor includes at least a portion of a thin layer of semiconductor material.

[0123]実施形態36:実施形態35の半導体構造体であって、半導体材料の薄層が、約100ナノメートル(100nm)以下の平均厚さを有する、半導体構造体。   [0123] Embodiment 36: The semiconductor structure of embodiment 35, wherein the thin layer of semiconductor material has an average thickness of about 100 nanometers (100 nm) or less.

[0124]実施形態37:実施形態35又は36の半導体構造体であって、識別可能な境界が、半導体材料の薄層の主要表面の近位に位置する、半導体構造体。   [0124] Embodiment 37: The semiconductor structure of embodiment 35 or 36, wherein the identifiable boundary is located proximal to the major surface of the thin layer of semiconductor material.

[0125]実施形態38:実施形態34〜37のいずれか1つの半導体構造体であって、識別可能な境界が、活性表面及び裏側表面の少なくとも一方に対して平行に配向される、半導体構造体。   [0125] Embodiment 38: The semiconductor structure of any one of Embodiments 34 through 37, wherein the identifiable boundary is oriented parallel to at least one of the active surface and the back surface. .

Claims (25)

半導体構造体を製造する方法であって、
半導体構造体を部分的に貫通して延在する少なくとも1つのバイア凹部内に犠牲材料を設けるステップと、
前記半導体構造体中に少なくとも1つのウェーハ貫通相互接続部の第1の部分を形成し、前記少なくとも1つのバイア凹部に前記少なくとも1つのウェーハ貫通相互接続部の前記第1の部分を位置合わせさせるステップと、
前記少なくとも1つのバイア凹部内の前記犠牲材料を導電性材料で置き換え、前記少なくとも1つのウェーハ貫通相互接続部の前記第1の部分と電気接触状態にある前記少なくとも1つのウェーハ貫通相互接続部の第2の部分を形成するステップと、
を含む、方法。
A method of manufacturing a semiconductor structure, comprising:
Providing a sacrificial material in at least one via recess extending partially through the semiconductor structure;
Forming a first portion of at least one through-wafer interconnect in the semiconductor structure and aligning the first portion of the at least one through-wafer interconnect with the at least one via recess. When,
The sacrificial material in the at least one via recess is replaced with a conductive material and the at least one through-wafer interconnect portion in electrical contact with the first portion of the at least one through-wafer interconnect portion. Forming a portion of 2;
Including a method.
前記半導体構造体中に少なくとも1つのウェーハ貫通相互接続部の第1の部分を形成する前記ステップが、誘電体材料を貫通して前記少なくとも1つのウェーハ貫通相互接続部の前記第1の部分を延在させるステップをさらに含む、請求項1に記載の方法。   The step of forming a first portion of at least one through-wafer interconnect in the semiconductor structure extends through the dielectric material to extend the first portion of the at least one through-wafer interconnect. The method of claim 1 further comprising the step of: 前記半導体構造体を部分的に貫通して延在する前記少なくとも1つのバイア凹部内に前記犠牲材料を設ける前記ステップが、
前記半導体構造体の表面から前記半導体構造体を部分的に貫通して延在する少なくとも1つのブラインドバイア凹部を形成するステップと、
前記少なくとも1つのブラインドバイア凹部内にポリシリコン材料、シリコンゲルマニウム(SiGe)、III−V族半導体材料、及び誘電体材料の中の少なくとも1つを設けるステップと、
を含む、請求項1に記載の方法。
Providing the sacrificial material in the at least one via recess extending partially through the semiconductor structure;
Forming at least one blind via recess extending partially through the semiconductor structure from a surface of the semiconductor structure;
Providing at least one of polysilicon material, silicon germanium (SiGe), group III-V semiconductor material, and dielectric material in the at least one blind via recess;
The method of claim 1 comprising:
前記少なくとも1つのブラインドバイア凹部内にポリシリコン材料、シリコンゲルマニウム(SiGe)、III−V族半導体材料、及び誘電体材料の中の少なくとも1つを設ける前記ステップが、前記少なくとも1つのブラインドバイア凹部内にポリシリコン材料を施すことを含む、請求項3に記載の方法。   Providing at least one of polysilicon material, silicon germanium (SiGe), group III-V semiconductor material, and dielectric material in the at least one blind via recess; 4. The method of claim 3, comprising applying a polysilicon material to the substrate. バルクシリコン材料を貫通する前記少なくとも1つのバイア凹部を形成するステップをさらに含む、請求項3に記載の方法。   The method of claim 3, further comprising forming the at least one via recess through a bulk silicon material. 前記バルクシリコン材料と前記少なくとも1つのブラインドバイア凹部内の前記ポリシリコン材料との間に誘電体材料を設けるステップをさらに含む、請求項5に記載の方法。   6. The method of claim 5, further comprising providing a dielectric material between the bulk silicon material and the polysilicon material in the at least one blind via recess. 前記少なくとも1つのブラインドバイア凹部内に前記ポリシリコン材料を設ける前記ステップの後に、前記半導体構造体の表面を覆う半導体材料の薄層を設けるステップをさらに含む、請求項3に記載の方法。   The method of claim 3, further comprising providing a thin layer of semiconductor material covering a surface of the semiconductor structure after the step of providing the polysilicon material in the at least one blind via recess. 前記半導体構造体の前記表面を覆う半導体材料の前記薄層を設ける前記ステップが、
半導体材料を含む基板中にイオンを注入することにより前記基板中に破断面を形成することと、
前記半導体構造体の前記表面に対して前記基板を接合することと、
前記破断面に沿って前記基板を破断し、前記基板の残りの部分から、前記半導体構造体の前記表面に対して接合された状態に留まる半導体材料の前記薄層を分離させることと、
を含む、請求項7に記載の方法。
Providing the thin layer of semiconductor material covering the surface of the semiconductor structure;
Forming a fracture surface in the substrate by implanting ions into the substrate comprising a semiconductor material;
Bonding the substrate to the surface of the semiconductor structure;
Breaking the substrate along the fracture surface and separating the thin layer of semiconductor material that remains bonded to the surface of the semiconductor structure from the remainder of the substrate;
The method of claim 7 comprising:
前記半導体構造体の前記表面に対して前記基板を接合することが、前記半導体構造体の前記表面に対して前記基板を直接的に接合することを含む、請求項8に記載の方法。   The method of claim 8, wherein bonding the substrate to the surface of the semiconductor structure comprises bonding the substrate directly to the surface of the semiconductor structure. 半導体材料の前記薄層を使用してデバイス構造体の少なくとも一部分を形成することをさらに含む、請求項7に記載の方法。   The method of claim 7, further comprising forming at least a portion of a device structure using the thin layer of semiconductor material. 半導体材料の前記薄層を使用して前記デバイスの前記少なくとも一部分を形成することが、半導体材料の前記薄層を使用してトランジスタの少なくとも一部分を形成することを含む、請求項10に記載の方法。   11. The method of claim 10, wherein forming the at least a portion of the device using the thin layer of semiconductor material comprises forming at least a portion of a transistor using the thin layer of semiconductor material. . 前記半導体構造体の前記表面を覆う半導体材料の前記薄層を設ける前記ステップが、約300ナノメートル(300nm)以下の平均厚さを有する前記薄層を形成することを含む、請求項7に記載の方法。   8. The step of providing the thin layer of semiconductor material covering the surface of the semiconductor structure comprises forming the thin layer having an average thickness of about 300 nanometers (300 nm) or less. the method of. 前記半導体構造体の前記表面を覆う半導体材料の前記薄層を設ける前記ステップが、約100ナノメートル(100nm)以下の平均厚さを有する前記薄層を形成することを含む、請求項12に記載の方法。   The step of providing the thin layer of semiconductor material covering the surface of the semiconductor structure comprises forming the thin layer having an average thickness of about 100 nanometers (100 nm) or less. the method of. 前記少なくとも1つのウェーハ貫通相互接続部の前記第1の部分を形成するステップの後に、及び前記導電性材料で前記犠牲材料を置き換え、前記少なくとも1つのウェーハ貫通相互接続部の前記第2の部分を形成するステップの前に、前記半導体構造体を薄化するステップをさらに含む、請求項1に記載の方法。   After the step of forming the first portion of the at least one through-wafer interconnect, and replacing the sacrificial material with the conductive material, the second portion of the at least one through-wafer interconnect is The method of claim 1, further comprising thinning the semiconductor structure prior to the forming step. 前記半導体構造体を薄化するステップが、前記半導体構造体の外側に前記犠牲材料を露出させるステップを含む、請求項14に記載の方法。   The method of claim 14, wherein thinning the semiconductor structure comprises exposing the sacrificial material to the outside of the semiconductor structure. 前記半導体構造体を薄化するステップの前に、キャリア基板に対して前記半導体構造体を装着するステップと、
前記半導体構造体を薄化するステップの後に、前記半導体構造体から前記キャリア基板を除去するステップと、
をさらに含む、請求項14に記載の方法。
Attaching the semiconductor structure to a carrier substrate before thinning the semiconductor structure;
Removing the carrier substrate from the semiconductor structure after the step of thinning the semiconductor structure;
15. The method of claim 14, further comprising:
半導体構造体の表面から半導体構造体を部分的に貫通して延在する少なくとも1つのバイア凹部内の犠牲材料と、
前記半導体構造体の前記表面を覆って配置された半導体材料と、
前記半導体構造体の前記表面を覆って配置された前記半導体材料の少なくとも一部分を含む少なくとも1つのデバイス構造体と、
前記半導体構造体の前記表面を覆って配置された前記半導体材料を貫通して延在する少なくとも1つのウェーハ貫通相互接続部の第1の部分であって、前記少なくとも1つのバイア凹部と位置合わせされている、少なくとも1つのウェーハ貫通相互接続部の第1の部分と、
を備える、半導体構造体。
A sacrificial material in at least one via recess extending partially through the semiconductor structure from the surface of the semiconductor structure;
A semiconductor material disposed over the surface of the semiconductor structure;
At least one device structure including at least a portion of the semiconductor material disposed over the surface of the semiconductor structure;
A first portion of at least one through-wafer interconnect extending through the semiconductor material disposed over the surface of the semiconductor structure and aligned with the at least one via recess; A first portion of at least one through-wafer interconnect; and
A semiconductor structure comprising:
前記半導体構造体の前記表面を覆って配置された前記半導体材料により少なくとも部分的に囲まれた誘電体材料の体積部分をさらに備え、前記少なくとも1つのウェーハ貫通相互接続部の前記第1の部分が、誘電体材料の前記体積部分を貫通して延在し、誘電体材料の前記体積部分に直接的に接触する、請求項17に記載の半導体構造体。   And further comprising a volume of dielectric material at least partially surrounded by the semiconductor material disposed over the surface of the semiconductor structure, wherein the first portion of the at least one through-wafer interconnect is The semiconductor structure of claim 17, extending through the volume of dielectric material and in direct contact with the volume of dielectric material. 誘電体材料の前記体積部分が、シャロートレンチアイソレーション構造体を備える、請求項18に記載の半導体構造体。   The semiconductor structure of claim 18, wherein the volume portion of dielectric material comprises a shallow trench isolation structure. 前記犠牲材料が、ポリシリコン材料を含む、請求項17に記載の半導体構造体。   The semiconductor structure of claim 17, wherein the sacrificial material comprises a polysilicon material. 前記少なくとも1つのデバイス構造体が、少なくとも1つのトランジスタを備える、請求項17に記載の半導体構造体。   The semiconductor structure of claim 17, wherein the at least one device structure comprises at least one transistor. 前記犠牲材料が、前記半導体構造体の前記表面を覆って配置された前記半導体材料の反対側の側部において前記半導体構造体の外側に露出される、請求項17に記載の半導体構造体。   The semiconductor structure of claim 17, wherein the sacrificial material is exposed to the outside of the semiconductor structure at a side opposite to the semiconductor material disposed over the surface of the semiconductor structure. 前記半導体構造体に装着されたキャリア基板をさらに備える、請求項22に記載の半導体構造体。   The semiconductor structure of claim 22, further comprising a carrier substrate attached to the semiconductor structure. 前記半導体構造体の前記表面を覆って配置された前記半導体材料が、約300ナノメートル(300nm)以下の平均厚さを有する前記半導体材料の層を備える、請求項17に記載の半導体構造体。   The semiconductor structure of claim 17, wherein the semiconductor material disposed over the surface of the semiconductor structure comprises a layer of the semiconductor material having an average thickness of about 300 nanometers (300 nm) or less. 前記半導体材料の前記層が、約100ナノメートル(100nm)以下の平均厚さを有する、請求項19に記載の半導体構造体。   The semiconductor structure of claim 19, wherein the layer of the semiconductor material has an average thickness of about 100 nanometers (100 nm) or less.
JP2013527639A 2010-09-10 2011-09-12 Method for forming a through-wafer interconnect in a semiconductor structure using a sacrificial material, and a semiconductor structure formed by such a method Withdrawn JP2013537363A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12/879,637 2010-09-10
US12/879,637 US20120061794A1 (en) 2010-09-10 2010-09-10 Methods of forming through wafer interconnects in semiconductor structures using sacrificial material, and semiconductor structures formed by such methods
FR1057676A FR2965397A1 (en) 2010-09-23 2010-09-23 Method for manufacturing semi-conductor structure during manufacturing of e.g. semiconductor devices, involves replacing sacrificial material by conductor material, and forming portion of via across wafer in contact with another portion
FR1057676 2010-09-23
PCT/EP2011/065778 WO2012048973A1 (en) 2010-09-10 2011-09-12 Methods of forming through wafer interconnects in semiconductor structures using sacrificial material, and semiconductor structures formed by such methods

Publications (1)

Publication Number Publication Date
JP2013537363A true JP2013537363A (en) 2013-09-30

Family

ID=45937917

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013527639A Withdrawn JP2013537363A (en) 2010-09-10 2011-09-12 Method for forming a through-wafer interconnect in a semiconductor structure using a sacrificial material, and a semiconductor structure formed by such a method

Country Status (7)

Country Link
JP (1) JP2013537363A (en)
KR (1) KR20130093627A (en)
CN (1) CN103081090A (en)
DE (1) DE112011103040T5 (en)
SG (1) SG188195A1 (en)
TW (1) TW201214627A (en)
WO (1) WO2012048973A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8859425B2 (en) 2012-10-15 2014-10-14 Micron Technology, Inc. Devices, systems, and methods related to forming through-substrate vias with sacrificial plugs
CN105185738B (en) * 2014-06-20 2018-10-23 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method, electronic device
US9899260B2 (en) 2016-01-21 2018-02-20 Micron Technology, Inc. Method for fabricating a semiconductor device
DE112016006659T5 (en) * 2016-05-27 2018-12-13 Intel Corporation Damascene plug and tongue structure formation using photobuckets for spacer-based back-end-of-line (BEOL) connections
US20230121210A1 (en) * 2021-10-12 2023-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US39484A (en) 1863-08-11 Improved smoothing-iron
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
FR2755537B1 (en) 1996-11-05 1999-03-05 Commissariat Energie Atomique METHOD FOR MANUFACTURING A THIN FILM ON A SUPPORT AND STRUCTURE THUS OBTAINED
FR2767416B1 (en) 1997-08-12 1999-10-01 Commissariat Energie Atomique PROCESS FOR PRODUCING A THIN FILM OF SOLID MATERIAL
FR2773261B1 (en) 1997-12-30 2000-01-28 Commissariat Energie Atomique METHOD FOR THE TRANSFER OF A THIN FILM COMPRISING A STEP OF CREATING INCLUSIONS
FR2795865B1 (en) 1999-06-30 2001-08-17 Commissariat Energie Atomique METHOD FOR MAKING A THIN FILM USING PRESSURIZATION
FR2818010B1 (en) 2000-12-08 2003-09-05 Commissariat Energie Atomique METHOD OF MAKING A THIN LAYER INVOLVING THE INTRODUCTION OF GAS SPECIES
JP3535461B2 (en) * 2001-01-10 2004-06-07 新光電気工業株式会社 Semiconductor device manufacturing method and semiconductor device
US7960290B2 (en) * 2007-05-02 2011-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device
US7846837B2 (en) * 2008-10-09 2010-12-07 United Microelectronics Corp. Through substrate via process
US7923369B2 (en) * 2008-11-25 2011-04-12 Freescale Semiconductor, Inc. Through-via and method of forming

Also Published As

Publication number Publication date
SG188195A1 (en) 2013-04-30
WO2012048973A1 (en) 2012-04-19
CN103081090A (en) 2013-05-01
TW201214627A (en) 2012-04-01
DE112011103040T5 (en) 2013-07-04
KR20130093627A (en) 2013-08-22

Similar Documents

Publication Publication Date Title
US20200168584A1 (en) Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
US9391011B2 (en) Semiconductor structures including fluidic microchannels for cooling and related methods
US7935571B2 (en) Through substrate vias for back-side interconnections on very thin semiconductor wafers
US9041214B2 (en) Bonded processed semiconductor structures and carriers
US20120061794A1 (en) Methods of forming through wafer interconnects in semiconductor structures using sacrificial material, and semiconductor structures formed by such methods
US7453150B1 (en) Three-dimensional face-to-face integration assembly
US8525343B2 (en) Device with through-silicon via (TSV) and method of forming the same
US7969013B2 (en) Through silicon via with dummy structure and method for forming the same
US20170229392A1 (en) Novel 3D Integration Method Using SOI Substrates and Structures Produced Thereby
US8987137B2 (en) Method of fabrication of through-substrate vias
CN105489512B (en) Interim semiconductor structure bonding method and related bonding semiconductor structure
TWI509713B (en) Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
TW200905842A (en) Methods of forming conductive vias through substrates, and structures and assemblies resulting therefrom
TW201023331A (en) Semiconductor device and method for forming the same
KR101372018B1 (en) Methods of forming integrated circuits and resulting structures
US8853077B2 (en) Through silicon via packaging structures and fabrication method
JP2008085226A (en) Semiconductor wafer, its manufacturing method, and method of manufacturing semiconductor device
JP2013537363A (en) Method for forming a through-wafer interconnect in a semiconductor structure using a sacrificial material, and a semiconductor structure formed by such a method
US7579258B2 (en) Semiconductor interconnect having adjacent reservoir for bonding and method for formation
CN114864545A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20141202