JP2012069837A - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
JP2012069837A
JP2012069837A JP2010214808A JP2010214808A JP2012069837A JP 2012069837 A JP2012069837 A JP 2012069837A JP 2010214808 A JP2010214808 A JP 2010214808A JP 2010214808 A JP2010214808 A JP 2010214808A JP 2012069837 A JP2012069837 A JP 2012069837A
Authority
JP
Japan
Prior art keywords
silicon oxide
film
oxide film
gate electrode
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2010214808A
Other languages
Japanese (ja)
Inventor
Fumitaka Ito
史隆 伊藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Original Assignee
Panasonic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp filed Critical Panasonic Corp
Priority to JP2010214808A priority Critical patent/JP2012069837A/en
Publication of JP2012069837A publication Critical patent/JP2012069837A/en
Withdrawn legal-status Critical Current

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

PROBLEM TO BE SOLVED: To improve flatness of a pre-metal interlayer insulating film in a metal gate process.SOLUTION: A gate electrode 4 is formed on a semiconductor substrate 1 with a gate insulating film 3 interposed therebetween. Then a source/drain region is formed on the semiconductor substrate 1 using the gate electrode 4 as a mask. A first silicon oxide film 10 is formed on a whole surface on the semiconductor substrate 1 so as to cover the gate electrode 4. The silicon oxide film 10 is planarized by the CMP method using the gate electrode 4 as a stop film. A second silicon oxide film 11 is formed on the first silicon oxide film 10 having the gate electrode 4. The second silicon oxide film 11 is planarized by the CMP method using the gate electrode 4 as the stop film. A third silicon oxide film 12 is formed on the second silicon oxide film 11 having the gate electrode 4.

Description

本発明は、半導体装置の製造方法に関し、特に、プリメタル層間絶縁膜を有する半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device having a premetal interlayer insulating film.

従来、半導体装置におけるプリメタル層間絶縁膜(Pre Metal Dielectric:PMD)の形成方法には、例えば以下の方法がある。まず、化学機械研磨(Chemical Mechanical Polishing:CMP)によるスクラッチ耐性は劣るものの、ゲート電極同士の間の埋め込み特性に優れるオゾンTEOS(Tetra-Ethyl-Ortho-Silicate)による酸化シリコン膜(以下、オゾンTEOS膜又はO−TEOS膜と略称する。)等の、化学気相堆積(Chemical Vapor Deposition:CVD)法による酸化シリコン系の絶縁膜を成膜する。その後、スクラッチ耐性が良好なプラズマTEOSによる酸化シリコン膜(以下、プラズマTEOS膜又はP−TEOS膜と略称する。)等を積層する。その後、再度CMP法により、P−TEOS膜の上面を平坦化して第1層配線層の下地層を形成する。 Conventionally, as a method for forming a premetal interlayer dielectric (PMD) in a semiconductor device, for example, there are the following methods. First, a silicon oxide film (hereinafter referred to as an ozone TEOS film) by ozone TEOS (Tetra-Ethyl-Ortho-Silicate), which has excellent embedding characteristics between gate electrodes, although scratch resistance by chemical mechanical polishing (CMP) is poor. Alternatively, a silicon oxide-based insulating film is formed by a chemical vapor deposition (CVD) method such as an O 3 -TEOS film. After that, a silicon oxide film (hereinafter, abbreviated as a plasma TEOS film or a P-TEOS film) made of plasma TEOS with good scratch resistance is stacked. Thereafter, the upper surface of the P-TEOS film is planarized again by CMP to form a base layer for the first wiring layer.

しかしながら、単にO−TEOS膜の上にP−TEOS膜を堆積する場合は、以下のような問題がある。すなわち、埋め込み特性に優れたO−TEOS膜は、P−TEOS膜と比べて吸湿性が高い。このため、O−TEOS膜を成膜した段階で水分を吸収して、その水分含有量が高くなりやすい。また、O−TEOS膜は、成膜する下地の形状に倣って堆積されるため、O−TEOS膜の平坦化処理を施さずにP−TEOS膜を堆積し、その後、P−TEOS膜の上面を平坦化すると、P−TEOS膜にその膜厚が薄い部分が発生する。このように、P−TEOS膜の一部が薄くなった状態で、プリメタル層間絶縁膜の上に配線層を形成すると、O−TEOS膜から脱離した水分の影響により、配線層の信頼性が低下する原因となる。例えば、配線層における配線同士の間の領域において、BTS(bias-temperature stress)不良による配線間のリーク電流が発生し、そのリーク電流による経時劣化によって、経時絶縁破壊(Time-Dependent Dielectric Breakdown:TDDB)特性が劣化する。 However, when the P-TEOS film is simply deposited on the O 3 -TEOS film, there are the following problems. That is, the O 3 -TEOS film having excellent embedding characteristics has higher hygroscopicity than the P-TEOS film. For this reason, moisture is absorbed at the stage of forming the O 3 -TEOS film, and the moisture content tends to increase. Further, since the O 3 -TEOS film is deposited following the shape of the base to be formed, the P-TEOS film is deposited without performing the planarization process on the O 3 -TEOS film, and then the P-TEOS film is formed. Is flattened, a thin portion of the P-TEOS film is generated. As described above, when a wiring layer is formed on the premetal interlayer insulating film in a state in which a part of the P-TEOS film is thin, the reliability of the wiring layer is influenced by the influence of moisture desorbed from the O 3 -TEOS film. Cause a drop. For example, in a region between wirings in a wiring layer, a leakage current between wirings due to BTS (bias-temperature stress) failure occurs, and time-dependent dielectric breakdown (TDDB) occurs due to deterioration with time due to the leakage currents. ) The characteristics deteriorate.

そこで、上記の配線の信頼性を向上するという観点から、O−TEOS膜及びP−TEOS膜の膜厚を安定化させるため、O−TEOS膜の平坦化処理を行った後に、P−TEOS膜を積層するという技術が検討されている。詳しくは、O−TEOS膜の平坦化処理法として、ゲート電極をCMP法のストッパ膜とする、いわゆるゲート当て止めCMP法により平坦化するという方法が検討されている。O−TEOS膜及びP−TEOS膜の膜厚を安定化するには、O−TEOS膜の平坦化処理を行う際に、該O−TEOS膜の膜厚を均一化して、その表面を凹凸なく高精度に平坦化することが重要である。なお、O−TEOS膜の膜厚の均一性には、被処理対象物である半導体ウェハごとの均一性(以下、ウェハ間均一性と呼ぶ。)が含まれる。 Therefore, from the viewpoint of improving the reliability of the above-described wiring, in order to stabilize the film thickness of the O 3 -TEOS film and the P-TEOS film, the O 3 -TEOS film is planarized after performing the planarization process. A technique of laminating a TEOS film has been studied. Specifically, as a method for planarizing the O 3 -TEOS film, a method of planarizing by a so-called gate stopper CMP method using a gate electrode as a stopper film of the CMP method has been studied. In order to stabilize the thicknesses of the O 3 -TEOS film and the P-TEOS film, when the O 3 -TEOS film is planarized, the thickness of the O 3 -TEOS film is made uniform, It is important to flatten the surface with high precision without unevenness. Note that the uniformity of the film thickness of the O 3 -TEOS film includes the uniformity of each semiconductor wafer that is the object to be processed (hereinafter, referred to as inter-wafer uniformity).

以下の特許文献1には、プリメタル層間絶縁膜の形成方法として、O−TEOSを用いたプラズマCVD法により、酸化シリコン膜をゲート電極同士の間に埋め込む方法が記載されている。その後、埋め込まれた酸化シリコン膜をCMP法によりゲート電極の導電層が薄くなるまで研磨し、さらにその上に、PH−SiH−Oを用いたCVD法により、酸化シリコン膜を形成する方法が記載されている。 Patent Document 1 below describes a method of embedding a silicon oxide film between gate electrodes by a plasma CVD method using O 3 -TEOS as a method for forming a premetal interlayer insulating film. Thereafter, the buried silicon oxide film is polished by CMP until the conductive layer of the gate electrode becomes thin, and further, a silicon oxide film is formed thereon by CVD using PH 3 —SiH 4 —O 2. A method is described.

特開2000−208624号公報JP 2000-208624 A

しかしながら、特許文献1に記載された方法は、CMP法によりO−TEOS膜をゲート電極の導電層が薄くなるまで研磨するため、平坦化処理の終点検出が困難である。その結果、該O−TEOS膜の膜厚のウェハ間均一性が低下する。また、ゲート電極の導電層の一部を研磨する際に、ゲート電極又はその周囲のO−TEOS膜の表面が損傷、すなわちスクラッチ痕が発生し易くなること、及びゲート電極同士の間のO−TEOS膜に凹状の膜減り(ディッシング)が生じること等により、該O−TEOS膜の表面の平坦性が低下する等の問題が生じる。 However, the method described in Patent Document 1 is difficult to detect the end point of the planarization process because the O 3 -TEOS film is polished by CMP until the conductive layer of the gate electrode becomes thin. As a result, the wafer-to-wafer uniformity of the O 3 -TEOS film thickness decreases. Further, when a part of the conductive layer of the gate electrode is polished, the surface of the gate electrode or the surrounding O 3 -TEOS film is easily damaged, that is, a scratch mark is easily generated, and the O 2 between the gate electrodes is easily generated. the 3 -TEOS film concave film decrease (dishing) that occurs like, problems such as the flatness of the surface of the O 3 -TEOS film is lowered.

半導体パターンの微細化によって、ゲート電極同士の間隔は小さくなり、埋め込む領域のアスペクト比は大きくなる傾向にある。このため、従来から用いられてきた、CMPスクラッチ耐性は優れるものの、埋め込み特性は劣るHDP−NSG(High Density Plasma-Non doped Silicate Glass)膜では埋め込みに十分に対応できなくなってきている。そこで、CMPスクラッチ耐性は劣るものの、ゲート電極同士の間への埋め込み特性が良好なO−TEOS膜又はSOD(Spin on Dielectric)膜等の酸化シリコン系絶縁膜が用いられる。 As the semiconductor pattern is miniaturized, the gap between the gate electrodes is reduced, and the aspect ratio of the buried region tends to be increased. For this reason, an HDP-NSG (High Density Plasma-Non doped Silicate Glass) film, which has been used in the past but has excellent CMP scratch resistance, but has poor embedding characteristics, cannot sufficiently cope with embedding. Therefore, a silicon oxide-based insulating film such as an O 3 -TEOS film or an SOD (Spin on Dielectric) film having good embedding characteristics between the gate electrodes is used although the CMP scratch resistance is poor.

一方、ゲート当て止めCMPにより、ゲート電極の上面にまでプリメタル層間絶縁膜(PMD)を形成する場合は、特にゲート電極同士の間の層間絶縁膜にディッシングによる凹状の膜減り、パターン(ゲートパターン)の疎密による膜厚のばらつき、及びCMPによるスクラッチが生じ易く、その結果、層間絶縁膜の平坦化が不足する。   On the other hand, when a pre-metal interlayer insulating film (PMD) is formed on the upper surface of the gate electrode by gate stopper CMP, the concave insulating film is reduced by dishing on the interlayer insulating film between the gate electrodes, and the pattern (gate pattern) Variations in film thickness due to the density and scratches due to CMP are likely to occur, resulting in insufficient planarization of the interlayer insulating film.

この層間絶縁膜の平坦化の不足は、ソース・ドレイン領域を形成する前にゲート電極を完成させる半導体プロセス方式である、いわゆるゲートファーストプロセスにおいては、リソグラフィのマージン低下(フォーカス・アライメントずれ)、上層に形成されるプラグ及び配線形成の不良(オープン、ショート)、及び電気特性の不良(絶縁抵抗ばらつき)等を引き起こすという不具合が生じる。   This lack of planarization of the interlayer insulating film is a semiconductor process method in which the gate electrode is completed before forming the source / drain regions. In the so-called gate first process, the margin of lithography is reduced (focus / alignment deviation), the upper layer In other words, there are problems such as defective plug formation and wiring formation (open, short), and poor electrical characteristics (insulation resistance variation).

また、ソース・ドレイン領域を形成した後にゲート電極を完成させる半導体プロセス方式である、いわゆるゲートラストプロセスにおいては、ダミーゲートを除去した後の凹部に導電膜であるゲートメタル膜が残留し、残留したゲートメタル膜によって、ゲート電極同士又はプラグ同士が短絡(ショート)する等の不具合が生じる。   In the so-called gate last process, which is a semiconductor process method in which the gate electrode is completed after forming the source / drain regions, the gate metal film as the conductive film remains in the recess after the dummy gate is removed. The gate metal film causes problems such as short-circuiting between the gate electrodes or between the plugs.

本発明は、前記の問題に鑑み、メタルゲートプロセスにおけるプリメタル層間絶縁膜の平坦性を向上できるようにすることを目的とする。   In view of the above problems, an object of the present invention is to improve the flatness of a premetal interlayer insulating film in a metal gate process.

前記の目的を達成するため、本発明は、半導体装置の製造方法を、プリメタル層間絶縁膜をその下層として埋め込み特性が高い(軟らかい)第1の酸化シリコン膜と、中間層としてスクラッチ耐性が高い(硬い)第2の酸化シリコン膜と、上層として水分含有量が少ない第3の酸化シリコン膜とする構成とする。   In order to achieve the above object, the present invention provides a method for manufacturing a semiconductor device in which a pre-metal interlayer insulating film is used as a lower layer and a first silicon oxide film having high embedding characteristics (soft) and an intermediate layer having high scratch resistance ( A hard silicon second oxide film and a third silicon oxide film having a low water content as an upper layer are used.

具体的に、本発明に係る半導体装置の製造方法は、半導体領域の上に、ゲート絶縁膜を介在させて第1のゲート電極を形成する工程(a)と、半導体領域に第1のゲート電極をマスクとしてソース・ドレイン領域を形成する工程(b)と、第1のゲート電極を覆うように半導体領域の上の全面に第1の酸化シリコン膜を形成する工程(c)と、第1のゲート電極をストップ膜とする化学機械研磨法により、第1の酸化シリコン膜を平坦化する工程(d)と、第1のゲート電極を含む第1の酸化シリコン膜の上に、第2の酸化シリコン膜を形成する工程(e)と、第1のゲート電極をストップ膜とする化学機械研磨法により、第2の酸化シリコン膜を平坦化する工程(f)と、第1のゲート電極を含む第2の酸化シリコン膜の上に、第3の酸化シリコン膜を形成する工程(g)とを備えている。   Specifically, the method for manufacturing a semiconductor device according to the present invention includes a step (a) of forming a first gate electrode on a semiconductor region with a gate insulating film interposed therebetween, and a first gate electrode in the semiconductor region. (B) forming a source / drain region by using as a mask, a step (c) forming a first silicon oxide film on the entire surface of the semiconductor region so as to cover the first gate electrode, and a first A step (d) of planarizing the first silicon oxide film by a chemical mechanical polishing method using the gate electrode as a stop film; and a second oxidation on the first silicon oxide film including the first gate electrode. A step (e) of forming a silicon film, a step (f) of planarizing the second silicon oxide film by a chemical mechanical polishing method using the first gate electrode as a stop film, and the first gate electrode A third oxide is formed on the second silicon oxide film. And a step (g) to form a silicon film.

本発明の半導体装置の製造方法によると、半導体領域の上に、第1のゲート電極を覆う第1の酸化シリコン膜、第2の酸化シリコン膜及び第3の酸化シリコン膜からなる層間絶縁膜を形成する。これにより、第1の酸化シリコン膜に対して行う平坦化の研磨により発生した段差は、その後の第2の酸化シリコン膜の形成によって埋め込まれる。これにより、第2の酸化シリコン膜に対して行う平坦化の研磨によって、第2の酸化シリコン膜の表面における段差は大幅に低減する。その結果、平坦性の不良による電気的特性の不具合の発生を防止することができ、半導体装置の信頼性を向上させることができる。また、第1のゲート電極をそのままゲート電極として用いる場合には、上記の各工程は、ゲートファーストプロセスに対応する。   According to the method for manufacturing a semiconductor device of the present invention, an interlayer insulating film composed of a first silicon oxide film, a second silicon oxide film, and a third silicon oxide film covering the first gate electrode is formed on the semiconductor region. Form. Accordingly, the step generated by the planarization polishing performed on the first silicon oxide film is buried by the subsequent formation of the second silicon oxide film. Thus, the level difference on the surface of the second silicon oxide film is greatly reduced by the planarization polishing performed on the second silicon oxide film. As a result, occurrence of defects in electrical characteristics due to poor flatness can be prevented, and the reliability of the semiconductor device can be improved. Further, when the first gate electrode is used as it is as the gate electrode, each of the above steps corresponds to a gate first process.

また、本発明の半導体装置の製造方法は、第1のゲート電極がダミーゲート電極である場合に、工程(f)と工程(g)との間に、第1のゲート電極を除去する工程(h)と、第1のゲート電極を除去してなる凹部に、導電性材料からなる第2のゲート電極を充填する工程(i)とをさらに備え、工程(g)は、第3の酸化シリコン膜を第2のゲート電極を含む第2の酸化シリコン膜の上に形成してもよい。   In the method for manufacturing a semiconductor device of the present invention, when the first gate electrode is a dummy gate electrode, the step of removing the first gate electrode between the step (f) and the step (g) ( h) and a step (i) of filling a recess formed by removing the first gate electrode with a second gate electrode made of a conductive material, and the step (g) includes a third silicon oxide. A film may be formed on the second silicon oxide film including the second gate electrode.

このようにすると、本発明はゲートラストプロセスに対応することになる。   In this way, the present invention corresponds to a gate last process.

この場合に、第2のゲート電極を構成する導電性材料は、金属であってよい。   In this case, the conductive material constituting the second gate electrode may be a metal.

このようにすると、メタルゲートを有する半導体装置を得ることができる。   In this way, a semiconductor device having a metal gate can be obtained.

本発明の半導体装置の製造方法において、第1の酸化シリコン膜は、第2の酸化シリコン膜よりも埋め込み特性が高く、第2の酸化シリコン膜は、第1の酸化シリコン膜よりも研磨耐性が高く、第3の酸化シリコン膜は、第1の酸化シリコン膜よりも水分含有量が少ないことが好ましい。   In the method for manufacturing a semiconductor device of the present invention, the first silicon oxide film has higher embedding characteristics than the second silicon oxide film, and the second silicon oxide film has higher polishing resistance than the first silicon oxide film. The third silicon oxide film is preferably higher in moisture content than the first silicon oxide film.

このようにすると、複数の第1のゲート電極同士の間隔が小さい場合であっても、第1の酸化シリコン膜は、複数の第1のゲート電極を確実に覆うことができる。また、第2の酸化シリコン膜は第1の酸化シリコン膜よりも研磨耐性が高いため、第2の酸化シリコン膜を平坦化する研磨を行っても、ディッシング及びスクラッチの発生を防止できる。このため、第2の酸化シリコン膜及びその上に形成される第3のシリコン酸化膜の平坦性を容易に確保することができる。その上、第3の酸化シリコン酸化膜は水分含有量が少ないため、BTS不良を防止することができる。   In this case, even when the distance between the plurality of first gate electrodes is small, the first silicon oxide film can reliably cover the plurality of first gate electrodes. In addition, since the second silicon oxide film has higher polishing resistance than the first silicon oxide film, dishing and scratching can be prevented even when polishing for planarizing the second silicon oxide film is performed. Therefore, the flatness of the second silicon oxide film and the third silicon oxide film formed thereon can be easily ensured. In addition, since the third silicon oxide film has a low water content, BTS defects can be prevented.

本発明の半導体装置の製造方法は、工程(a)と工程(b)との間に、第1のゲート電極を覆うように半導体領域の上の全面に絶縁膜を形成する工程(j)をさらに備え、工程(d)及び工程(f)において、絶縁膜をストップ膜に用いてもよい。   The method for manufacturing a semiconductor device of the present invention includes a step (j) of forming an insulating film on the entire surface of the semiconductor region so as to cover the first gate electrode between the steps (a) and (b). In addition, in step (d) and step (f), an insulating film may be used as a stop film.

このようにすると、後工程において、第3の酸化シリコン膜にコンタクトホールを形成する際に、該絶縁膜をエッチングのストッパ膜として再度用いることができるので、工程を簡略化することが可能となる。   In this case, when the contact hole is formed in the third silicon oxide film in the subsequent process, the insulating film can be used again as an etching stopper film, so that the process can be simplified. .

この場合に、絶縁膜は窒化シリコンからなっていてもよい。   In this case, the insulating film may be made of silicon nitride.

また、本発明の半導体装置の製造方法は、工程(a)と工程(j)との間に、第1のゲート電極の側面上にサイドウォール絶縁膜を形成する工程(k)をさらに備えていてもよい。   The semiconductor device manufacturing method of the present invention further includes a step (k) of forming a sidewall insulating film on the side surface of the first gate electrode between the step (a) and the step (j). May be.

また、本発明の半導体装置の製造方法は、工程(b)と工程(c)との間に、半導体領域及び第1のゲート電極の各上部にシリサイド領域を形成する工程(l)をさらに備えていてもよい。   The method for manufacturing a semiconductor device according to the present invention further includes a step (l) of forming a silicide region on each of the semiconductor region and the first gate electrode between the step (b) and the step (c). It may be.

本発明の半導体装置の製造方法は、工程(d)において、第1の酸化シリコン膜における第1のゲート電極の側方の領域に対して、第1のゲート電極の上面よりも低い位置で研磨を停止させてもよい。   In the method for manufacturing a semiconductor device of the present invention, in the step (d), polishing is performed at a position lower than the upper surface of the first gate electrode with respect to a region of the first silicon oxide film lateral to the first gate electrode. May be stopped.

このようにすると、第1の酸化シリコン膜にディッシング及びスクラッチが発生していても、この後の第2の酸化シリコン膜を形成する領域を確保することができる。   Thus, even if dishing and scratches are generated in the first silicon oxide film, a region for forming the second silicon oxide film thereafter can be secured.

本発明の半導体装置の製造方法は、工程(f)において、第2の酸化シリコン膜における第1のゲート電極の側方の領域に対して、第1のゲート電極の上面と等しい位置で研磨を停止させることが好ましい。   In the method for manufacturing a semiconductor device according to the present invention, in the step (f), the second silicon oxide film is polished at a position equal to the upper surface of the first gate electrode with respect to a region lateral to the first gate electrode. It is preferable to stop.

このようにすると、第2の酸化シリコン膜及び第3の酸化シリコン酸化膜の上面を平坦化することができる。   Thus, the upper surfaces of the second silicon oxide film and the third silicon oxide oxide film can be planarized.

本発明の半導体装置の製造方法において、第1の酸化シリコン膜には、O−TEOS膜、SOD膜、PSG(Phospho-Silicate-Glass)膜又はBPSG(Boro-Phospho-Silicate-Glass)膜を用いることができる。 In the method for manufacturing a semiconductor device of the present invention, an O 3 -TEOS film, an SOD film, a PSG (Phospho-Silicate-Glass) film, or a BPSG (Boro-Phospho-Silicate-Glass) film is formed on the first silicon oxide film. Can be used.

本発明の半導体装置の製造方法において、第2の酸化シリコン膜には、HDP−NSG膜を用いることができる。   In the method for manufacturing a semiconductor device of the present invention, an HDP-NSG film can be used as the second silicon oxide film.

本発明の半導体装置の製造方法において、第3の酸化シリコン膜には、P−TEOS膜を用いることができる。   In the method for manufacturing a semiconductor device of the present invention, a P-TEOS film can be used as the third silicon oxide film.

本発明に係る半導体装置の製造方法によると、メタルゲートプロセスにおけるプリメタル層間絶縁膜の平坦性が向上するため、チップ内不良(ショート、オープン、絶縁ばらつき及びBTS不良)を防止できるので、半導体装置の信頼性を向上することができる。   According to the method for manufacturing a semiconductor device of the present invention, since the flatness of the premetal interlayer insulating film in the metal gate process is improved, defects in the chip (short, open, insulation variation and BTS failure) can be prevented. Reliability can be improved.

図1は本発明の第1の実施形態に係る半導体装置を示す模式的な断面図である。FIG. 1 is a schematic cross-sectional view showing a semiconductor device according to the first embodiment of the present invention. 図2は本発明の第1の実施形態に係る半導体装置の製造方法におけるプロセスフローを示す図である。FIG. 2 is a diagram showing a process flow in the semiconductor device manufacturing method according to the first embodiment of the present invention. 図3(a)〜図3(c)は本発明の第1の実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 3A to FIG. 3C are cross-sectional views in order of steps showing the method for manufacturing the semiconductor device according to the first embodiment of the present invention. 図4(a)〜図4(c)は本発明の第1の実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 4A to FIG. 4C are cross-sectional views in order of steps showing the method for manufacturing the semiconductor device according to the first embodiment of the present invention. 図5(a)〜図5(c)は本発明の第1の実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 5A to FIG. 5C are cross-sectional views in order of steps showing the method for manufacturing the semiconductor device according to the first embodiment of the present invention. 図6は本発明の第2の実施形態に係る半導体装置を示す模式的な断面図である。FIG. 6 is a schematic cross-sectional view showing a semiconductor device according to the second embodiment of the present invention. 図7は本発明の第2の実施形態に係る半導体装置の製造方法におけるプロセスフローを示す図である。FIG. 7 is a diagram showing a process flow in the method for manufacturing a semiconductor device according to the second embodiment of the present invention. 図8(a)〜図8(c)は本発明の第2の実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 8A to FIG. 8C are cross-sectional views in order of steps showing a method for manufacturing a semiconductor device according to the second embodiment of the present invention. 図9(a)〜図9(c)は本発明の第2の実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 9A to FIG. 9C are cross-sectional views in order of steps showing the method for manufacturing a semiconductor device according to the second embodiment of the present invention. 図10(a)〜図10(c)は本発明の第2の実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 10A to FIG. 10C are cross-sectional views in order of steps showing a method for manufacturing a semiconductor device according to the second embodiment of the present invention. 図11(a)及び図11(b)は本発明の第2の実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 11A and FIG. 11B are cross-sectional views in order of steps showing a method for manufacturing a semiconductor device according to the second embodiment of the present invention. 図12(a)及び図12(b)は本発明の第2の実施形態に係る半導体装置の製造方法を示す工程順の断面図である。FIG. 12A and FIG. 12B are cross-sectional views in order of steps showing the method for manufacturing a semiconductor device according to the second embodiment of the present invention.

(第1の実施形態)
本発明の第1の実施形態について図1〜図5を参照しながら説明する。
(First embodiment)
A first embodiment of the present invention will be described with reference to FIGS.

図1に示すように、例えば、シリコン(Si)からなる半導体基板1の上部には、STI(shallow trench isolation)等からなる素子分離領域2に区画されてなる素子形成領域(半導体領域)に、例えばp型のウェル領域(図示せず)が形成されている。半導体基板1の主面のウェル領域の上には、それぞれゲート絶縁膜3及びキャップメタル膜(図示せず)を介在させた、高さが約60nmの複数のゲート電極4が100nm程度の間隔をおいて形成されている。各ゲート電極4は、例えば、窒化チタン(TiN)又は窒化タンタル(TaN)等からなるメタルゲートである下部ゲート電極4aと、n型のポリシリコンからなる上部ゲート電極4bとから構成されている。各ゲート電極4のゲート長方向側の両側面上には、例えば窒化シリコンからなり、幅が約15nmのサイドウォール6がそれぞれ形成されている。   As shown in FIG. 1, for example, an element formation region (semiconductor region) that is partitioned by an element isolation region 2 made of STI (shallow trench isolation) or the like is formed on an upper portion of a semiconductor substrate 1 made of silicon (Si). For example, a p-type well region (not shown) is formed. A plurality of gate electrodes 4 having a height of about 60 nm with a gate insulating film 3 and a cap metal film (not shown) interposed on the well region of the main surface of the semiconductor substrate 1 are spaced about 100 nm apart. Formed. Each gate electrode 4 is composed of, for example, a lower gate electrode 4a that is a metal gate made of titanium nitride (TiN) or tantalum nitride (TaN), and an upper gate electrode 4b made of n-type polysilicon. Side walls 6 made of, for example, silicon nitride and having a width of about 15 nm are formed on both side surfaces of each gate electrode 4 on the gate length direction side.

半導体基板1の上部における各サイドウォール6の下側の領域には、n型の低濃度拡散層5がそれぞれ形成されており、半導体基板1の上部における各低濃度拡散層5の外側の領域には、n型の高濃度拡散層7が低濃度拡散層5とそれぞれ接続されて形成されている。各高濃度拡散層7はn型のソース・ドレイン領域を構成する。なお、各ソース・ドレイン領域と各上部ゲート電極4bの上部には、それぞれニッケルシリサイド(NiSi)等のシリサイド層8が形成されている。   An n-type low-concentration diffusion layer 5 is formed in a region below each side wall 6 in the upper portion of the semiconductor substrate 1, and is formed in a region outside each low-concentration diffusion layer 5 in the upper portion of the semiconductor substrate 1. The n-type high concentration diffusion layer 7 is connected to the low concentration diffusion layer 5 respectively. Each high-concentration diffusion layer 7 constitutes an n-type source / drain region. A silicide layer 8 such as nickel silicide (NiSi) is formed on each source / drain region and on each upper gate electrode 4b.

シリサイド層8が形成されたゲート電極4を含む半導体基板1の主面上には、例えば膜厚が30nm程度の窒化シリコン(SiN)からなり、CMP工程及びエッチング工程においてストップ膜として機能する絶縁膜9が形成されている。   On the main surface of the semiconductor substrate 1 including the gate electrode 4 on which the silicide layer 8 is formed, an insulating film made of, for example, silicon nitride (SiN) having a film thickness of about 30 nm and functioning as a stop film in the CMP process and the etching process. 9 is formed.

絶縁膜9の上で且つ各ゲート電極4の上側部分を除く領域には、例えばO−TEOS等からなる埋め込み特性(段差被覆性)に優れた第1の酸化シリコン膜10が形成されている。第1の酸化シリコン膜10の上部は、後述するように、平坦化される際にオーバ研磨によって意図的にディッシング状に形成されている。上面がディッシング状に形成された第1の酸化シリコン膜10の上には、例えばHDP−NSG等からなるスクラッチ耐性に優れた第2の酸化シリコン膜11が、そのディッシング状部分を埋めると共に、絶縁膜9におけるゲート電極4の上側部分と平坦化されて形成されている。さらに、共に平坦化された第2の酸化シリコン膜11及び絶縁膜9におけるゲート電極4の上側部分には、例えば水分含有量が少ないP−TEOS等からなり、膜厚が150nm程度の、プリメタル層間絶縁膜である第3の酸化シリコン膜12がその表面が平坦化されて形成されている。 In the region on the insulating film 9 and excluding the upper portion of each gate electrode 4, a first silicon oxide film 10 made of, for example, O 3 -TEOS and having excellent embedding characteristics (step coverage) is formed. . As will be described later, the upper portion of the first silicon oxide film 10 is intentionally formed in a dishing shape by over-polishing when flattened. On the first silicon oxide film 10 whose upper surface is formed in a dishing shape, a second silicon oxide film 11 made of, for example, HDP-NSG and having excellent scratch resistance fills the dishing portion and is insulated. The upper part of the gate electrode 4 in the film 9 is flattened. Further, the upper part of the gate electrode 4 in the second silicon oxide film 11 and the insulating film 9 both planarized is made of, for example, P-TEOS having a low water content, and has a film thickness of about 150 nm. A third silicon oxide film 12 that is an insulating film is formed with its surface flattened.

さらに、ゲート電極4同士の間の領域に、第3の酸化シリコン膜12、第2の酸化シリコン膜11、第1の酸化シリコン膜10及び絶縁膜9を貫通して、ソース・ドレイン領域のシリサイド層8と電気的に接続される、例えばタングステン(W)からなるプラグ14が形成されている。   Further, in the region between the gate electrodes 4, the third silicon oxide film 12, the second silicon oxide film 11, the first silicon oxide film 10 and the insulating film 9 are penetrated to form silicide in the source / drain region. A plug 14 made of, for example, tungsten (W), which is electrically connected to the layer 8 is formed.

以下、前記のように構成された半導体装置の製造方法、すなわち、メタルゲートプロセス製造方法のうちのゲートファーストプロセスにおけるプリメタル絶縁膜の形成方法について図2のプロセスフロー及び図3〜図5の工程順の断面構成を参照しながら説明する。   Hereinafter, the manufacturing method of the semiconductor device configured as described above, that is, the method of forming the premetal insulating film in the gate first process in the metal gate process manufacturing method will be described with reference to the process flow of FIG. This will be described with reference to the cross-sectional configuration.

図2に示す工程ST11:ウェハ状態の半導体基板1を準備する。   Step ST11 shown in FIG. 2: A semiconductor substrate 1 in a wafer state is prepared.

工程ST12:図3(a)に示すように、準備された半導体基板1の上部に、STI等からなる素子分離領域2を選択的に形成することにより、素子形成領域を区画する。   Step ST12: As shown in FIG. 3A, an element formation region is partitioned by selectively forming an element isolation region 2 made of STI or the like on the prepared semiconductor substrate 1.

工程ST13:区画された素子形成領域に、p型の不純物、例えばボロン(B)をイオン注入することにより、p型のウェル領域(図示せず)を形成する。   Step ST13: A p-type well region (not shown) is formed by ion-implanting a p-type impurity such as boron (B) into the partitioned element formation region.

工程ST14:CVD法等により、半導体基板1の主面すなわちウェル領域の上に、例えば、HfSiON等の高誘電体からなるゲート絶縁膜3と、該ゲート絶縁膜3の上にLaO等からなるキャップメタル膜(図示せず)とを順次形成する。   Step ST14: For example, a gate insulating film 3 made of a high dielectric material such as HfSiON and a cap made of LaO or the like on the gate insulating film 3 are formed on the main surface of the semiconductor substrate 1, that is, the well region by a CVD method or the like. A metal film (not shown) is sequentially formed.

工程ST15:CVD法等により、キャップメタル膜の上に、窒化チタン等からなる下部ゲート電極形成膜と、n型のポリシリコンからなる上部ゲート電極形成膜とを順次堆積する。続いて、リソグラフィ法及びエッチング法により、上部ゲート電極形成膜、下部ゲート電極形成膜、キャップメタル膜及びゲート絶縁膜3に対して順次パターニングする。これにより、下部ゲート電極形成膜から下部ゲート電極4aが形成され、上部ゲート電極形成膜から上部ゲート電極4bが形成されて、高さが60nm程度のメタルゲート(ポリメタルゲート)構造を持つ複数のゲート電極4を得る。   Step ST15: A lower gate electrode formation film made of titanium nitride or the like and an upper gate electrode formation film made of n-type polysilicon are sequentially deposited on the cap metal film by a CVD method or the like. Subsequently, the upper gate electrode formation film, the lower gate electrode formation film, the cap metal film, and the gate insulating film 3 are sequentially patterned by lithography and etching. Thus, the lower gate electrode 4a is formed from the lower gate electrode formation film, and the upper gate electrode 4b is formed from the upper gate electrode formation film, and has a plurality of metal gate (polymetal gate) structures having a height of about 60 nm. A gate electrode 4 is obtained.

工程ST16:半導体基板1におけるウェル領域の上部に、各ゲート電極4をマスクとして、n型の不純物、例えばリン(P)又はヒ素(As)をイオン注入することにより、それぞれ低濃度拡散層5を形成する。   Step ST16: An n-type impurity, for example, phosphorus (P) or arsenic (As) is ion-implanted into the upper portion of the well region in the semiconductor substrate 1 using each gate electrode 4 as a mask, thereby forming the low concentration diffusion layers 5 respectively Form.

工程ST17:各ゲート電極4を含め半導体基板1の主面上に、膜厚が15nm程度の窒化シリコン膜を形成し、その後、形成した窒化シリコン膜に対してエッチバックを行うことにより、ゲート絶縁膜3の側面を含め各ゲート電極4の両側面上に、サイドウォール6をそれぞれ形成する。   Step ST17: A silicon nitride film having a film thickness of about 15 nm is formed on the main surface of the semiconductor substrate 1 including each gate electrode 4, and then the formed silicon nitride film is etched back to thereby provide gate insulation. Side walls 6 are formed on both side surfaces of each gate electrode 4 including the side surfaces of the film 3.

工程ST18:半導体基板1におけるウェル領域の上部に、形成されたサイドウォール6及びゲート電極4をマスクとして、n型の不純物をイオン注入することにより、それぞれ低濃度拡散層5の外側の領域に、該低濃度拡散層5と接続される高濃度拡散層7を形成する。ここで、低濃度拡散層5の注入エネルギー及びドーズ量は、例えば2keV及び1×1015/cm2程度であり、高濃度拡散層7の注入エネルギー及びドーズ量は、例えば10keV及び5×1015/cm2程度である。なお、低濃度拡散層5及び高濃度拡散層7に注入された不純物イオンを活性化する熱処理(アニール)は、各拡散層5、7を形成した後にそれぞれ行ってもよく、また、高濃度拡散層7を形成した後にまとめて行ってもよい。この活性化のアニールにより、高濃度拡散層7からソース・ドレイン領域が形成される。 Step ST18: By ion-implanting n-type impurities into the upper portion of the well region in the semiconductor substrate 1 using the formed sidewalls 6 and the gate electrode 4 as a mask, A high concentration diffusion layer 7 connected to the low concentration diffusion layer 5 is formed. Here, the implantation energy and dose amount of the low concentration diffusion layer 5 are, for example, about 2 keV and 1 × 10 15 / cm 2 , and the implantation energy and dose amount of the high concentration diffusion layer 7 are, for example, 10 keV and 5 × 10 15. / Cm 2 or so. Note that the heat treatment (annealing) for activating impurity ions implanted into the low concentration diffusion layer 5 and the high concentration diffusion layer 7 may be performed after the diffusion layers 5 and 7 are formed. You may carry out collectively, after forming the layer 7. FIG. By this activation annealing, source / drain regions are formed from the high-concentration diffusion layer 7.

工程ST19:スパッタ法等により、半導体基板1の主面上の全面にニッケル等のシリサイド用金属膜を堆積する。続いて、熱処理(アニール)を行って、高濃度拡散層7の上部と上部ゲート電極4bの上部にそれぞれシリサイド層8を形成する。その後、未反応のシリサイド用金属膜を、塩酸等により洗浄して除去する。   Step ST19: A silicide metal film such as nickel is deposited on the entire main surface of the semiconductor substrate 1 by sputtering or the like. Subsequently, heat treatment (annealing) is performed to form silicide layers 8 on the high concentration diffusion layer 7 and the upper gate electrode 4b. Thereafter, the unreacted silicide metal film is removed by washing with hydrochloric acid or the like.

工程ST20:次に、図3(b)に示すように、CVD法により、サイドウォール6を含めシリサイド層8が形成されたゲート電極4、及びシリサイド層8形成された半導体基板1の上に、膜厚が30nm程度の窒化シリコンからなる絶縁膜9を堆積する。   Step ST20: Next, as shown in FIG. 3B, on the gate electrode 4 on which the silicide layer 8 including the sidewalls 6 is formed and the semiconductor substrate 1 on which the silicide layer 8 is formed by CVD, An insulating film 9 made of silicon nitride having a thickness of about 30 nm is deposited.

工程ST21:次に、図3(c)に示すように、プラズマCVD法により、絶縁膜9の上に膜厚が200nm程度の第1の酸化シリコン膜10を堆積する。ここで、第1の酸化シリコン膜10には、埋め込み特性が良好な、例えばO−TEOS膜を用いることにより、互いの間隔が100nm程度のゲート電極4同士の間の領域を埋め込むことができる。また、第1の酸化シリコン膜10における各ゲート電極4の上側部分は、各ゲート電極4の形状を反映して断面が凸状となる。 Step ST21: Next, as shown in FIG. 3C, a first silicon oxide film 10 having a thickness of about 200 nm is deposited on the insulating film 9 by plasma CVD. Here, in the first silicon oxide film 10, for example, an O 3 -TEOS film having good embedding characteristics can be used to embed a region between the gate electrodes 4 having an interval of about 100 nm. . Further, the upper part of each gate electrode 4 in the first silicon oxide film 10 has a convex cross section reflecting the shape of each gate electrode 4.

工程ST22:次に、図4(a)に示すように、CMP法により、絶縁膜9をCMPストップ膜として、第1の酸化シリコン膜10を研磨する。このとき、第1の酸化シリコン膜10をオーバ研磨することにより、第1の酸化シリコン膜10の上面を、第1絶縁膜9におけるゲート電極4の上側部分の上面よりも10nm以上低くすることができる。   Step ST22: Next, as shown in FIG. 4A, the first silicon oxide film 10 is polished by CMP using the insulating film 9 as a CMP stop film. At this time, by overpolishing the first silicon oxide film 10, the upper surface of the first silicon oxide film 10 can be lowered by 10 nm or more than the upper surface of the upper portion of the gate electrode 4 in the first insulating film 9. it can.

工程ST23:次に、図4(b)に示すように、プラズマCVD法により、研磨された第1の酸化シリコン膜10及び該第1の酸化酸化シリコン膜10から露出する絶縁膜10を覆うように、膜厚が100nm程度の第2の酸化シリコン膜11を堆積する。ここで、第2の酸化シリコン膜11には、CMPによるディッシング耐性及びスクラッチ耐性に優れたHDP−NSGを用いる。   Step ST23: Next, as shown in FIG. 4B, the polished first silicon oxide film 10 and the insulating film 10 exposed from the first silicon oxide film 10 are covered by plasma CVD. Then, a second silicon oxide film 11 having a thickness of about 100 nm is deposited. Here, HDP-NSG having excellent dishing resistance and scratch resistance by CMP is used for the second silicon oxide film 11.

工程ST24:次に、図4(c)に示すように、CMP法により、絶縁膜9をCMPストップ膜として、第2の酸化シリコン膜11を研磨する。このとき、第2の酸化シリコン膜11は、CMPによるディッシング耐性及びスクラッチ耐性に優れるため、第2の酸化シリコン膜11の上面は、絶縁膜9における各ゲート電極4の上側部分との段差がなく、且つ研磨傷がない平坦な面に仕上げることができる。   Step ST24: Next, as shown in FIG. 4C, the second silicon oxide film 11 is polished by CMP using the insulating film 9 as a CMP stop film. At this time, since the second silicon oxide film 11 is excellent in dishing resistance and scratch resistance by CMP, the upper surface of the second silicon oxide film 11 has no step with the upper portion of each gate electrode 4 in the insulating film 9. And a flat surface free from polishing scratches.

工程ST25:次に、図5(a)に示すように、プラズマCVD法により、研磨された第2の酸化シリコン膜11及び該第2の酸化酸化シリコン膜11から露出する絶縁膜9を覆うように、膜厚が150nm程度の第3の酸化シリコン膜12を堆積する。ここで、第3の酸化シリコン膜12には、BTS不良に対する耐性に優れた、水分含有量が少ないP−TEOS膜を用いる。また、第3の酸化シリコン膜12は平坦に形成されるので、膜厚を均一化することができる。   Step ST25: Next, as shown in FIG. 5A, the polished second silicon oxide film 11 and the insulating film 9 exposed from the second silicon oxide film 11 are covered by plasma CVD. Then, a third silicon oxide film 12 having a thickness of about 150 nm is deposited. Here, as the third silicon oxide film 12, a P-TEOS film having excellent resistance to BTS failure and low moisture content is used. Further, since the third silicon oxide film 12 is formed flat, the film thickness can be made uniform.

工程ST26:次に、図5(b)に示すように、第3の酸化シリコン膜12、第2の酸化シリコン膜11、第1の酸化シリコン膜10及び絶縁膜9に、ソース・ドレイン領域のシリサイド層8を露出するコンタクトホール13を形成する。具体的には、リソグラフィ法及び異方性のドライエッチング法により、コンタクトホールの形成領域に開口パターンを有するレジストパターン(図示せず)を形成し、形成したレジストパターンをマスクとして、フルオロカーボンを主成分とするエッチングガスを用いて、第3の酸化シリコン膜12、第2の酸化シリコン膜11、第1の酸化シリコン膜10及び絶縁膜9を順次エッチングする。このように、第1の実施形態においては、CMP工程におけるCMPストップ膜と、コンタクトホール形成工程におけるエッチングストップ膜とを絶縁膜9で兼用している。これにより、製造工程が簡略化されて製造効率を上げることができる。なお、絶縁膜9は、必ずしも設ける必要はないが、上記の理由により設けることが好ましい。また、絶縁膜9を設けない場合には、CMP工程におけるCMPストップ膜は、ゲート電極4(上部ゲート電極4b)となる。また、図5(b)においては、ソース・ドレイン領域の上にコンタクトホール13が形成された断面構成を例示している。しかし、実際にはコンタクトホール13は、ゲート電極4及びソース・ドレイン領域のそれぞれを露出するように形成される。   Step ST26: Next, as shown in FIG. 5B, the source / drain regions of the third silicon oxide film 12, the second silicon oxide film 11, the first silicon oxide film 10 and the insulating film 9 are formed. A contact hole 13 exposing the silicide layer 8 is formed. Specifically, a resist pattern (not shown) having an opening pattern is formed in a contact hole formation region by lithography and anisotropic dry etching, and fluorocarbon is a main component using the formed resist pattern as a mask. The third silicon oxide film 12, the second silicon oxide film 11, the first silicon oxide film 10, and the insulating film 9 are sequentially etched using the etching gas. Thus, in the first embodiment, the CMP stop film in the CMP process and the etching stop film in the contact hole forming process are combined with the insulating film 9. Thereby, a manufacturing process is simplified and manufacturing efficiency can be raised. The insulating film 9 is not necessarily provided, but is preferably provided for the above reason. When the insulating film 9 is not provided, the CMP stop film in the CMP process becomes the gate electrode 4 (upper gate electrode 4b). FIG. 5B illustrates a cross-sectional configuration in which the contact hole 13 is formed on the source / drain region. However, the contact hole 13 is actually formed so as to expose the gate electrode 4 and the source / drain regions.

工程ST27:次に、図5(c)に示すように、CVD法又はスパッタ法により、コンタクトホール13の壁面を含む第3の酸化シリコン膜12の上に、例えばチタン(Ti)又は窒化チタン(TiN)からなるバリア膜(図示せず)を堆積し、続いて、バリア膜の上に例えばタングステン膜をコンタクトホール13が埋まる程度に堆積する。その後、メタルCMP処理により、第3の酸化シリコン膜12の上に堆積したタングステン膜及びバリア膜を除去する。以上の工程により、プリメタル層間絶縁膜(第1の酸化シリコン膜10、第2の酸化シリコン膜11及び第3の酸化シリコン膜12)の上にゲート電極4又はソース・ドレイン領域と電気的に接続されるプラグ14が露出したプリメタル領域が形成される。   Step ST27: Next, as shown in FIG. 5C, for example, titanium (Ti) or titanium nitride (on the third silicon oxide film 12 including the wall surface of the contact hole 13 is formed by CVD or sputtering. A barrier film (not shown) made of TiN is deposited, and then a tungsten film, for example, is deposited on the barrier film to such an extent that the contact hole 13 is filled. Thereafter, the tungsten film and the barrier film deposited on the third silicon oxide film 12 are removed by metal CMP processing. Through the above steps, the gate electrode 4 or the source / drain region is electrically connected on the premetal interlayer insulating film (the first silicon oxide film 10, the second silicon oxide film 11, and the third silicon oxide film 12). A premetal region where the plug 14 to be exposed is exposed is formed.

以上のように、第1の実施形態に係る半導体装置の製造方法によると、複数のゲート電極4の間を埋め込むように形成されるプリメタル層間絶縁膜は、埋め込み特性に優れる一方、CMPに対するディッシング耐性及びスクラッチ耐性に劣る第1の酸化シリコン膜10と、第1の酸化シリコン膜10の上に形成され、埋め込み特性は劣るもののCMPに対するディッシング耐性及びスクラッチ耐性に優れる第2の酸化シリコン膜11と、第2の酸化シリコン膜11の上に形成され、BTS不良に対する耐性に優れる第3の酸化シリコン膜12とから構成される。   As described above, according to the manufacturing method of the semiconductor device according to the first embodiment, the premetal interlayer insulating film formed so as to be embedded between the plurality of gate electrodes 4 is excellent in the embedding characteristics, but is dishing resistant to CMP. And a first silicon oxide film 10 inferior in scratch resistance, and a second silicon oxide film 11 formed on the first silicon oxide film 10 and inferior in embedding characteristics but excellent in dishing resistance and scratch resistance against CMP, The third silicon oxide film 12 is formed on the second silicon oxide film 11 and has excellent resistance to BTS defects.

これにより、1回目のCMP後の第1の酸化シリコン膜10において発生した段差部は、第2の酸化シリコン膜11によって埋め込まれ、2回目のCMP後の第2の酸化シリコン膜11の表面における段差は低減又は消失する。このため、第3の酸化シリコン膜12の表面の平坦性が向上することにより、半導体装置の信頼性を向上することができる。   As a result, the step portion generated in the first silicon oxide film 10 after the first CMP is buried by the second silicon oxide film 11 and is formed on the surface of the second silicon oxide film 11 after the second CMP. The step is reduced or disappears. For this reason, the flatness of the surface of the third silicon oxide film 12 is improved, whereby the reliability of the semiconductor device can be improved.

すなわち、ゲートファーストプロセスにおいて、プリメタル層間絶縁膜の平坦性の欠如によるリソグラフィのマージン低下(フォーカス・アライメントずれ)、上層に形成されるプラグ及び配線形成の不良(オープン、ショート)、及び電気特性の不良(絶縁抵抗ばらつき)等を防止することができる。   In other words, in the gate-first process, lithography margin reduction (focus / alignment misalignment) due to lack of flatness of the pre-metal interlayer insulation film, plug and wiring formation (open, short) formed in the upper layer, and electrical characteristics failure (Insulation resistance variation) can be prevented.

(第2の実施形態)
以下、本発明の第2の実施形態について図6〜図12を参照しながら説明する。
(Second Embodiment)
Hereinafter, a second embodiment of the present invention will be described with reference to FIGS.

図6において、図1に示す構成部材と同一の構成部材には、同一の符号を付している。図6に示すように、第2の実施形態に係る半導体装置と第1の実施形態に係る半導体装置との相異点は、ゲート電極19を、メタルゲートとポリシリコンゲートとの積層構造に代えて、例えばTiN又はTaN等とAl等とからなるメタルゲートのみの構成としている点であり、この構成は、後述するゲートラストプロセスにより実現できる。なお、ゲート絶縁膜15にはHfSiON等を用いることができる。   In FIG. 6, the same components as those shown in FIG. As shown in FIG. 6, the difference between the semiconductor device according to the second embodiment and the semiconductor device according to the first embodiment is that the gate electrode 19 is replaced with a stacked structure of a metal gate and a polysilicon gate. Thus, for example, only a metal gate composed of TiN or TaN or the like and Al or the like is used, and this configuration can be realized by a gate last process to be described later. Note that HfSiON or the like can be used for the gate insulating film 15.

以下、前記のように構成された半導体装置の製造方法、すなわち、メタルゲートプロセス製造方法のうちのゲーラストプロセスにおけるプリメタル絶縁膜の形成方法について図7のプロセスフロー及び図8〜図12の工程順の断面構成を参照しながら説明する。   Hereinafter, the manufacturing method of the semiconductor device configured as described above, that is, the pre-metal insulating film forming method in the Geralast process in the metal gate process manufacturing method, the process flow of FIG. 7 and the order of steps of FIGS. This will be described with reference to the cross-sectional configuration.

図7に示す工程ST31:ウェハ状態の半導体基板1を準備する。   Step ST31 shown in FIG. 7: A semiconductor substrate 1 in a wafer state is prepared.

工程ST32:図8(a)に示すように、準備された半導体基板1の上部に、STI等からなる素子分離領域2を選択的に形成することにより、素子形成領域を区画する。   Step ST32: As shown in FIG. 8A, the element formation region is partitioned by selectively forming the element isolation region 2 made of STI or the like on the prepared semiconductor substrate 1.

工程ST33:区画された素子形成領域に、p型の不純物、例えばボロン(B)をイオン注入することにより、p型のウェル領域(図示せず)を形成する。   Step ST33: A p-type well region (not shown) is formed by ion-implanting a p-type impurity such as boron (B) into the partitioned element formation region.

工程ST34:CVD法等により、半導体基板1の主面すなわちウェル領域の上に、例えばHfSiON等からなるゲート絶縁膜15を形成する。   Step ST34: A gate insulating film 15 made of, for example, HfSiON is formed on the main surface of the semiconductor substrate 1, that is, the well region by a CVD method or the like.

工程ST35:CVD法等により、ゲート絶縁膜15の上に、例えば厚さが60nm程度のポリシリコン等からなるダミーゲート電極16を形成する。   Step ST35: A dummy gate electrode 16 made of, for example, polysilicon having a thickness of about 60 nm is formed on the gate insulating film 15 by a CVD method or the like.

工程ST36:半導体基板1におけるウェル領域の上部に、各ダミーゲート電極16をマスクとして、n型の不純物、例えばリン(P)又はヒ素(As)をイオン注入することにより、それぞれ低濃度拡散層5を形成する。   Step ST36: An n-type impurity such as phosphorus (P) or arsenic (As) is ion-implanted into the upper portion of the well region in the semiconductor substrate 1 using each dummy gate electrode 16 as a mask, so that the low-concentration diffusion layer 5 is respectively Form.

工程ST37:各ダミーゲート電極16を含め半導体基板1の主面上に、膜厚が15nm程度の窒化シリコン膜を形成し、その後、形成した窒化シリコン膜に対してエッチバックを行うことにより、ゲート絶縁膜15の側面を含め各ダミーゲート電極16の両側面上に、サイドウォール6をそれぞれ形成する。   Step ST37: A silicon nitride film having a film thickness of about 15 nm is formed on the main surface of the semiconductor substrate 1 including each dummy gate electrode 16, and then the etched silicon nitride film is etched back to form a gate. Sidewalls 6 are formed on both side surfaces of each dummy gate electrode 16 including the side surfaces of the insulating film 15.

工程ST38:半導体基板1におけるウェル領域の上部に、形成されたサイドウォール6及びダミーゲート電極16をマスクとして、n型の不純物をイオン注入することにより、それぞれ低濃度拡散層5の外側の領域に、該低濃度拡散層5と接続される高濃度拡散層7を形成する。続いて、低濃度拡散層5及び高濃度拡散層7に対して活性化のアニールを行って、高濃度拡散層7からソース・ドレイン領域を形成する。   Step ST38: An n-type impurity is ion-implanted into the region outside the low-concentration diffusion layer 5 above the well region in the semiconductor substrate 1 by using the formed sidewall 6 and dummy gate electrode 16 as a mask. Then, a high concentration diffusion layer 7 connected to the low concentration diffusion layer 5 is formed. Subsequently, activation annealing is performed on the low concentration diffusion layer 5 and the high concentration diffusion layer 7 to form source / drain regions from the high concentration diffusion layer 7.

工程ST39:スパッタ法等により、半導体基板1の主面上の全面にニッケル等のシリサイド用金属膜を堆積する。続いて、アニールを行って、高濃度拡散層7の上部とダミーゲート電極16の上部にそれぞれシリサイド層8を形成する。その後、未反応のシリサイド用金属膜を、塩酸等により洗浄して除去する。   Step ST39: A silicide metal film such as nickel is deposited on the entire main surface of the semiconductor substrate 1 by sputtering or the like. Subsequently, annealing is performed to form silicide layers 8 on the high concentration diffusion layer 7 and the dummy gate electrode 16 respectively. Thereafter, the unreacted silicide metal film is removed by washing with hydrochloric acid or the like.

工程ST40:次に、図8(b)に示すように、CVD法により、サイドウォール6を含めシリサイド層8が形成されたダミーゲート電極16、及びシリサイド層8形成された半導体基板1の上に、膜厚が30nm程度の窒化シリコンからなる絶縁膜9を堆積する。   Step ST40: Next, as shown in FIG. 8B, the dummy gate electrode 16 in which the silicide layer 8 including the sidewall 6 is formed and the semiconductor substrate 1 in which the silicide layer 8 is formed are formed by CVD. Then, an insulating film 9 made of silicon nitride having a thickness of about 30 nm is deposited.

工程ST41:次に、図8(c)に示すように、プラズマCVD法により、絶縁膜9の上に膜厚が200nm程度の第1の酸化シリコン膜10を堆積する。ここで、第1の酸化シリコン膜10には、埋め込み特性が良好な、例えばO−TEOS膜を用いることにより、互いの間隔が100nm程度のゲート電極4同士の間の領域を埋め込むことができる。また、第1の酸化シリコン膜10における各ゲート電極4の上側部分は、各ゲート電極4の形状を反映して断面が凸状となる。 Step ST41: Next, as shown in FIG. 8C, a first silicon oxide film 10 having a thickness of about 200 nm is deposited on the insulating film 9 by plasma CVD. Here, in the first silicon oxide film 10, for example, an O 3 -TEOS film having good embedding characteristics can be used to embed a region between the gate electrodes 4 having an interval of about 100 nm. . Further, the upper part of each gate electrode 4 in the first silicon oxide film 10 has a convex cross section reflecting the shape of each gate electrode 4.

工程ST42:次に、図9(a)に示すように、CMP法により、絶縁膜9をCMPストップ膜として、第1の酸化シリコン膜10を研磨する。このとき、第1の酸化シリコン膜10をオーバ研磨することにより、第1の酸化シリコン膜10の上面を、第1絶縁膜9におけるゲート電極4の上側部分の上面よりも10nm以上低くすることができる。   Step ST42: Next, as shown in FIG. 9A, the first silicon oxide film 10 is polished by CMP using the insulating film 9 as a CMP stop film. At this time, by overpolishing the first silicon oxide film 10, the upper surface of the first silicon oxide film 10 can be lowered by 10 nm or more than the upper surface of the upper portion of the gate electrode 4 in the first insulating film 9. it can.

工程ST43:次に、図9(b)に示すように、プラズマCVD法により、研磨された第1の酸化シリコン膜10及び該第1の酸化酸化シリコン膜10から露出する絶縁膜10を覆うように、膜厚が100nm程度の第2の酸化シリコン膜11を堆積する。ここで、第2の酸化シリコン膜11には、CMPによるディッシング耐性及びスクラッチ耐性に優れたHDP−NSGを用いる。   Step ST43: Next, as shown in FIG. 9B, the polished first silicon oxide film 10 and the insulating film 10 exposed from the first silicon oxide film 10 are covered by plasma CVD. Then, a second silicon oxide film 11 having a thickness of about 100 nm is deposited. Here, HDP-NSG having excellent dishing resistance and scratch resistance by CMP is used for the second silicon oxide film 11.

工程ST44:次に、図9(c)に示すように、CMP法により、絶縁膜9をCMPストップ膜として、第2の酸化シリコン膜11を研磨する。このとき、第2の酸化シリコン膜11は、CMPによるディッシング耐性及びスクラッチ耐性に優れるため、第2の酸化シリコン膜11の上面は、絶縁膜9における各ダミーゲート電極16の上側部分との段差がなく、且つ研磨傷がない平坦な面に仕上げることができる。   Step ST44: Next, as shown in FIG. 9C, the second silicon oxide film 11 is polished by CMP using the insulating film 9 as a CMP stop film. At this time, since the second silicon oxide film 11 is excellent in dishing resistance and scratch resistance due to CMP, the upper surface of the second silicon oxide film 11 has a step difference from the upper portion of each dummy gate electrode 16 in the insulating film 9. And a flat surface free from polishing scratches.

工程ST45:次に、図10(a)に示すように、リソグラフィ法及びエッチング法により、各ダミーゲート電極16の上の絶縁膜9を除去することにより、各ダミーゲート電極16を絶縁膜9から露出する。これと連続して、図10(b)に示すように、各ダミーゲート電極16を例えば塩素ガス等を用いたエッチングにより除去して、ゲートトレンチ17をそれぞれ形成する。   Step ST45: Next, as shown in FIG. 10A, by removing the insulating film 9 on each dummy gate electrode 16 by lithography and etching, each dummy gate electrode 16 is removed from the insulating film 9. Exposed. Continuously, as shown in FIG. 10B, each dummy gate electrode 16 is removed by etching using, for example, chlorine gas, and gate trenches 17 are formed.

工程ST46:次に、図10(c)に示すように、CVD法等により、ゲートメタル形成膜19Aを各ゲートトレンチ17に充填されるように、絶縁膜9及び第2の酸化シリコン膜11の上に堆積する。続いて、図11(a)に示すように、CMP法により、堆積したゲートメタル形成膜19Aを研磨して、各ゲートトレンチ17内にゲートメタル電極19を形成する。   Step ST46: Next, as shown in FIG. 10C, the insulating film 9 and the second silicon oxide film 11 are formed so that each gate trench 17 is filled with the gate metal formation film 19A by CVD or the like. Deposit on top. Subsequently, as shown in FIG. 11A, the deposited gate metal formation film 19 </ b> A is polished by CMP to form a gate metal electrode 19 in each gate trench 17.

工程ST47:次に、図11(b)に示すように、プラズマCVD法により、第2の酸化シリコン膜11及び該第2の酸化酸化シリコン膜11から露出する絶縁膜9を覆うように、膜厚が150nm程度の第3の酸化シリコン膜12を堆積する。ここで、第3の酸化シリコン膜12には、BTS不良に対する耐性に優れた、水分含有量が少ないP−TEOS膜を用いる。また、第3の酸化シリコン膜12は平坦に形成されるので、膜厚を均一化することができる。   Step ST47: Next, as shown in FIG. 11B, a film is formed by plasma CVD so as to cover the second silicon oxide film 11 and the insulating film 9 exposed from the second silicon oxide oxide film 11. A third silicon oxide film 12 having a thickness of about 150 nm is deposited. Here, as the third silicon oxide film 12, a P-TEOS film having excellent resistance to BTS failure and low moisture content is used. Further, since the third silicon oxide film 12 is formed flat, the film thickness can be made uniform.

工程ST48:次に、図12(a)に示すように、第3の酸化シリコン膜12、第2の酸化シリコン膜11、第1の酸化シリコン膜10及び絶縁膜9に、ソース・ドレイン領域のシリサイド層8を露出するコンタクトホール13を形成する。具体的には、リソグラフィ法及び異方性のドライエッチング法により、コンタクトホールの形成領域に開口パターンを有するレジストパターン(図示せず)を形成し、形成したレジストパターンをマスクとして、フルオロカーボンを主成分とするエッチングガスを用いて、第3の酸化シリコン膜12、第2の酸化シリコン膜11、第1の酸化シリコン膜10及び絶縁膜9を順次エッチングする。このように、CMP工程におけるCMPストップ膜と、コンタクトホール形成工程におけるエッチングストップ膜とを絶縁膜9で兼用している。これにより、製造工程が簡略化されて製造効率を上げることができる。なお、絶縁膜9は、必ずしも設ける必要はないが、上記の理由により設けることが好ましい。また、図12(a)においては、ソース・ドレイン領域の上にコンタクトホール13が形成された断面構成を例示している。しかし、実際にはコンタクトホール13は、メタルゲート電極19及びソース・ドレイン領域のそれぞれを露出するように形成される。   Step ST48: Next, as shown in FIG. 12A, the source / drain regions of the third silicon oxide film 12, the second silicon oxide film 11, the first silicon oxide film 10, and the insulating film 9 are formed. A contact hole 13 exposing the silicide layer 8 is formed. Specifically, a resist pattern (not shown) having an opening pattern is formed in a contact hole formation region by lithography and anisotropic dry etching, and fluorocarbon is a main component using the formed resist pattern as a mask. The third silicon oxide film 12, the second silicon oxide film 11, the first silicon oxide film 10, and the insulating film 9 are sequentially etched using the etching gas. In this way, the CMP stop film in the CMP process and the etching stop film in the contact hole forming process are also used as the insulating film 9. Thereby, a manufacturing process is simplified and manufacturing efficiency can be raised. The insulating film 9 is not necessarily provided, but is preferably provided for the above reason. FIG. 12A illustrates a cross-sectional configuration in which contact holes 13 are formed on the source / drain regions. However, in practice, the contact hole 13 is formed so as to expose the metal gate electrode 19 and the source / drain regions.

工程ST49:次に、図12(b)に示すように、CVD法又はスパッタ法により、コンタクトホール13の壁面を含む第3の酸化シリコン膜12の上に、例えばTi又はTiNからなるバリア膜(図示せず)を堆積し、続いて、バリア膜の上に例えばタングステン膜をコンタクトホール13が埋まる程度に堆積する。その後、メタルCMP処理により、第3の酸化シリコン膜12の上に堆積したタングステン膜及びバリア膜を除去する。以上の工程により、プリメタル層間絶縁膜(第1の酸化シリコン膜10、第2の酸化シリコン膜11及び第3の酸化シリコン膜12)の上にメタルゲート電極19又はソース・ドレイン領域と電気的に接続されるプラグ14が露出したプリメタル領域が形成される。   Step ST49: Next, as shown in FIG. 12B, a barrier film (for example, made of Ti or TiN) is formed on the third silicon oxide film 12 including the wall surface of the contact hole 13 by CVD or sputtering. Then, a tungsten film, for example, is deposited on the barrier film to such an extent that the contact hole 13 is filled. Thereafter, the tungsten film and the barrier film deposited on the third silicon oxide film 12 are removed by metal CMP processing. Through the above steps, the metal gate electrode 19 or the source / drain region is electrically formed on the premetal interlayer insulating film (the first silicon oxide film 10, the second silicon oxide film 11, and the third silicon oxide film 12). A premetal region where the plug 14 to be connected is exposed is formed.

以上のように、第2の実施形態に係る半導体装置の製造方法によると、複数のダミーゲート電極16の間を埋め込むように形成されるプリメタル層間絶縁膜は、埋め込み特性に優れる一方、CMPに対するディッシング耐性及びスクラッチ耐性に劣る第1の酸化シリコン膜10と、第1の酸化シリコン膜10の上に形成され、埋め込み特性は劣るもののCMPに対するディッシング耐性及びスクラッチ耐性に優れる第2の酸化シリコン膜11と、第2の酸化シリコン膜11の上に形成され、BTS不良に対する耐性に優れる第3の酸化シリコン膜12とから構成される。   As described above, according to the manufacturing method of the semiconductor device according to the second embodiment, the premetal interlayer insulating film formed so as to be embedded between the plurality of dummy gate electrodes 16 is excellent in the embedding characteristic, while dishing for CMP. A first silicon oxide film 10 inferior in resistance and scratch resistance, and a second silicon oxide film 11 formed on the first silicon oxide film 10 and inferior in filling characteristics but excellent in dishing resistance and scratch resistance against CMP The third silicon oxide film 12 is formed on the second silicon oxide film 11 and has excellent resistance to BTS defects.

これにより、1回目のCMP後の第1の酸化シリコン膜10において発生した段差部は、第2の酸化シリコン膜11によって埋め込まれ、2回目のCMP後の第2の酸化シリコン膜11の表面における段差は低減又は消失する。このため、第3の酸化シリコン膜12の表面の平坦性が向上することにより、半導体装置の信頼性を向上することができる。   As a result, the step portion generated in the first silicon oxide film 10 after the first CMP is buried by the second silicon oxide film 11 and is formed on the surface of the second silicon oxide film 11 after the second CMP. The step is reduced or disappears. For this reason, the flatness of the surface of the third silicon oxide film 12 is improved, whereby the reliability of the semiconductor device can be improved.

具体的には、ゲートラストプロセスにおいて、ダミーゲート電極16を除去した後の凹部にゲートメタル形成膜19Aが残留し、残留したゲートメタル形成膜19Aによって、メタルゲート電極19同士又はプラグ14同士が短絡する等の不具合を防止することができる。   Specifically, in the gate last process, the gate metal formation film 19A remains in the recess after the dummy gate electrode 16 is removed, and the metal gate electrodes 19 or the plugs 14 are short-circuited by the remaining gate metal formation film 19A. It is possible to prevent malfunctions such as

なお、第1及び第2の実施形態においては、第1の酸化シリコン膜10に、O−TEOS膜を用いたが、これに代えて、SOD膜、PSG膜又はBPSG膜を用いることができる。 In the first and second embodiments, the O 3 -TEOS film is used as the first silicon oxide film 10, but an SOD film, a PSG film, or a BPSG film can be used instead. .

また、第1及び第2の実施形態においては、半導体装置を構成するトランジスタをn型トランジスタとしたが、p型トランジスタであってもよく、また、n型トランジスタとp型トランジスタとを含んでいてもよい。   In the first and second embodiments, the transistors constituting the semiconductor device are n-type transistors. However, the transistors may be p-type transistors, and include n-type transistors and p-type transistors. Also good.

また、第1及び第2の実施形態に係る半導体装置は、一例に過ぎず、半導体装置の構成及び構成材料は、本発明を逸脱しない範囲で変更が可能である。   The semiconductor devices according to the first and second embodiments are merely examples, and the configuration and constituent materials of the semiconductor device can be changed without departing from the present invention.

本発明に係る半導体装置の製造方法は、メタルゲートプロセスにおけるプリメタル層間絶縁膜の平坦性が向上してチップ内不良を防止でき、特にプリメタル層間絶縁膜を有する半導体装置等に有用である。   The method for manufacturing a semiconductor device according to the present invention improves the flatness of the premetal interlayer insulating film in the metal gate process and can prevent in-chip defects, and is particularly useful for a semiconductor device having a premetal interlayer insulating film.

1 半導体基板(素子形成領域/半導体領域)
2 素子分離領域
3 ゲート絶縁膜
4 ゲート電極
4a 下部ゲート電極
4b 上部ゲート電極
5 低濃度拡散層
6 サイドウォール
7 高濃度拡散層(ソース・ドレイン領域)
8 シリサイド層
9 絶縁膜
10 第1の酸化シリコン膜
11 第2の酸化シリコン膜
12 第3の酸化シリコン膜
13 コンタクトホール
14 プラグ
15 ゲート絶縁膜
16 ダミーゲート電極
17 ゲートトレンチ
19A ゲートメタル材料
19 ゲートメタル電極
1 Semiconductor substrate (element formation region / semiconductor region)
2 Element isolation region 3 Gate insulating film 4 Gate electrode 4a Lower gate electrode 4b Upper gate electrode 5 Low concentration diffusion layer 6 Side wall 7 High concentration diffusion layer (source / drain region)
8 Silicide layer 9 Insulating film 10 First silicon oxide film 11 Second silicon oxide film 12 Third silicon oxide film 13 Contact hole 14 Plug 15 Gate insulating film 16 Dummy gate electrode 17 Gate trench 19A Gate metal material 19 Gate metal electrode

Claims (13)

半導体領域の上に、ゲート絶縁膜を介在させて第1のゲート電極を形成する工程(a)と、
前記半導体領域に前記第1のゲート電極をマスクとしてソース・ドレイン領域を形成する工程(b)と、
前記第1のゲート電極を覆うように前記半導体領域の上の全面に第1の酸化シリコン膜を形成する工程(c)と、
前記第1のゲート電極をストップ膜とする化学機械研磨法により、前記第1の酸化シリコン膜を平坦化する工程(d)と、
前記第1のゲート電極を含む前記第1の酸化シリコン膜の上に、第2の酸化シリコン膜を形成する工程(e)と、
前記第1のゲート電極をストップ膜とする化学機械研磨法により、前記第2の酸化シリコン膜を平坦化する工程(f)と、
前記第1のゲート電極を含む前記第2の酸化シリコン膜の上に、第3の酸化シリコン膜を形成する工程(g)とを備えていることを特徴とする半導体装置の製造方法。
Forming a first gate electrode on the semiconductor region with a gate insulating film interposed therebetween;
Forming a source / drain region using the first gate electrode as a mask in the semiconductor region (b);
Forming a first silicon oxide film on the entire surface of the semiconductor region so as to cover the first gate electrode (c);
Flattening the first silicon oxide film by a chemical mechanical polishing method using the first gate electrode as a stop film;
Forming a second silicon oxide film on the first silicon oxide film including the first gate electrode (e);
Flattening the second silicon oxide film by a chemical mechanical polishing method using the first gate electrode as a stop film; and
And a step (g) of forming a third silicon oxide film on the second silicon oxide film including the first gate electrode.
前記第1のゲート電極はダミーゲート電極であり、
前記工程(f)と前記工程(g)との間に、
前記第1のゲート電極を除去する工程(h)と、
前記第1のゲート電極を除去してなる凹部に、導電性材料からなる第2のゲート電極を充填する工程(i)とをさらに備え、
前記工程(g)は、前記第3の酸化シリコン膜を前記第2のゲート電極を含む前記第2の酸化シリコン膜の上に形成することを特徴とする請求項1に記載の半導体装置の製造方法。
The first gate electrode is a dummy gate electrode;
Between the step (f) and the step (g),
Removing the first gate electrode (h);
Filling the recess formed by removing the first gate electrode with the second gate electrode made of a conductive material (i),
2. The method of manufacturing a semiconductor device according to claim 1, wherein in the step (g), the third silicon oxide film is formed on the second silicon oxide film including the second gate electrode. 3. Method.
前記第2のゲート電極を構成する前記導電性材料は、金属であることを特徴とする請求項2に記載の半導体装置の製造方法。   The method of manufacturing a semiconductor device according to claim 2, wherein the conductive material constituting the second gate electrode is a metal. 前記第1の酸化シリコン膜は、前記第2の酸化シリコン膜よりも埋め込み特性が高く、
前記第2の酸化シリコン膜は、前記第1の酸化シリコン膜よりも研磨耐性が高く、
前記第3の酸化シリコン膜は、前記第1の酸化シリコン膜よりも水分含有量が少ないことを特徴とする請求項1〜3のいずれか1項に記載の半導体装置の製造方法。
The first silicon oxide film has higher embedding characteristics than the second silicon oxide film,
The second silicon oxide film has higher polishing resistance than the first silicon oxide film,
The method for manufacturing a semiconductor device according to claim 1, wherein the third silicon oxide film has a moisture content less than that of the first silicon oxide film.
前記工程(a)と前記工程(b)との間に、
前記第1のゲート電極を覆うように前記半導体領域の上の全面に絶縁膜を形成する工程(j)をさらに備え、
前記工程(d)及び前記工程(f)において、
前記絶縁膜を前記ストップ膜に用いることを特徴とする請求項1〜4のいずれか1項に記載の半導体装置の製造方法。
Between the step (a) and the step (b),
A step (j) of forming an insulating film on the entire surface of the semiconductor region so as to cover the first gate electrode;
In the step (d) and the step (f),
The method for manufacturing a semiconductor device according to claim 1, wherein the insulating film is used as the stop film.
前記絶縁膜は、窒化シリコンからなることを特徴とする請求項5に記載の半導体装置の製造方法。   6. The method of manufacturing a semiconductor device according to claim 5, wherein the insulating film is made of silicon nitride. 前記工程(a)と前記工程(j)との間に、
前記第1のゲート電極の側面上にサイドウォール絶縁膜を形成する工程(k)をさらに備えていることを特徴とする請求項5又は6に記載の半導体装置の製造方法。
Between the step (a) and the step (j),
The method of manufacturing a semiconductor device according to claim 5, further comprising a step (k) of forming a sidewall insulating film on a side surface of the first gate electrode.
前記工程(b)と前記工程(c)との間に、
前記半導体領域及び第1のゲート電極の各上部にシリサイド領域を形成する工程(l)をさらに備えていることを特徴とする請求項1〜7のいずれか1項に記載の半導体装置の製造方法。
Between the step (b) and the step (c),
8. The method of manufacturing a semiconductor device according to claim 1, further comprising a step (l) of forming a silicide region on each of the semiconductor region and the first gate electrode. .
前記工程(d)において、
前記第1の酸化シリコン膜における前記第1のゲート電極の側方の領域に対して、前記第1のゲート電極の上面よりも低い位置で研磨を停止させることを特徴とする請求項1〜8のいずれか1項に記載の半導体装置の製造方法。
In the step (d),
9. The polishing is stopped at a position lower than the upper surface of the first gate electrode with respect to a region on the side of the first gate electrode in the first silicon oxide film. The method for manufacturing a semiconductor device according to any one of the above.
前記工程(f)において、
前記第2の酸化シリコン膜における前記第1のゲート電極の側方の領域に対して、前記第1のゲート電極の上面と等しい位置で研磨を停止させることを特徴とする請求項1〜9のいずれか1項に記載の半導体装置の製造方法。
In the step (f),
10. The polishing of the region of the second silicon oxide film lateral to the first gate electrode is stopped at a position equal to the upper surface of the first gate electrode. A manufacturing method of a semiconductor device given in any 1 paragraph.
前記第1の酸化シリコン膜は、O−TEOS膜、SOD膜、PSG膜又はBPSG膜であることを特徴とする請求項1〜10のいずれか1項に記載の半導体装置の製造方法。 11. The method for manufacturing a semiconductor device according to claim 1, wherein the first silicon oxide film is an O 3 -TEOS film, an SOD film, a PSG film, or a BPSG film. 前記第2の酸化シリコン膜は、HDP−NSG膜であることを特徴とする請求項1〜11のいずれか1項に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein the second silicon oxide film is an HDP-NSG film. 前記第3の酸化シリコン膜は、P−TEOS膜であることを特徴とする請求項1〜12のいずれか1項に記載の半導体装置の製造方法。   The method of manufacturing a semiconductor device according to claim 1, wherein the third silicon oxide film is a P-TEOS film.
JP2010214808A 2010-09-27 2010-09-27 Method for manufacturing semiconductor device Withdrawn JP2012069837A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010214808A JP2012069837A (en) 2010-09-27 2010-09-27 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010214808A JP2012069837A (en) 2010-09-27 2010-09-27 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2012069837A true JP2012069837A (en) 2012-04-05

Family

ID=46166706

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010214808A Withdrawn JP2012069837A (en) 2010-09-27 2010-09-27 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2012069837A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9171727B2 (en) 2013-02-28 2015-10-27 Renesas Electronics Corporation Method of manufacturing a semiconductor device
CN113539963A (en) * 2020-06-30 2021-10-22 台湾积体电路制造股份有限公司 Method for manufacturing semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9171727B2 (en) 2013-02-28 2015-10-27 Renesas Electronics Corporation Method of manufacturing a semiconductor device
US9583502B2 (en) 2013-02-28 2017-02-28 Renesas Electronics Corporation Method of manufacturing a semiconductor device
CN113539963A (en) * 2020-06-30 2021-10-22 台湾积体电路制造股份有限公司 Method for manufacturing semiconductor device
US11984324B2 (en) 2020-06-30 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Similar Documents

Publication Publication Date Title
TWI689043B (en) Transistor and methods of forming the same
US8633520B2 (en) Semiconductor device
TW550687B (en) Semiconductor device
US20020137295A1 (en) Salicide field effect transistors with improved borderless contact structures and a method of fabrication
TW594926B (en) Semiconductor device manufacturing method
JP5357401B2 (en) Semiconductor device and manufacturing method thereof
TW201743406A (en) Method of fabricating semiconductor structure
JP4139586B2 (en) Semiconductor device and manufacturing method thereof
US20120007184A1 (en) Semiconductor device and method of fabricating the same
US7939410B2 (en) Semiconductor device and manufacturing method thereof
JP4751705B2 (en) Manufacturing method of semiconductor device
US7981762B2 (en) Method of forming pre-metal dielectric layer of semiconductor device
US7649218B2 (en) Lateral MOS transistor and method for manufacturing thereof
CN111816605A (en) Semiconductor element and manufacturing method thereof
US8941182B2 (en) Buried sublevel metallizations for improved transistor density
JP2012069837A (en) Method for manufacturing semiconductor device
KR101091468B1 (en) Method of fabricating semiconductor device
JP2011228578A (en) Semiconductor device and method of manufacturing the same
TWI511187B (en) Methods for fabricating semiconductor devices having local contacts
KR101005737B1 (en) Method for forming a metal line in semiconductor device
KR100945870B1 (en) Method for manufacturing sidewall spacer of semiconductor device
JP2011040422A (en) Semiconductor substrate, semiconductor device and method of manufacturing the semiconductor device
JP2012038898A (en) Semiconductor device and manufacturing method thereof
KR100952243B1 (en) Method for forming pre metal dielectric layer of the semiconductor device
US7999316B2 (en) Semiconductor device and method for manufacturing the same

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20131203