JP2011199059A - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
JP2011199059A
JP2011199059A JP2010065029A JP2010065029A JP2011199059A JP 2011199059 A JP2011199059 A JP 2011199059A JP 2010065029 A JP2010065029 A JP 2010065029A JP 2010065029 A JP2010065029 A JP 2010065029A JP 2011199059 A JP2011199059 A JP 2011199059A
Authority
JP
Japan
Prior art keywords
film
porous sioch
semiconductor device
sioch film
modified layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010065029A
Other languages
Japanese (ja)
Inventor
Hisaya Inoue
尚也 井上
Koichi Motoyama
幸一 本山
Akira Nakajima
章 中嶋
Makoto Ueki
誠 植木
Hirochika Yamamoto
博規 山本
Yoshihiro Hayashi
喜宏 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2010065029A priority Critical patent/JP2011199059A/en
Publication of JP2011199059A publication Critical patent/JP2011199059A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19107Disposition of discrete passive components off-chip wires

Landscapes

  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a semiconductor device that has an insulating layer with a low dielectric constant and a superior adhesion with metals, and to provide its manufacturing method.SOLUTION: The semiconductor device includes: a substrate (silicon substrate); a porous SiOCH film 12b provided on the substrate, and having a carbon-carbon coupling and the ratio (C/Si) of the number of carbon atoms to the number of silicon atoms not less than two; a recess provided to the porous SiOCH film 12b; a metal film(Cu film 22b) provided so as to plug the recess; and a modifying layer 31b contacting with a Cu film 22b and provided on the surface of the porous SiOCH film 12b in the recess. The modifying layer 31b has a small C/Si ratio and an equivalent O/Si ratio comparing to the inside of the porous SiOCH film 12b.

Description

本発明は、半導体装置およびその製造方法に関する。   The present invention relates to a semiconductor device and a manufacturing method thereof.

微細化と高速化が進む昨今の半導体装置においては、配線の寄生抵抗や寄生容量による信号遅延が深刻になっている。このため、層間絶縁膜中に金属配線を有する多層配線構造の半導体装置においては、金属配線として低抵抗な銅(Cu)を用い、層間絶縁膜として低誘電率の材料を用いることが一般的になっている。この中で、層間絶縁膜については、様々な低誘電率の材料が検討されている。   In recent semiconductor devices that are becoming finer and faster, signal delay due to the parasitic resistance and parasitic capacitance of wiring is becoming serious. For this reason, in a semiconductor device having a multilayer wiring structure having a metal wiring in an interlayer insulating film, it is common to use low resistance copper (Cu) as the metal wiring and use a low dielectric constant material as the interlayer insulating film. It has become. Among these, various low dielectric constant materials have been studied for the interlayer insulating film.

元来、層間絶縁膜は、シリコン酸化膜で構成されていた。この層間絶縁膜の誘電率を低減するには、分極率の高いシリコンと酸素の結合(Si−O)を減少させることが有効である。これを実現するために、フッ素(F)や炭素(C)を膜中に導入することが検討された。   Originally, the interlayer insulating film was composed of a silicon oxide film. In order to reduce the dielectric constant of this interlayer insulating film, it is effective to reduce the bond (Si—O) between silicon and oxygen having a high polarizability. In order to realize this, it has been studied to introduce fluorine (F) or carbon (C) into the film.

もう一つの層間絶縁膜の低誘電率化の手法としては、膜中に空孔を導入する手法が用いられる。空孔は、ほぼ空気の誘電率である1を示す。このため、層間絶縁膜の誘電率を大きく下げることができる。膜中に空孔を導入するには、まず、ポロジェン(発泡剤)を膜中に含有させ、この膜に熱、紫外線(UV)照射、電子線(EB)照射等を処理する。これにより、膜中のポロジェンを脱離させる。そして、ポロジェンの脱離によって、膜中に空孔が残されることになる。   As another technique for reducing the dielectric constant of the interlayer insulating film, a technique of introducing vacancies in the film is used. A void | hole shows 1 which is the dielectric constant of air substantially. For this reason, the dielectric constant of the interlayer insulating film can be greatly reduced. In order to introduce pores into the film, first, a porogen (foaming agent) is contained in the film, and this film is treated with heat, ultraviolet (UV) irradiation, electron beam (EB) irradiation, or the like. Thereby, the porogen in the film is desorbed. As a result of the porogen desorption, vacancies are left in the film.

このように層間絶縁膜は、低誘電率という特性が求められる一方で、金属配線との密着性も求められている。密着性が低いと、金属配線の剥離が生じることがあり、半導体装置の信頼性が低下することがあった。   As described above, the interlayer insulating film is required to have a characteristic of a low dielectric constant, and is also required to have adhesion with a metal wiring. If the adhesion is low, the metal wiring may be peeled off, and the reliability of the semiconductor device may be lowered.

特許文献1には、SiO―CHで構成されるMSQについて、炭素を引き抜くように改質する技術が記載されている。炭素を引き抜くことにより、Si―CH結合を、Si−H結合に置換する。そして、改質層表面を親水性にすることにより、金属膜との密着性を向上できると記載されている。同文献には、改質層の膜厚が14nm(C濃度が7%以下)のとき、スクラッチが低減され、すなわち、密着性が向上すると記載されている。 Patent Document 1 describes a technique for modifying MSQ composed of SiO 2 —CH 3 so as to extract carbon. By extracting carbon, Si—CH 3 bonds are replaced with Si—H bonds. And it is described that the adhesiveness with a metal film can be improved by making the modified layer surface hydrophilic. The document describes that when the film thickness of the modified layer is 14 nm (C concentration is 7% or less), scratches are reduced, that is, adhesion is improved.

特許文献2には、多孔質MSQ膜を用いた配線構造において、配線溝やビア等の開口部の側壁に保護膜を形成する技術が記載されている。開口部の底部をプラズマでたたき、その成分を、開口部の側壁に成膜させる。これにより、開口部の側壁上に緻密な保護膜を形成できると記載されている。   Patent Document 2 describes a technique for forming a protective film on a sidewall of an opening such as a wiring groove or a via in a wiring structure using a porous MSQ film. The bottom of the opening is struck with plasma, and the component is deposited on the sidewall of the opening. This describes that a dense protective film can be formed on the sidewall of the opening.

特許文献3には、シリコン、酸素、炭素を含む絶縁膜の表層に酸素を導入して、酸素と炭素とを置換し、高密度な低炭素濃度の改質層を形成することが記載されている。改質層は、酸素原子数が多いので、金属との密着力が向上すると記載されている。   Patent Document 3 describes that oxygen is introduced into a surface layer of an insulating film containing silicon, oxygen, and carbon to replace oxygen and carbon to form a high-density, low-carbon modified layer. Yes. It is described that the modified layer has a large number of oxygen atoms, so that the adhesion with the metal is improved.

特開2003−309170号公報JP 2003-309170 A 特開2005−217162号公報JP 2005-217162 A 国際公開第2007/132879号パンフレットInternational Publication No. 2007/132879 Pamphlet

層間絶縁膜の誘電率を下げるには、(i)誘電率が低い材料を用いること、(ii)誘電率の高い部分の膜厚を薄くすることの両方を満たすことが必要である。そして、本発明者が検討した結果、この(i)且つ(ii)の低誘電率化を満たすとともに、金属膜との密着性とを満たすというトレードオフの関係を改善することが困難であることが見出された。   In order to reduce the dielectric constant of the interlayer insulating film, it is necessary to satisfy both (i) using a material having a low dielectric constant and (ii) reducing the film thickness of a portion having a high dielectric constant. As a result of investigation by the present inventors, it is difficult to improve the trade-off relationship of satisfying the low dielectric constants (i) and (ii) and satisfying the adhesion with the metal film. Was found.

特許文献1に記載の技術では、低炭素濃度の改質MSQにおいては、膜厚が10nm以下となるような条件では、バリアメタルの剥離を完全に抑制できていないことが記載されている。一方、バリアメタルの剥離を抑制するためには、改質MSQの膜厚を厚くする必要があることが記載されている。このように、特許文献1に記載の技術では、(ii)誘電率の高い改質層の膜厚を薄くすることと、金属膜との密着性とのトレードオフを改善するのが困難であった。   In the technique described in Patent Document 1, it is described that in the modified MSQ having a low carbon concentration, the peeling of the barrier metal cannot be completely suppressed under the condition that the film thickness is 10 nm or less. On the other hand, it is described that it is necessary to increase the film thickness of the modified MSQ in order to suppress the peeling of the barrier metal. As described above, in the technique described in Patent Document 1, it is difficult to improve the trade-off between (ii) reducing the thickness of the modified layer having a high dielectric constant and adhesion to the metal film. It was.

これに対して、特許文献3には、表層に酸素を導入して、酸素と炭素とを置換し、高密度な低炭素濃度の改質層を形成する。これにより、改質層の膜厚を薄くしたまま、改質層の密着性を向上させることができると記載されている。
しかしながら、積極的に酸素を導入することで、表面がシリコン酸化膜(SiO)に類似の膜構造となる。このため、誘電率の極端な増大をもたらすことになる。
このように、特許文献3に記載の技術では、(i)誘電率が低い改質層を用いることと、金属膜との密着性とのトレードオフを改善するのが困難であった。
On the other hand, in Patent Document 3, oxygen is introduced into the surface layer to replace oxygen and carbon, thereby forming a high density, low carbon concentration modified layer. Thereby, it is described that the adhesiveness of the modified layer can be improved while the film thickness of the modified layer is reduced.
However, by positively introducing oxygen, the surface has a film structure similar to a silicon oxide film (SiO 2 ). This leads to an extreme increase in dielectric constant.
As described above, in the technique described in Patent Document 3, it is difficult to improve the trade-off between (i) using a modified layer having a low dielectric constant and adhesion with a metal film.

なお、特許文献2に記載の技術においては、配線を形成する溝底が激しくエッチングされるため、深刻な損傷が発生する。これにより、底荒れ、誘電率増大等が発生する。また、この技術は配線溝底に対する強化策とはなっていない。このため、配線溝底での金属配線との密着強度が課題として残る。   In the technique described in Patent Document 2, serious damage occurs because the bottom of the groove forming the wiring is severely etched. As a result, the bottom becomes rough and the dielectric constant increases. Moreover, this technique is not a strengthening measure for the wiring groove bottom. For this reason, the adhesion strength with the metal wiring at the wiring groove bottom remains as a problem.

C―C結合は、C―Si結合に対して優先して切断される。このため、C−C結合を有する多孔質SiOCH膜を改質する際、C―Si結合を残しつつ、C―C結合の結合を切断して炭素を引き抜くことができる。そして、深さ方向(膜厚方向)に、切断対象が、C―C結合の分だけ増加するので、C―Si結合を残しつつも、改質層の膜厚を薄くすることができる。また、C―Si結合を残すことにより、分極率の高いSi−OH結合への変化を抑制できるので、誘電率を低く抑えることができる。
以上を背景に本発明者は以下に示す本発明を想到するに至った。
The C—C bond is broken in preference to the C—Si bond. For this reason, when modifying a porous SiOCH film having a C—C bond, carbon can be extracted by cutting the bond of the C—C bond while leaving the C—Si bond. In addition, since the number of objects to be cut increases in the depth direction (thickness direction) by the C—C bond, the film thickness of the modified layer can be reduced while leaving the C—Si bond. Further, by leaving the C—Si bond, the change to the Si—OH bond having a high polarizability can be suppressed, so that the dielectric constant can be suppressed low.
Based on the above, the present inventor has conceived the present invention described below.

すなわち、本発明によれば、
基板上に、炭素−炭素結合を有し、かつ炭素原子数とシリコン原子数との比(C/Si)が2以上である、多孔質SiOCH膜を形成する工程と、
前記多孔質SiOCH膜に凹部を形成する工程と、
前記凹部内の前記多孔質SiOCH膜の表面に、前記多孔質SiOCH膜の内部と比較して、C/Si比が小さく、かつO/Si比が同等な改質層を形成する工程と、
前記凹部に金属膜を埋め込む工程と、を含む、半導体装置の製造方法が提供される。
That is, according to the present invention,
Forming a porous SiOCH film having a carbon-carbon bond on the substrate and having a ratio of carbon atoms to silicon atoms (C / Si) of 2 or more;
Forming a recess in the porous SiOCH film;
Forming a modified layer on the surface of the porous SiOCH film in the recess, the C / Si ratio being small and the O / Si ratio being equal compared to the inside of the porous SiOCH film;
A method of manufacturing a semiconductor device, comprising: embedding a metal film in the recess.

多孔質SiOCH膜は、炭素−炭素結合を有する。改質層形成工程においては、多孔質SiOCH膜においては、炭素原子は、C―C結合から引き抜かれ、C―Si結合が残る。このため、改質層は、多孔質SiOCH膜と比較して炭素濃度が低くなる(C/Si比が小さくなる)ものの、C―Si結合が残るので誘電率の上昇を抑制できる。また、切断対象が、C―C結合の分だけ増加するので、改質層の膜厚を薄くすることができる。さらに、改質層では、酸素が導入されないので、多孔質SiOCH膜と同程度のO/Si比となっており、誘電率の上昇が抑制されている。
このように、改質層は、改質層の低誘電率が低くかつその膜厚が薄い。このため、多孔質SiOCH膜全体の誘電率を低く抑えることができる。
一方、改質層においては、炭素濃度が低くなり、疎水性が低下するので、金属膜との密着性が向上する。
The porous SiOCH film has a carbon-carbon bond. In the modified layer forming step, in the porous SiOCH film, carbon atoms are extracted from the C—C bond, and the C—Si bond remains. For this reason, the modified layer has a carbon concentration lower than that of the porous SiOCH film (C / Si ratio is reduced), but the C—Si bond remains, so that an increase in dielectric constant can be suppressed. In addition, since the number of objects to be cut increases by the C—C bond, the thickness of the modified layer can be reduced. Furthermore, since oxygen is not introduced into the modified layer, the O / Si ratio is about the same as that of the porous SiOCH film, and an increase in dielectric constant is suppressed.
Thus, the modified layer has a low low dielectric constant and a thin film thickness. For this reason, the dielectric constant of the whole porous SiOCH film can be suppressed low.
On the other hand, in the modified layer, the carbon concentration is lowered and the hydrophobicity is lowered, so that the adhesion with the metal film is improved.

また、本発明によれば、
基板と、
前記基板上に設けられており、炭素−炭素結合を有し、かつ炭素原子数とシリコン原子数との比(C/Si)が2以上である、多孔質SiOCH膜と、
前記多孔質SiOCH膜に設けられた凹部と、
前記凹部を埋め込むように設けられた金属膜と、
前記金属膜と接しており、前記凹部内の前記多孔質SiOCH膜の表面に設けられた、改質層と、を備え、
前記改質層は、前記多孔質SiOCH膜の内部と比較して、C/Si比が小さく、かつO/Si比が同等である、半導体装置が提供される。
Moreover, according to the present invention,
A substrate,
A porous SiOCH film provided on the substrate, having a carbon-carbon bond, and having a ratio of carbon atoms to silicon atoms (C / Si) of 2 or more;
A recess provided in the porous SiOCH film;
A metal film provided to embed the recess,
A modified layer that is in contact with the metal film and provided on the surface of the porous SiOCH film in the recess,
A semiconductor device is provided in which the modified layer has a smaller C / Si ratio and an equal O / Si ratio than the inside of the porous SiOCH film.

改質層は、多孔質SiOCH膜と比較して炭素濃度が低く(C/Si比が小さい)。多孔質SiOCH膜は、炭素−炭素結合を有する。このため、改質層では、炭素原子は、C―C結合から引き抜かれ、C―Si結合が残っている。このため、切断対象が、C―C結合の分だけ増加しているので、改質層の膜厚は薄くなっている。また、C―Si結合が残るので、改質層の誘電率の上昇が抑制されている。さらに、改質層では、多孔質SiOCH膜と同程度のO/Si比となっており、酸素が導入されないので、誘電率の上昇が抑制されている。
このように、改質層は、改質層の低誘電率が低くかつその膜厚が薄い。このため、多孔質SiOCH膜全体の誘電率を低く抑えることができる。
一方、改質層は、炭素濃度が低い。このため、改質層においては、疎水性が低下するので、金属膜との密着性が向上する。
The modified layer has a low carbon concentration (small C / Si ratio) compared to the porous SiOCH film. The porous SiOCH film has a carbon-carbon bond. For this reason, in the modified layer, carbon atoms are extracted from the C—C bond, and the C—Si bond remains. For this reason, since the number of objects to be cut increases by the C—C bond, the film thickness of the modified layer is thin. Moreover, since the C—Si bond remains, an increase in the dielectric constant of the modified layer is suppressed. Further, the modified layer has an O / Si ratio comparable to that of the porous SiOCH film, and oxygen is not introduced, so that an increase in dielectric constant is suppressed.
Thus, the modified layer has a low low dielectric constant and a thin film thickness. For this reason, the dielectric constant of the whole porous SiOCH film can be suppressed low.
On the other hand, the modified layer has a low carbon concentration. For this reason, in a modified layer, since hydrophobicity falls, adhesiveness with a metal film improves.

本発明によれば、誘電率が低くかつ金属との密着性に優れた絶縁層を有する半導体装置およびその製造方法が提供される。   ADVANTAGE OF THE INVENTION According to this invention, the semiconductor device which has an insulating layer with a low dielectric constant and excellent adhesiveness with a metal, and its manufacturing method are provided.

本実施の形態の半導体装置を模式的に示す断面構造図。1 is a cross-sectional structure diagram schematically showing a semiconductor device of an embodiment. 配線溝底を示す断面写真。A cross-sectional photograph showing a wiring groove bottom. C/Si組成比と比誘電率上昇率との関係を示す図。The figure which shows the relationship between C / Si composition ratio and a dielectric constant raise rate. C/Si組成比の深さ方向分布を示す図。The figure which shows the depth direction distribution of C / Si composition ratio. 半導体パッケージの超音波顕微鏡写真。Ultrasonic micrograph of a semiconductor package. 半導体パッケージの異常部の断面解析写真。Cross-sectional analysis photograph of an abnormal part of a semiconductor package. バリア金属膜と多孔質SiOCH膜の密着強度を示す図。The figure which shows the adhesive strength of a barrier metal film and a porous SiOCH film | membrane. 本実施の形態の半導体装置の製造方法を示す工程断面図。Process sectional drawing which shows the manufacturing method of the semiconductor device of this Embodiment. 本実施の形態の半導体装置の製造方法を示す工程断面図。Process sectional drawing which shows the manufacturing method of the semiconductor device of this Embodiment. 本実施の形態の半導体装置の製造方法を示す工程断面図。Process sectional drawing which shows the manufacturing method of the semiconductor device of this Embodiment. 本実施の形態の半導体装置の製造方法を示す工程断面図。Process sectional drawing which shows the manufacturing method of the semiconductor device of this Embodiment. 本実施の形態の半導体装置の製造方法を示す工程断面図。Process sectional drawing which shows the manufacturing method of the semiconductor device of this Embodiment. 本実施の形態の半導体パッケージの実装方法を示す図。10A and 10B illustrate a method for mounting a semiconductor package of an embodiment mode. 本実施の形態の半導体パッケージの実装方法を示す図。10A and 10B illustrate a method for mounting a semiconductor package of an embodiment mode.

以下、本発明の実施の形態について、図面を用いて説明する。尚、すべての図面において、同様な構成要素には同様の符号を付し、適宜説明を省略する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings. In all the drawings, the same reference numerals are given to the same components, and the description will be omitted as appropriate.

(半導体装置)
図1は、本実施の形態の半導体装置の模式的な断面図を示す。
本実施の形態の半導体装置は、基板(シリコン基板)と、基板上に設けられており、炭素−炭素結合を有し、かつ炭素原子数とシリコン原子数との比(C/Si)が2以上である、多孔質SiOCH膜12bと、多孔質SiOCH膜12bに設けられた凹部と、凹部を埋め込むように設けられた金属膜(Cu膜22b)と、Cu膜22bと接しており、凹部内の多孔質SiOCH膜12bの表面に設けられた、改質層31bと、を備え、改質層31bは、多孔質SiOCH膜12bの内部と比較して、C/Si比が小さく、かつO/Si比が同等である。
(Semiconductor device)
FIG. 1 is a schematic cross-sectional view of the semiconductor device of the present embodiment.
The semiconductor device of this embodiment is provided on a substrate (silicon substrate) and the substrate, has a carbon-carbon bond, and has a ratio of carbon atoms to silicon atoms (C / Si) of 2. The porous SiOCH film 12b, the concave portion provided in the porous SiOCH film 12b, the metal film (Cu film 22b) provided so as to fill the concave portion, and the Cu film 22b as described above are in contact with each other. The modified layer 31b is provided on the surface of the porous SiOCH film 12b. The modified layer 31b has a smaller C / Si ratio than the inside of the porous SiOCH film 12b, and an O / Si ratio is equivalent.

図1に示すように、本実施の形態の半導体装置は、不図示の半導体基板(シリコン基板)上に形成され、配線(銅配線)および絶縁層(層間絶縁膜)により構成された配線層が複数積層された多層配線層を有する。多孔質SiOCH膜12a、12b(第1の層間絶縁膜および第2の層間絶縁膜)には、それぞれ複数の配線溝が形成されている。各配線溝にCu膜22a、22b(第1の金属配線および第2の金属配線)が埋め込まれている。第1の金属配線(Cu膜22a)と第2の金属配線(Cu膜22b)は電気的に接続されている。Cu膜22bはデュアルダマシン構造を有してもよいが、シングルダマシン構造を有してもよい。各配線溝内には、Cu膜22a、Cu膜22bを覆うようにバリア金属膜21a、21bが形成されている。このバリア金属膜21a、21bと接する部分に、改質層31a、31bが形成されている。すなわち、改質層31aは、配線溝の底部および側壁部を覆うように形成されている。この改質層31aの断面形状は、コの字やU字状等とすることができる。一方、改質層31bは、例えば、配線溝の側壁部を覆うように形成されている。この改質層31bの断面形状は、ハの字状等とすることができる。改質層31a、31bは、それぞれ多孔質SiOCH膜12a、12bを改質することにより得られる。多孔質SiOCH膜12aと多孔質SiOCH膜12bとの間には、キャップ絶縁膜11aが形成されている。一方、多孔質SiOCH膜12b上およびCu膜22b上には、キャップ絶縁膜11bが形成されている。   As shown in FIG. 1, the semiconductor device according to the present embodiment has a wiring layer formed on a semiconductor substrate (silicon substrate) (not shown) and configured by wiring (copper wiring) and an insulating layer (interlayer insulating film). A plurality of multilayer wiring layers are provided. A plurality of wiring grooves are formed in each of the porous SiOCH films 12a and 12b (first interlayer insulating film and second interlayer insulating film). Cu films 22a and 22b (first metal wiring and second metal wiring) are embedded in each wiring groove. The first metal wiring (Cu film 22a) and the second metal wiring (Cu film 22b) are electrically connected. The Cu film 22b may have a dual damascene structure, but may have a single damascene structure. In each wiring groove, barrier metal films 21a and 21b are formed so as to cover the Cu film 22a and the Cu film 22b. The modified layers 31a and 31b are formed in the portions in contact with the barrier metal films 21a and 21b. That is, the modified layer 31a is formed so as to cover the bottom and side walls of the wiring groove. The cross-sectional shape of the modified layer 31a can be a U shape, a U shape, or the like. On the other hand, the modified layer 31b is formed, for example, so as to cover the side wall portion of the wiring groove. The cross-sectional shape of the modified layer 31b can be a square shape or the like. The modified layers 31a and 31b are obtained by modifying the porous SiOCH films 12a and 12b, respectively. A cap insulating film 11a is formed between the porous SiOCH film 12a and the porous SiOCH film 12b. On the other hand, a cap insulating film 11b is formed on the porous SiOCH film 12b and the Cu film 22b.

本実施の形態の半導体装置においては、多孔質SiOCH膜の改質層において、改質層の低誘電率が低くかつその膜厚が薄い。このため、多孔質SiOCH膜全体の実効的な誘電率を低く抑えることができる。多孔質SiOCH膜の表層において、改質層の炭素濃度が低いため、疎水性が低下する。このため、改質と金属膜との密着性が向上する。これにより、銅配線と多孔質SiOCH膜との密着強度を改善し、半導体チップをパッケージに実装する際の配線層の剥離や破壊を抑制することができる。   In the semiconductor device of the present embodiment, in the modified layer of the porous SiOCH film, the low dielectric constant of the modified layer is low and the film thickness is thin. For this reason, the effective dielectric constant of the entire porous SiOCH film can be kept low. In the surface layer of the porous SiOCH film, the hydrophobicity is lowered because the carbon concentration of the modified layer is low. For this reason, the adhesion between the reforming and the metal film is improved. As a result, the adhesion strength between the copper wiring and the porous SiOCH film can be improved, and peeling and destruction of the wiring layer when the semiconductor chip is mounted on the package can be suppressed.

(多孔質SiOCH膜)
本実施の形態に係る多孔質SiOCH膜は、半導体素子を接続する多層配線間を絶縁しながら寄生容量を低減する膜として機能する。この多孔質SiOCH膜は、環状有機シロキサンを含む。
環状有機シロキサンは、環状シロキサン構造と、その側鎖に炭化水素基を有する。
環状シロキサンは、Si−O(シロキサン結合)を1ユニットとして数えた場合に、複数のユニットからなる環状構造を有する。この環状シロキサンは、同数のシリコン(Si)原子と酸素(O)原子が交互に連なった環状構造を有する。環状構造としては、例えば、3員環、4員環、5員環が挙げられる。環状構造としては、密着性の観点から、空孔径が小さい3員環が好ましい。
一方、炭化水素基としては、炭素−炭素結合を有する限り、特に限定されない。すなわち、炭化水素基としては、環状シロキサンは、シリコン原子に対して、炭素(C)原子を2個以上含む側鎖(炭化水素基)を、少なくとも1個以上有する。
このように本実施の形態に係る多孔質SiOCH膜においては、C/Si組成比は2以上である。
(Porous SiOCH film)
The porous SiOCH film according to the present embodiment functions as a film that reduces parasitic capacitance while insulating between multilayer wirings connecting semiconductor elements. This porous SiOCH film contains cyclic organosiloxane.
Cyclic organosiloxane has a cyclic siloxane structure and a hydrocarbon group in its side chain.
The cyclic siloxane has a cyclic structure composed of a plurality of units when Si—O (siloxane bond) is counted as one unit. This cyclic siloxane has a cyclic structure in which the same number of silicon (Si) atoms and oxygen (O) atoms are alternately linked. Examples of the cyclic structure include a 3-membered ring, a 4-membered ring, and a 5-membered ring. As the cyclic structure, a three-membered ring having a small pore diameter is preferable from the viewpoint of adhesion.
On the other hand, the hydrocarbon group is not particularly limited as long as it has a carbon-carbon bond. That is, as the hydrocarbon group, the cyclic siloxane has at least one side chain (hydrocarbon group) containing two or more carbon (C) atoms with respect to the silicon atom.
Thus, in the porous SiOCH film according to the present embodiment, the C / Si composition ratio is 2 or more.

一方、本実施の形態に係る多孔質SiOCH膜は、個々の空孔が接続されていない独立空孔で構成されている。ここで、多孔質SiOCH膜の空孔の形成メカニズムを説明する。多孔質SiOCH膜の膜中の空孔の起源は、環状シロキサン骨格にある。このため、多孔質SiOCH膜は、個々の空孔が接続されていない独立空孔によって多孔質化されている。言い換えると、本実施の形態では、ポロジェンを脱離させて多孔質化するプロセスが不要となる。したがって、本実施の形態に係る多孔質SiOCH膜には、脱離に起因する連続空孔が形成されない。   On the other hand, the porous SiOCH film according to the present embodiment is composed of independent vacancies in which individual vacancies are not connected. Here, the formation mechanism of the pores of the porous SiOCH film will be described. The origin of the vacancies in the porous SiOCH film is the cyclic siloxane skeleton. For this reason, the porous SiOCH film is made porous by independent vacancies in which individual vacancies are not connected. In other words, in the present embodiment, the process of desorbing porogen to make it porous is unnecessary. Therefore, continuous pores resulting from desorption are not formed in the porous SiOCH film according to the present embodiment.

多孔質SiOCH膜は、下記式(1)で表される構造を有する環状有機シロキサン化合物を用いて得られる。例えば、多孔質SiOCH膜は、プラズマ重合法により得られる。これにより、空孔径が小さい多孔質SiOCH膜が形成される。   The porous SiOCH film is obtained using a cyclic organosiloxane compound having a structure represented by the following formula (1). For example, the porous SiOCH film can be obtained by a plasma polymerization method. Thereby, a porous SiOCH film having a small pore diameter is formed.

式(1)中、R1およびR2は、それぞれ同一または異なってよく、少なくとも一方が、置換又は無置換の炭素−炭素結合を有する炭化水素基(メチル基以外であり、炭素原子を少なくとも2個以上含有する)を示す。炭化水素基としては、直鎖もしくは分枝のアルキル基、環状のアルキル基、アルケニル基等が挙げられる。
アルキル基としては、例えば、1〜8の炭素数を有するアルキル基である。アルキル基の一例としては、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基等が挙げられる。
アルケニル基としては、例えば、1〜8の炭素数を有するアルケニル基である。アルケニル基の一例としては、ビニル基、アリル基等である。
In formula (1), R 1 and R 2 may be the same or different, and at least one of them is a hydrocarbon group having a substituted or unsubstituted carbon-carbon bond (other than a methyl group, and having at least two carbon atoms Contain). Examples of the hydrocarbon group include a linear or branched alkyl group, a cyclic alkyl group, and an alkenyl group.
The alkyl group is, for example, an alkyl group having 1 to 8 carbon atoms. Examples of the alkyl group include a methyl group, an ethyl group, a propyl group, an isopropyl group, and a butyl group.
The alkenyl group is, for example, an alkenyl group having 1 to 8 carbon atoms. Examples of the alkenyl group include a vinyl group and an allyl group.

前記環状有機シロキサン構造を有する化合物が、R1が不飽和炭化水素基であり、R2が飽和炭化水素基であってもよい。この場合、R1の不飽和炭化水素基のプラズマ重合反応により環状シロキサンを結合させた低誘電率絶縁膜を成長できる。具体的には、R1がビニル基であり、R2が立体障害の大きな分岐構造を有する飽和炭化水素基であり、例えばイソプロピル基(下記式(2)で表される環状有機シロキサン構造)を有する化合物であってもよい。側鎖R2の立体障害が大きいことにより膜密度を減らし、比誘電率を低減させることができるので望ましい。なお、立体障害の大きな分岐構造を有する飽和炭化水素(R2)としては、イソブチル、ターシャリーブチル等でもよいことは自明である。   In the compound having a cyclic organosiloxane structure, R1 may be an unsaturated hydrocarbon group and R2 may be a saturated hydrocarbon group. In this case, a low dielectric constant insulating film in which cyclic siloxane is bonded can be grown by the plasma polymerization reaction of the unsaturated hydrocarbon group of R1. Specifically, R1 is a vinyl group, R2 is a saturated hydrocarbon group having a branched structure having a large steric hindrance, and has, for example, an isopropyl group (a cyclic organic siloxane structure represented by the following formula (2)). It may be. Since the steric hindrance of the side chain R2 is large, the film density can be reduced and the relative dielectric constant can be reduced. Obviously, the saturated hydrocarbon (R2) having a branched structure having a large steric hindrance may be isobutyl, tertiary butyl, or the like.

また、多孔質SiOCH膜は、上記式(2)に示す環状有機シロキサン構造を有する化合物を材料に用いて、プラズマCVD法により形成することができる。このとき、多孔質SiOCH膜は、平均空孔径が1nm以下、例えば、0.3〜0.7nmである小さい空孔構造を有することができる。
平均空孔径は、小角エックス線散乱法や陽電子消滅法、高分解能の電子顕微鏡観察等により測定することができる。
The porous SiOCH film can be formed by a plasma CVD method using a compound having a cyclic organosiloxane structure represented by the above formula (2) as a material. At this time, the porous SiOCH film may have a small pore structure having an average pore diameter of 1 nm or less, for example, 0.3 to 0.7 nm.
The average pore diameter can be measured by a small-angle X-ray scattering method, a positron annihilation method, high-resolution electron microscope observation, or the like.

多孔質SiOCH膜の誘電率は、特に限定されないが、例えば2.7以下であり、より好ましくは2.6以下とすることができ、一方、2.0以上であり、2.2以上とすることができる。
また、多孔質SiOCH膜におけるC/Si比率は、例えば、2以上であり、よりこのましくは3以上とすることができ、一方、20以下、5以下とすることができる。このC/Si比率を上記範囲内とすることにより、改質層の膜厚を薄くできる、また改質層の誘電率を低減できる。
The dielectric constant of the porous SiOCH film is not particularly limited, but is, for example, 2.7 or less, more preferably 2.6 or less, while 2.0 or more and 2.2 or more. be able to.
Further, the C / Si ratio in the porous SiOCH film is, for example, 2 or more, more preferably 3 or more, while it can be 20 or less and 5 or less. By setting the C / Si ratio within the above range, the film thickness of the modified layer can be reduced, and the dielectric constant of the modified layer can be reduced.

(改質層)
本実施の形態に係る改質層は、多孔質SiOCH膜の表層を改質処理することにより形成される。改質処理には、例えば、プラズマ処理を用いることができる。これにより、多孔質SiOCH膜の表層の炭素を引き抜くことができる。そして、炭素濃度が低い改質層が形成される。
本実施の形態に係るプラズマ処理では、Cを脱離させることが目的であり、OやSiの含有量の変動を抑制するものである。このプラズマ処理においては、He、Ar、Ne、Xe等の希ガス、あるいはN等の不活性ガスを用いたプラズマを用いることが望ましい。そして、プラズマ処理においては、OやSiを含むプラズマガスを用いない。このようにして得られた改質層は、多孔質SiOCH膜よりもC/Si比が低く、かつO/Si比が同等となる。
(Modified layer)
The modified layer according to the present embodiment is formed by modifying the surface layer of the porous SiOCH film. For example, plasma treatment can be used for the modification treatment. Thereby, carbon in the surface layer of the porous SiOCH film can be extracted. Then, a modified layer having a low carbon concentration is formed.
In the plasma treatment according to the present embodiment, the purpose is to desorb C, and the fluctuation of the content of O or Si is suppressed. In this plasma treatment, it is desirable to use plasma using a rare gas such as He, Ar, Ne, or Xe, or an inert gas such as N 2 . In the plasma treatment, a plasma gas containing O or Si is not used. The modified layer thus obtained has a C / Si ratio lower than that of the porous SiOCH film and the O / Si ratio is equal.

ここで、本実施の形態に係る改質層においては、(i)改質層の誘電率が低いこと、かつ(ii)改質層の膜厚を薄くすることの両方を満たすとともに、金属膜との密着性が向上することを説明する。   Here, in the modified layer according to the present embodiment, both the (i) the dielectric constant of the modified layer is low and (ii) the film thickness of the modified layer is reduced, and the metal film It will be explained that the adhesion to the surface is improved.

まず、本発明者の知見によれば、プラズマ処理において、C―C結合が、C―Si結合に対して優先して切断されることが見出された。すなわち、プラズマのエネルギーが、主にC−C結合の切断に使用され、Si−CH結合は切断されずに維持される。このため、深さ方向(膜厚方向)に、切断対象としてC―C結合の分だけ増加する(Siに複数の炭素を含有する高次のハイドロカーボン(CHx)が存在する)。これにより、炭素を引き抜く際、C―Si結合を残しつつも、改質層の膜厚を薄くすることができる。また、C―Si結合を残すことにより、分極率の高いSi−OH結合への変化を抑制できるので、誘電率を低く抑えることができる。このように、改質層の誘電率を低く保ったまま、その膜厚を薄くできる。 First, according to the knowledge of the present inventor, it has been found that the C—C bond is preferentially broken over the C—Si bond in the plasma treatment. That is, the plasma energy is mainly used for breaking the C—C bond, and the Si—CH 3 bond is maintained without being broken. For this reason, in the depth direction (film thickness direction), it is increased by the amount of the C—C bond as a cutting target (high-order hydrocarbon (CHx) containing a plurality of carbons in Si exists). Thereby, when carbon is extracted, the film thickness of the modified layer can be reduced while leaving a C—Si bond. Further, by leaving the C—Si bond, the change to the Si—OH bond having a high polarizability can be suppressed, so that the dielectric constant can be suppressed low. Thus, the film thickness can be reduced while keeping the dielectric constant of the modified layer low.

さらに、本実施の形態に係る多孔質SiOCH膜は、独立空孔を有する。これにより、空孔を介したプラズマ粒子の打ち込みが発生しにくい。言い換えると、本実施の形態では、連続空孔の場合のように、プラズマ中の高エネルギー粒子が、空孔を介して膜の奥深くまで打ち込まれてしまうという現象を抑制できる。このため、改質層の膜厚を薄くすることができる。そのため、実効誘電率の上昇を抑制できる。   Furthermore, the porous SiOCH film according to the present embodiment has independent vacancies. This makes it difficult for plasma particles to be implanted through the holes. In other words, in this embodiment, the phenomenon that high energy particles in the plasma are driven deep into the film through the vacancies as in the case of continuous vacancies can be suppressed. For this reason, the film thickness of the modified layer can be reduced. Therefore, an increase in effective dielectric constant can be suppressed.

一方、改質層においては、炭素濃度が低くなり、疎水性が低下するので、金属膜との密着性が向上する。また、改質層は、多孔質SiOCH膜由来の微細な独立空孔を有する。多孔質SiOCH膜に配線溝を形成する際、配線溝の底部または側壁部には独立空孔が現れる。この独立空孔は、ポロジェンで形成された空孔と比較して、非常に微細である。このため、配線溝の底部または側壁部は、ポロジェンによる連結空孔に起因した凹凸面と比較して、平坦になる。このため、改質層においては、その表面が平坦になるので、金属膜との密着性が向上する。   On the other hand, in the modified layer, the carbon concentration is lowered and the hydrophobicity is lowered, so that the adhesion with the metal film is improved. Further, the modified layer has fine independent vacancies derived from the porous SiOCH film. When forming a wiring groove in the porous SiOCH film, independent vacancies appear at the bottom or side wall of the wiring groove. These independent vacancies are very fine as compared with vacancies formed by porogen. For this reason, the bottom part or the side wall part of the wiring groove becomes flat as compared with the concavo-convex surface caused by the connecting hole by the porogen. For this reason, in the modified layer, since the surface becomes flat, adhesiveness with a metal film improves.

改質層の膜厚は、誘電率の値が問題にならない限りにおいては限定されないが、例えば、10nm未満、より好ましくは5nm未満である。とくに、バリアメタル膜と多孔質SiOCH膜の密着強度を高める改質層を5nm未満に抑えることができる。   The thickness of the modified layer is not limited as long as the value of the dielectric constant is not a problem, but it is, for example, less than 10 nm, more preferably less than 5 nm. In particular, the modified layer that increases the adhesion strength between the barrier metal film and the porous SiOCH film can be suppressed to less than 5 nm.

以上の働きにより、膜の低誘電率を維持しながら、配線溝底での金属材料と低誘電率膜の密着強度を高め、チップを実装する際の応力による配線層の剥離や破壊を抑制することができる。   Through the above functions, while maintaining the low dielectric constant of the film, the adhesion strength between the metal material and the low dielectric constant film at the bottom of the wiring trench is increased, and the peeling and destruction of the wiring layer due to stress when mounting the chip are suppressed. be able to.

ここで、多孔質SiOCH膜にプラズマ処理を施した際の影響について説明する。   Here, the influence when the plasma treatment is performed on the porous SiOCH film will be described.

図2(a)は、ポロジェンを用いた多孔質SiOCH膜の配線構造の断面電子顕微鏡写真を示す。図2(b)は、本実施の形態に係る独立空孔型多孔質SiOCH膜の配線構造の断面電子顕微鏡写真を示す。
図2(a)に示すように、配線溝の底部に、ポロジェンの脱離により形成された連続空孔に由来する底荒れが観察される。このような配線溝底の荒れは、信頼性を大幅に劣化させることが知られている。また、プラズマ中の高エネルギー粒子が、連続空孔を介して膜の奥深くまで打ち込まれてしまうことにより、奥深くまでダメージが導入されていることも示唆されている。このため、ポロジェンを用いた場合では、実効的な誘電率の著しい上昇を招くことが分かった。
これに対して、本実施の形態に係る独立空孔型多孔質SiOCH膜では、図2(b)に示すように、配線溝底が極めて平坦であることが分かった。これにより、信頼性の劣化や誘電率の上昇を抑制することができる。
FIG. 2A shows a cross-sectional electron micrograph of the wiring structure of a porous SiOCH film using porogen. FIG. 2B shows a cross-sectional electron micrograph of the wiring structure of the independent pore type porous SiOCH film according to the present embodiment.
As shown in FIG. 2A, the bottom roughness derived from the continuous holes formed by the detachment of the porogen is observed at the bottom of the wiring groove. It is known that such roughness of the wiring trench bottom significantly deteriorates reliability. It has also been suggested that high energy particles in the plasma are driven deep into the film through continuous vacancies, so that damage is introduced deeply. For this reason, when porogen was used, it turned out that the remarkable raise of an effective dielectric constant is caused.
On the other hand, in the independent porous porous SiOCH film according to the present embodiment, it was found that the wiring groove bottom was extremely flat as shown in FIG. Thereby, deterioration of reliability and increase in dielectric constant can be suppressed.

図3は、本実施の形態に係る独立空孔型多孔質SiOCH膜(C/Si=2.5、比誘電率2.5)に対して、意図的にC/Si比を変化させた場合の比誘電率の上昇率を示す。
C/Si比が1.0から2.5の範囲で、比誘電率上昇率はC/Si比に対して線形に変化することがわかる。C/Si比が2.0以上であれば、C/Si=2.5の膜に対して5%未満の比誘電率上昇に抑えられる。このときの膜の誘電率は2.6未満である。
本実施の形態において、誘電率は、水銀プローブを用いた容量測定結果と、光学測定に基づくSiOCH膜厚から算出した。容量値およびSiOCH膜厚の測定には、ともにシリコン基板上にSiOCH膜を成膜したサンプルを用いた。容量測定は、絶縁膜に印加される電界が0.1乃至10MV/cm、好ましくは1MV/cmとなるようにバイアスを印加し、周波数を1kHz乃至10MHz、好ましくは10kHz乃至1MHzの条件下で実施した。
FIG. 3 shows a case where the C / Si ratio is intentionally changed with respect to the independent pore type porous SiOCH film (C / Si = 2.5, relative dielectric constant 2.5) according to the present embodiment. The rate of increase in the relative dielectric constant is shown.
It can be seen that when the C / Si ratio is in the range of 1.0 to 2.5, the relative dielectric constant increase rate varies linearly with respect to the C / Si ratio. If the C / Si ratio is 2.0 or more, the relative dielectric constant increase can be suppressed to less than 5% with respect to the film having C / Si = 2.5. At this time, the dielectric constant of the film is less than 2.6.
In the present embodiment, the dielectric constant was calculated from the capacitance measurement result using a mercury probe and the SiOCH film thickness based on the optical measurement. For the measurement of the capacitance value and the SiOCH film thickness, a sample in which a SiOCH film was formed on a silicon substrate was used. Capacitance measurement is performed under the condition that a bias is applied so that the electric field applied to the insulating film is 0.1 to 10 MV / cm, preferably 1 MV / cm, and the frequency is 1 kHz to 10 MHz, preferably 10 kHz to 1 MHz. did.

図4は、Heプラズマ処理の有無による、独立空孔型多孔質SiOCH膜表面付近のC/Si比分布を測定した結果を示す。ここで、He処理条件としては、室温、Heガス流量を200sccm、圧力を0.1Torr、プラズマ生成用高周波電源(2MHz)の電力を800W、30秒間という条件を用いた。また、炭素原子やシリコン原子等の組成分析については、光電子分光法(XPS)もしくはラザフォード後方散乱法(RBS)等を用いた。He処理の有無によらず、TaN/Ta積層膜に続いて、Cuを成膜して界面部分の組成を分析している。
Heプラズマ処理を行う場合について説明する。界面付近の25nm程度までの間で、C組成のプロファイルが減少していることが分かる(炭素が抜けている)。すなわち、金属膜と改質層との界面から、5nm以上離れた領域では、例えば、界面から5nm以上25nm以下の範囲では、C/Si組成比は2.0以上である。このため、前述のように、比誘電率の上昇は抑制できることがわかる。また、界面付近5nm未満の組成は、界面の揺らぎにより正確に測定できていない。しかしながら、C/Si比が2未満となるまでCが減少している領域があるとしても、その厚さは5nm未満であることがわかる。すなわち、多孔質SiOCH膜の内部の誘電率と比較して、高い誘電率を有する改質層の膜厚を非常に薄くすることができる。例えば、改質層の膜厚を5nm未満に抑えることができる。
FIG. 4 shows the result of measuring the C / Si ratio distribution in the vicinity of the surface of the independent porous porous SiOCH film with and without the He plasma treatment. Here, as the He treatment conditions, room temperature, a He gas flow rate of 200 sccm, a pressure of 0.1 Torr, a plasma generating high frequency power source (2 MHz) power of 800 W, and 30 seconds were used. For composition analysis of carbon atoms, silicon atoms, etc., photoelectron spectroscopy (XPS) or Rutherford backscattering method (RBS) was used. Regardless of the presence or absence of the He treatment, Cu is deposited after the TaN / Ta laminated film to analyze the composition of the interface portion.
A case where He plasma treatment is performed will be described. It can be seen that the profile of the C composition decreases in the vicinity of the interface up to about 25 nm (carbon is missing). That is, in the region 5 nm or more away from the interface between the metal film and the modified layer, for example, in the range of 5 nm to 25 nm from the interface, the C / Si composition ratio is 2.0 or more. For this reason, as described above, it can be seen that an increase in the dielectric constant can be suppressed. Further, the composition of less than 5 nm near the interface cannot be measured accurately due to the fluctuation of the interface. However, even if there is a region where C decreases until the C / Si ratio is less than 2, it can be seen that the thickness is less than 5 nm. That is, the film thickness of the modified layer having a high dielectric constant can be made very thin as compared with the dielectric constant inside the porous SiOCH film. For example, the film thickness of the modified layer can be suppressed to less than 5 nm.

ここで、前述のように、膜の比誘電率は、Si−C結合が切断される量と、その深さに関係して上昇する。本実施の形態に係る独立空孔型多孔質SiOCH膜では、側鎖に複数の炭素原子を有する環状シロキサン構造を有している。このため、側鎖の複数のC原子間の結合が優先的に切断される。これにより、ハイドロカーボンが減少するが、側鎖の炭素原子が減少した結果、炭素原子1個の側鎖であるSi−C結合は保存される。そのため、比誘電率上昇が抑えられる。かつ、独立空孔の効果も合わせて、C/Si比が2未満になるまでCが減少する領域は、少なくとも5nm未満に抑えられる。   Here, as described above, the relative dielectric constant of the film increases in relation to the amount by which the Si—C bond is broken and its depth. The independent porous porous SiOCH film according to the present embodiment has a cyclic siloxane structure having a plurality of carbon atoms in the side chain. For this reason, the bond between the plurality of C atoms in the side chain is preferentially broken. As a result, the hydrocarbon is reduced, but as a result of the reduction of the carbon atoms in the side chain, the Si—C bond that is the side chain of one carbon atom is preserved. Therefore, an increase in relative dielectric constant can be suppressed. In addition, in combination with the effect of independent vacancies, the region where C decreases until the C / Si ratio is less than 2 is suppressed to at least less than 5 nm.

このように、本実施の形態では、C/Si比が2.0未満となる改質層の厚さは5nm未満に抑えることができる。現在、検討されているCu配線の配線間スペースは50nmを下回るようになっている。このような微細化において、C/Si比が2.0未満となる改質層をその10%未満(膜厚が5nm未満)に抑えることは、寄生容量の上昇を抑制する上できわめて重要である。   Thus, in this Embodiment, the thickness of the modified layer from which C / Si ratio will be less than 2.0 can be suppressed to less than 5 nm. Currently, the inter-wiring space of the Cu wiring being studied is less than 50 nm. In such miniaturization, it is extremely important to suppress the improvement of the parasitic capacitance to suppress the modified layer having a C / Si ratio of less than 2.0 to less than 10% (the film thickness is less than 5 nm). is there.

図5に、改質処理を行わない独立空孔型多孔質SiOCH膜を用いた多層配線を有する半導体チップを、フリップチップパッケージに封入した後の超音波顕微鏡写真を示す。図中の黒丸は、はんだバンプ部を示している。図には、一部を拡大した写真も示している。この拡大写真中において、バンプ部中央に白点が見られる異常なバンプ40が見られる。この部分のチップ側の断面を、電子顕微鏡で観察した結果が図6である。この図から、異常バンプの直下では、Cu配線(Cu膜22c)と独立空孔型多孔質SiOCH膜12cの間で剥離が生じていることがわかる。これにより、改質処理を行わない独立空孔型多孔質SiOCH膜においては、金属配線との密着強度が不十分であることが示唆された。   FIG. 5 shows an ultrasonic micrograph after a semiconductor chip having a multilayer wiring using an independent pore-type porous SiOCH film that is not subjected to modification treatment is encapsulated in a flip chip package. Black circles in the figure indicate solder bump portions. The figure also shows a partially enlarged photograph. In this enlarged photograph, an abnormal bump 40 in which a white spot is seen at the center of the bump portion is seen. FIG. 6 shows the result of observing the cross section of this portion on the chip side with an electron microscope. From this figure, it can be seen that delamination occurs between the Cu wiring (Cu film 22c) and the independent pore-type porous SiOCH film 12c immediately below the abnormal bump. This suggests that the adhesion strength with the metal wiring is insufficient in the independent porous porous SiOCH film that is not subjected to the modification treatment.

図7に、バリア金属膜と独立空孔型SiOCH膜の間の密着強度について、バリア金属膜を形成する前に実施するHeプラズマ処理の有無で比較した結果を示す。ここで、バリア金属は、TaとTaNの積層膜としており、PVD法にて形成している。また、Heプラズマ処理は、PVD成膜チャンバと真空搬送室を介したチャンバを用いる。
図7に示すように、He処理を行うことによって、大幅に密着強度が改善することがわかる。このことは、独立空孔型SiOCH膜の表面の炭素が脱離したことによって、無機膜との密着強度が改善したことを示している。
本実施の形態においては、密着強度は、特に限定されないが、例えば0.13MPa/m以上0.3MPa/m以下とすることができる。
ここでは、密着強度の測定を、mELT(Modified Edge−Lift Test)法により行うが、この他にも、4−Point Bebding法などの手法を用いることも可能である。ただし、測定方法によって、得られる物理量が異なる。
このような、改質層を設けることにより、フリップチップ実装時に見られた、バリア金属と多孔質環状シロキサン界面での剥離によるバンプ部の異常を抑制することができる。
FIG. 7 shows the result of comparison of the adhesion strength between the barrier metal film and the independent pore-type SiOCH film with and without He plasma treatment performed before forming the barrier metal film. Here, the barrier metal is a laminated film of Ta and TaN, and is formed by the PVD method. The He plasma treatment uses a chamber through a PVD film formation chamber and a vacuum transfer chamber.
As shown in FIG. 7, it can be seen that the adhesion strength is significantly improved by performing the He treatment. This indicates that the adhesion strength with the inorganic film has been improved by the desorption of carbon on the surface of the independent pore type SiOCH film.
In the present embodiment, the adhesion strength is not particularly limited, but may be, for example, 0.13 MPa / m 2 or more and 0.3 MPa / m 2 or less.
Here, the measurement of the adhesion strength is performed by the mELT (Modified Edge-Lift Test) method, but other methods such as a 4-Point Bedding method can also be used. However, the physical quantity obtained differs depending on the measurement method.
By providing such a modified layer, it is possible to suppress the abnormality of the bump portion due to the peeling at the interface between the barrier metal and the porous cyclic siloxane, which is observed at the time of flip chip mounting.

(半導体装置の製造方法)
次に、本実施の形態の半導体装置の製造方法として、第1例について説明する。
図8および図9は、第1例の半導体装置の製造方法の工程断面図を示す。
本実施の形態の半導体装置の製造方法は、基板(シリコン基板)上に、炭素−炭素結合を有し、かつ炭素原子数とシリコン原子数との比(C/Si)が2以上である、多孔質SiOCH膜12bを形成する工程と、多孔質SiOCH膜12bに凹部(配線溝15や配線孔16)を形成する工程と、凹部内の多孔質SiOCH膜12bの表面に、多孔質SiOCH膜12bの内部と比較して、C/Si比が小さく、かつO/Si比が同等な改質層31bを形成する工程と、凹部に金属膜(Cu膜22b)を埋め込む工程と、を含む。
本例では、下部配線層上に上部配線層を形成する工程について説明する。
(Method for manufacturing semiconductor device)
Next, a first example will be described as a method for manufacturing the semiconductor device of the present embodiment.
8 and 9 show process cross-sectional views of the semiconductor device manufacturing method of the first example.
The semiconductor device manufacturing method of the present embodiment has a carbon-carbon bond on a substrate (silicon substrate), and the ratio of carbon atoms to silicon atoms (C / Si) is 2 or more. A step of forming a porous SiOCH film 12b, a step of forming a recess (wiring groove 15 or wiring hole 16) in the porous SiOCH film 12b, and a porous SiOCH film 12b on the surface of the porous SiOCH film 12b in the recess. The method includes a step of forming a modified layer 31b having a small C / Si ratio and an equivalent O / Si ratio as compared with the inside, and a step of embedding a metal film (Cu film 22b) in the recess.
In this example, a process of forming the upper wiring layer on the lower wiring layer will be described.

まず、図8(a)に示すように、不図示の半導体基板(シリコン基板)上に下部配線層を形成する。下層配線層は、第1の層間絶縁膜(多孔質SiOCH膜12a)と、第1の層間絶縁膜中に、埋め込まれた金属配線(Cu膜22a)とから構成される。この多孔質SiOCH膜12aの表面には、改質層31aが形成されている。そして、この改質層31aとCu膜22aとの間に、バリア金属膜21aが形成されている。また、多孔質SiOCH膜12a上およびCu膜22a上には、キャップ絶縁膜11aが形成されている。この下層配線も、後述する上層配線と同様のプロセスおよび材料により形成できる。   First, as shown in FIG. 8A, a lower wiring layer is formed on a semiconductor substrate (silicon substrate) (not shown). The lower wiring layer includes a first interlayer insulating film (porous SiOCH film 12a) and a metal wiring (Cu film 22a) embedded in the first interlayer insulating film. A modified layer 31a is formed on the surface of the porous SiOCH film 12a. A barrier metal film 21a is formed between the modified layer 31a and the Cu film 22a. A cap insulating film 11a is formed on the porous SiOCH film 12a and the Cu film 22a. This lower layer wiring can also be formed by the same process and material as the upper layer wiring described later.

続いて、図8(b)に示すように、下層配線のキャップ絶縁膜11a上に、多孔質SiOCH膜12b、およびハードマスク13bをこの順で形成する。   Subsequently, as shown in FIG. 8B, a porous SiOCH film 12b and a hard mask 13b are formed in this order on the cap insulating film 11a of the lower layer wiring.

ここで、多孔質SiOCH膜12bを成膜する方法について説明する。
本実施の形態では、原料モノマーとして、下記式(2)で示す環状有機シロキサン構造を有する化合物を用いる。まず、原料モノマーを、キャリアガスのHeと混合して、気化器に送り込む。この原料モノマーの流量は、液体マスフローコントローラで制御する。続いて、原料モノマーを、気化器で液体から気体へと気化させる。気化した原料モノマーを含む原料ガスを、反応室内に導入する。そして、反応室内に、13.56MHzの高周波電力を印加する。反応室内で、原料ガスのプラズマが生成する。そして、化学気相成長により環状シロキサン膜(多孔質SiOCH膜12b)を、基板上に成膜する。原料モノマーの供給量は、0.1g/min以上10g/min以下であることが好ましく、さらに好ましくは2g/min以下である。キャリアガスであるHe流量は、50sccm以上5000sccm以下であることが好ましく、さらに好ましくは2000sccm以下である。リアクター(気化器)内の圧力は133〜1333Paであることが好ましい。RF電源の出力は2000W以下であることが好ましく、さらに好ましくは1000W以下である。このとき、キャリアガスとして、酸素を含まないガスを用いることができる。
Here, a method of forming the porous SiOCH film 12b will be described.
In the present embodiment, a compound having a cyclic organosiloxane structure represented by the following formula (2) is used as a raw material monomer. First, the raw material monomer is mixed with the carrier gas He and fed into the vaporizer. The flow rate of the raw material monomer is controlled by a liquid mass flow controller. Subsequently, the raw material monomer is vaporized from a liquid to a gas with a vaporizer. A raw material gas containing the vaporized raw material monomer is introduced into the reaction chamber. Then, high frequency power of 13.56 MHz is applied in the reaction chamber. A source gas plasma is generated in the reaction chamber. Then, a cyclic siloxane film (porous SiOCH film 12b) is formed on the substrate by chemical vapor deposition. The supply amount of the raw material monomer is preferably 0.1 g / min or more and 10 g / min or less, more preferably 2 g / min or less. The flow rate of He as the carrier gas is preferably 50 sccm or more and 5000 sccm or less, and more preferably 2000 sccm or less. The pressure in the reactor (vaporizer) is preferably 133 to 1333 Pa. The output of the RF power source is preferably 2000 W or less, more preferably 1000 W or less. At this time, a gas containing no oxygen can be used as the carrier gas.

ハードマスク13bには、多孔質SiOCH膜よりもC濃度が少なく、酸素濃度が多い膜を用いる。例えば、ハードマスク膜13bとしては、SiO、SiN、SiOCH等を用いることができる。ハードマスク膜13bの形成には、例えば、プラズマCVD法を用いる。 As the hard mask 13b, a film having a lower C concentration and a higher oxygen concentration than the porous SiOCH film is used. For example, the hard mask film 13b, it is possible to use SiO 2, SiN, SiOCH, or the like. For example, a plasma CVD method is used to form the hard mask film 13b.

続いて、図8(c)に示すように、多孔質SiOCH膜12bに、凹部(配線溝15、配線孔16)を形成する。これらの凹部は、ハードマスク13bを貫通する。配線溝15の底部には、キャップ絶縁膜11aが露出している。一方、配線孔16の底部は、多孔質SiOCH膜12bの内部の一部に形成されている。配線溝15と配線孔16との最接近距離(配線間スペース)としては、特に限定されないが、例えば、50nm以下とすることができる。凹部の形成には、例えば、リソグラフィー法と異方性エッチング法を用いる。異方性エッチング法としては、例えば、ウエットエッチングまたはドライエッチングを用いることができる。   Subsequently, as shown in FIG. 8C, recesses (wiring grooves 15 and wiring holes 16) are formed in the porous SiOCH film 12b. These recesses penetrate the hard mask 13b. The cap insulating film 11a is exposed at the bottom of the wiring trench 15. On the other hand, the bottom of the wiring hole 16 is formed in a part of the inside of the porous SiOCH film 12b. The closest distance (inter-wiring space) between the wiring groove 15 and the wiring hole 16 is not particularly limited, but may be, for example, 50 nm or less. For forming the recess, for example, a lithography method and an anisotropic etching method are used. As the anisotropic etching method, for example, wet etching or dry etching can be used.

次いで、図8(d)に示すように、配線溝15の側壁、配線孔16の側壁および底部の多孔質SiOCH膜12bの表面に改質処理を行う。これにより、多孔質SiOCH膜12bの表面に、C濃度が低減した改質層31bを形成する。このとき、配線溝15の底部におけるキャップ絶縁膜11aが除去される。そして、配線溝15の底部に、Cu膜22aの表面が露出する。   Next, as shown in FIG. 8D, a modification process is performed on the sidewalls of the wiring trenches 15, the sidewalls of the wiring holes 16, and the surface of the porous SiOCH film 12b at the bottom. As a result, a modified layer 31b having a reduced C concentration is formed on the surface of the porous SiOCH film 12b. At this time, the cap insulating film 11a at the bottom of the wiring trench 15 is removed. Then, the surface of the Cu film 22 a is exposed at the bottom of the wiring groove 15.

改質処理には、例えば、プラズマ処理を用いる。プラズマ処理条件としては、例えば、室温、Heガス流量を200sccm、圧力を0.1Torr、プラズマ生成用高周波(2MHz)電源の電力を800W、30秒間とすることができる。ここで、ガスは、Heに限定されるものではなく、Ne、Ar、Xe等の希ガスや、希ガスとHを混合したガス、あるいはN等の不活性ガスを用いてもよい。特に、プラズマ中にOを含まないようにすることが重要である。プラズマ処理条件については、ガス流量10〜1000sccm、圧力0.01〜0.5Torr、プラズマ発生用高周波電源パワー100〜2000W、時間は5〜90秒に設定すればよい。また、放電開始時に基板側に13.56MHzの100〜1000Wのバイアスを印加することで放電開始を促進すると効果的である。 For example, plasma treatment is used for the modification treatment. As the plasma processing conditions, for example, the room temperature, the He gas flow rate is 200 sccm, the pressure is 0.1 Torr, and the power of the high frequency (2 MHz) power source for plasma generation is 800 W for 30 seconds. Here, the gas is not limited to He, and a rare gas such as Ne, Ar, or Xe, a gas obtained by mixing a rare gas and H, or an inert gas such as N 2 may be used. In particular, it is important not to include O in the plasma. The plasma treatment conditions may be set to a gas flow rate of 10 to 1000 sccm, a pressure of 0.01 to 0.5 Torr, a plasma generating high frequency power supply power of 100 to 2000 W, and a time of 5 to 90 seconds. Further, it is effective to accelerate the start of discharge by applying a bias of 13.56 MHz of 100 to 1000 W to the substrate side at the start of discharge.

続いて、図9(a)に示すように、配線溝15および配線孔16の内部にバリア金属膜21bを形成する。そして、配線溝15および配線孔16を埋め込むように、金属膜(Cu膜22b)を多孔質SiOCH膜12b上およびバリア金属膜21b上に形成する。   Subsequently, as shown in FIG. 9A, a barrier metal film 21 b is formed inside the wiring groove 15 and the wiring hole 16. Then, a metal film (Cu film 22b) is formed on the porous SiOCH film 12b and the barrier metal film 21b so as to fill the wiring groove 15 and the wiring hole 16.

バリア金属膜21は、配線金属を構成する金属元素が層間絶縁膜や下層へ拡散することを防止する機能を有する。また、バリア金属膜21bは、バリア性を有する導電性膜である。バリア金属膜21bとしては、例えば、配線金属がCuを主成分とする場合には、タンタル(Ta)、ルネニウム(Ru)、窒化タンタル(TaN)、窒化チタン(TiN)、炭窒化タングステン(WCN)のような高融点金属やその窒化物等が用いられる。また、これらの材料を用いた積層の膜であってもよい。本実施の形態では、バリア金属膜21bとしては、TaNとTaの積層膜を用いる。バリア金属膜21bの形成方法としては、例えばPVD法を用いる。なお、バリア金属の形成方法は、PVD法に限定されるものではなく、有機金属材料を用いたCVD法等で形成してもよい。また、前述のプラズマ処理工程とバリア金属膜の成膜工程の間は、真空中で行う必要がある。これにより、Heプラズマ処理後に、一旦大気中に取り出すと、Heプラズマ処理によって活性となった表面に水分等が付着することを防止できる。その後、成膜するバリア金属膜との密着強度が劣化するだけでなく、実効的な誘電率の上昇をもたらすことを抑制できる。   The barrier metal film 21 has a function of preventing a metal element constituting the wiring metal from diffusing into the interlayer insulating film or the lower layer. The barrier metal film 21b is a conductive film having a barrier property. As the barrier metal film 21b, for example, when the wiring metal is mainly composed of Cu, tantalum (Ta), ruthenium (Ru), tantalum nitride (TaN), titanium nitride (TiN), tungsten carbonitride (WCN) Such high melting point metals and nitrides thereof are used. Alternatively, a laminated film using these materials may be used. In this embodiment, a stacked film of TaN and Ta is used as the barrier metal film 21b. As a method for forming the barrier metal film 21b, for example, a PVD method is used. The method for forming the barrier metal is not limited to the PVD method, and may be formed by a CVD method using an organometallic material. Moreover, it is necessary to perform in a vacuum between the above-mentioned plasma treatment process and the barrier metal film forming process. Thereby, after taking out to the air after He plasma treatment, it is possible to prevent moisture and the like from adhering to the surface activated by the He plasma treatment. Thereafter, not only the adhesion strength with the barrier metal film to be formed is deteriorated, but also an increase in effective dielectric constant can be suppressed.

次いで、Cu粒成長のための熱処理を施す。この熱処理の温度は、例えば200〜400℃、時間は30秒〜1時間に設定する。   Next, heat treatment for Cu grain growth is performed. The temperature of this heat treatment is set to 200 to 400 ° C., for example, and the time is set to 30 seconds to 1 hour.

続いて、図9(b)に示すように、凹部(配線溝15および配線孔16)の外部に露出したCu膜22b、バリア膜21b、およびハードマスク13bを除去する。除去には、化学機械研磨(Chemical Mechanical Polishing:CMP)等の研磨技術を用いる。多孔質SiOCH膜12bおよびCu膜22bが露出した状態でCMPを停止する。   Subsequently, as shown in FIG. 9B, the Cu film 22b, the barrier film 21b, and the hard mask 13b exposed to the outside of the recesses (the wiring grooves 15 and the wiring holes 16) are removed. For removal, a polishing technique such as chemical mechanical polishing (CMP) is used. CMP is stopped with the porous SiOCH film 12b and the Cu film 22b exposed.

Cu膜22bは、凹部(配線溝15、配線孔16)の内壁面に形成されたバリア金属膜21bで覆われている。このCu膜22bは、バリア金属膜21bを介して改質層31bと接合している。
Cu膜22bは、Cuを主成分とする銅含有配線である。信頼性を向上させるため、Cu膜22bには、Cu以外の金属元素、例えばAl等が含まれていてもよい。また、Cu以外の金属元素が、Cu膜22bの上面や側面等に形成されていてもよい。
The Cu film 22b is covered with a barrier metal film 21b formed on the inner wall surface of the recess (the wiring groove 15 and the wiring hole 16). The Cu film 22b is bonded to the modified layer 31b through the barrier metal film 21b.
The Cu film 22b is a copper-containing wiring whose main component is Cu. In order to improve reliability, the Cu film 22b may contain a metal element other than Cu, such as Al. Further, a metal element other than Cu may be formed on the upper surface or side surface of the Cu film 22b.

続いて、図9(c)に示すように、露出している多孔質SiOCH膜12bおよびCu膜22bの表面に、キャップ絶縁膜11bを成膜する。これにより、上部配線層の配線工程を終了する。キャップ絶縁膜11bの形成方法としては、例えば、プラズマCVD法を用いることができる。   Subsequently, as shown in FIG. 9C, a cap insulating film 11b is formed on the exposed surfaces of the porous SiOCH film 12b and the Cu film 22b. Thereby, the wiring process of the upper wiring layer is completed. As a method for forming the cap insulating film 11b, for example, a plasma CVD method can be used.

キャップ絶縁膜11bは、Cu膜22bに含まれるCuの酸化や絶縁膜中へのCuの拡散を防ぐ機能、および加工時にエッチングストップ層としての役割を有する。キャップ絶縁膜11bの誘電率を低くすることで、配線信号伝達遅延が改善できる。キャップ絶縁膜11bは、バリア絶縁膜としても機能する。キャップ絶縁膜11bとしては、SiN、SiC、SiCNおよびCu拡散バリア性を有する有機シロキサン膜等を用いることができる。また、キャップ絶縁膜11は、不飽和炭化水素とアモルファスカーボンを有する膜、またはSiN膜、SiCN膜、およびSiC膜のうち少なくとも一つを用いた膜と不飽和炭化水素およびアモルファスカーボンを有する膜との積層膜であってもよい。キャップ絶縁膜11bは、これらの膜を2種類以上積層してもよい。   The cap insulating film 11b has a function of preventing oxidation of Cu contained in the Cu film 22b and diffusion of Cu into the insulating film, and a role as an etching stop layer during processing. By reducing the dielectric constant of the cap insulating film 11b, the wiring signal transmission delay can be improved. The cap insulating film 11b also functions as a barrier insulating film. As the cap insulating film 11b, SiN, SiC, SiCN, an organic siloxane film having a Cu diffusion barrier property, or the like can be used. The cap insulating film 11 includes a film having an unsaturated hydrocarbon and amorphous carbon, or a film using at least one of a SiN film, a SiCN film, and a SiC film, and a film having an unsaturated hydrocarbon and amorphous carbon. The laminated film may be used. The cap insulating film 11b may be a laminate of two or more of these films.

本実施の形態では、図8(b)〜図9(c)で示された工程を繰り返すことで、多層配線構造を形成することができる。また、本実施の形態では、配線溝と配線孔を同時に形成するデュアルダマシン法を用いて説明したが、シングルダマシン法を用いた配線形成であってもよい。
以上により、本実施の形態の半導体チップを得ることができる。
In the present embodiment, a multilayer wiring structure can be formed by repeating the steps shown in FIGS. 8B to 9C. In this embodiment, the dual damascene method in which the wiring trench and the wiring hole are formed at the same time has been described. However, wiring formation using a single damascene method may be used.
As described above, the semiconductor chip of this embodiment can be obtained.

また、多層配線を有する半導体チップを、電子機器に搭載するためには、外部回路との接続を行うための組立工程あるいは実装工程を経て、パッケージ中に封入されることが多い。パッケージへの封入の仕方には、複数の方法がある。ここでは、代表的な二種類のパッケージ手法を説明する。   Further, in order to mount a semiconductor chip having multilayer wiring on an electronic device, the semiconductor chip is often enclosed in a package through an assembly process or a mounting process for connection to an external circuit. There are several ways to enclose the package. Here, two typical packaging methods will be described.

一つは、ワイヤボンディングと呼ばれる手法であり、図13に示すような接続形態をとる。すなわち、半導体チップ51aの最上層の金属層で形成されたボンディングパッド(図示せず)とパッケージ基板52a上のボンディングパッド(図示せず)をボンディングワイヤ55で接続する方法である。半導体チップ51aは、裏面側でパッケージ基板52aに接着され、封入樹脂53a内に封入される。また、パッケージ基板52aには、外部回路と接続するための外部接続端子54aが設けられている。   One is a technique called wire bonding, which takes a connection form as shown in FIG. That is, a bonding pad (not shown) formed of the uppermost metal layer of the semiconductor chip 51a and a bonding pad (not shown) on the package substrate 52a are connected by the bonding wire 55. The semiconductor chip 51a is bonded to the package substrate 52a on the back surface side and encapsulated in an encapsulating resin 53a. The package substrate 52a is provided with an external connection terminal 54a for connecting to an external circuit.

もう一つの手法は、フリップチップ接続と呼ばれる手法であり、図14に示すような接続形態をとる。半導体チップ51bの最上層の金属層で形成されたパッド(図示せず)上にはんだバンプ56を形成し、はんだバンプ56が下側に向くように裏返し、パッケージ基板52b上に形成されたはんだバンプ57と接合させる手法である。はんだバンプ同士を接触させ、加熱してはんだを溶融させて接合する。この際、接合後の冷却過程で半導体チップとパッケージ基板の熱膨張係数の違いによって、接合部に応力が加わることが知られている。なお、半導体チップ51bは封止樹脂53bで封入されることもあり、半導体基板52bの裏面には、外部回路と接続するための外部接続端子54bが設けられている。
以上により、本実施の形態の半導体装置を得ることができる。
Another method is a method called flip-chip connection, which takes a connection form as shown in FIG. Solder bumps 56 are formed on pads (not shown) formed of the uppermost metal layer of the semiconductor chip 51b, turned over so that the solder bumps 56 face downward, and solder bumps formed on the package substrate 52b. This is a technique of bonding to 57. Solder bumps are brought into contact with each other and heated to melt the solder and join. At this time, it is known that stress is applied to the joint due to the difference in thermal expansion coefficient between the semiconductor chip and the package substrate in the cooling process after the joining. The semiconductor chip 51b may be sealed with a sealing resin 53b, and an external connection terminal 54b for connecting to an external circuit is provided on the back surface of the semiconductor substrate 52b.
As described above, the semiconductor device of this embodiment can be obtained.

次に、本実施の形態の作用効果について説明する。   Next, the effect of this Embodiment is demonstrated.

本実施の形態においては、多孔質SiOCH膜は、炭素−炭素結合を有する。改質層形成工程においては、多孔質SiOCH膜においては、炭素原子は、C―C結合から引き抜かれ、C―Si結合が残る。このため、改質層は、多孔質SiOCH膜と比較して炭素濃度が低くなる(C/Si比が小さくなる)ものの、C―Si結合が残るので誘電率を低減できる。また、切断対象が、C―C結合の分だけ増加するので、改質層の膜厚を薄くすることができる。さらに、改質層では、酸素が導入されないので、多孔質SiOCH膜と同程度のO/Si比となっており、誘電率の上昇が抑制されている。
このように、改質層は、改質層の低誘電率が低くかつその膜厚が薄い。このため、C/Siが2以上の多孔質SiOCH膜全体の誘電率を低く抑えることができる。
一方、改質層においては、炭素濃度が低くなり、疎水性が低下するので、金属膜との密着性が向上する。これにより、銅配線と多孔質SiOCH膜との密着強度を改善し、半導体チップをパッケージに実装する際の配線層の剥離や破壊を抑制することができる。
したがって、本実施の形態では、低誘電率化および金属膜との密着性の向上の両立を実現することができる。
In the present embodiment, the porous SiOCH film has a carbon-carbon bond. In the modified layer forming step, in the porous SiOCH film, carbon atoms are extracted from the C—C bond, and the C—Si bond remains. For this reason, the modified layer has a carbon concentration lower than that of the porous SiOCH film (C / Si ratio is reduced), but the C—Si bond remains, so that the dielectric constant can be reduced. In addition, since the number of objects to be cut increases by the C—C bond, the thickness of the modified layer can be reduced. Furthermore, since oxygen is not introduced into the modified layer, the O / Si ratio is about the same as that of the porous SiOCH film, and an increase in dielectric constant is suppressed.
Thus, the modified layer has a low low dielectric constant and a thin film thickness. For this reason, the dielectric constant of the entire porous SiOCH film having C / Si of 2 or more can be kept low.
On the other hand, in the modified layer, the carbon concentration is lowered and the hydrophobicity is lowered, so that the adhesion with the metal film is improved. As a result, the adhesion strength between the copper wiring and the porous SiOCH film can be improved, and peeling and destruction of the wiring layer when the semiconductor chip is mounted on the package can be suppressed.
Therefore, in the present embodiment, it is possible to realize both reduction in dielectric constant and improvement in adhesion with the metal film.

特許文献1では、低誘電率化に貢献するのはSiとCの結合であるが、C/Si比<1の場合に生成される低C濃度領域では、Si−C結合の量が減少して誘電率が上昇する。このとき、残留するSi−Cの量が、プラズマ処理条件によって不安定になり、誘電率の上昇率を制御することが難しくなる。
これに対して、本実施の形態では、SiにC原子を二個以上含む側鎖が形成されている。このため、C−C結合の切断によるC量の減少によって低C濃度層が形成される。したがって、低誘電率化に寄与するSi−C結合は保存されることになる。これにより、誘電率の上昇率を抑制できる。
In Patent Document 1, it is the bond between Si and C that contributes to lowering the dielectric constant. However, in the low C concentration region generated when the C / Si ratio <1, the amount of Si—C bonds decreases. As a result, the dielectric constant increases. At this time, the amount of remaining Si—C becomes unstable depending on the plasma processing conditions, and it becomes difficult to control the rate of increase in dielectric constant.
On the other hand, in this Embodiment, the side chain which contains two or more C atoms in Si is formed. For this reason, a low C concentration layer is formed by the decrease in the amount of C due to the breakage of the C—C bond. Therefore, Si—C bonds that contribute to lowering the dielectric constant are preserved. Thereby, the increase rate of a dielectric constant can be suppressed.

次に、本実施の形態の半導体装置の製造方法として、第2例について説明する。
図10は、第2例の半導体装置の製造方法の工程断面図を示す。
第2例の半導体装置の製造方法においては、多孔質SiOCH膜12a、12bの上面にも、改質層32a、32bを形成する以外は、第1例と同様にする。
Next, a second example will be described as a method for manufacturing the semiconductor device of the present embodiment.
FIG. 10 is a process cross-sectional view of the semiconductor device manufacturing method of the second example.
The semiconductor device manufacturing method of the second example is the same as that of the first example except that the modified layers 32a and 32b are also formed on the upper surfaces of the porous SiOCH films 12a and 12b.

第2例では、キャップ絶縁膜11b成膜前に、同一チャンバ内でプラズマ処理を施す。これにより、露出している多孔質SiOCH膜12bの表面に、低炭層濃度の改質層32bを形成しつつ、露出しているCu膜22bの表面の清浄化処理を行うことができる。このため、キャップ絶縁膜11bとの密着強度を強化させつつ、信頼性の向上を図ることができる。また、改質層32bは、配線溝形成や、CMPにより配線材の研磨時等に、多孔質SiOCH膜12bの表面を保護する役割を果たす。このときの、プラズマ処理においては、Cu表面の還元性を高めるため、水素を含有するプラズマで行うことが好ましい。例えば、NH、Hプラズマを用いることが効果的である。また、第2例においては、第1例と同様の効果が得られる。 In the second example, plasma processing is performed in the same chamber before the cap insulating film 11b is formed. Thereby, the surface of the exposed Cu film 22b can be cleaned while the modified layer 32b having a low coal layer concentration is formed on the surface of the exposed porous SiOCH film 12b. For this reason, it is possible to improve the reliability while enhancing the adhesion strength with the cap insulating film 11b. The modified layer 32b serves to protect the surface of the porous SiOCH film 12b when forming a wiring groove or polishing a wiring material by CMP. In this case, the plasma treatment is preferably performed with a plasma containing hydrogen in order to improve the reducibility of the Cu surface. For example, it is effective to use NH 3 or H 2 plasma. In the second example, the same effect as in the first example can be obtained.

次に、本実施の形態の半導体装置の製造方法として、第3例について説明する。
図11および図12は、第3例の半導体装置の製造方法の工程断面図を示す。
第3例の半導体装置の製造方法においては、ハードマスク膜13a、13bを、多孔質SiOCH膜12a、12bの上面の一部に残す以外は、第1例と同様にする。
Next, a third example will be described as a method for manufacturing the semiconductor device of the present embodiment.
11 and 12 are process cross-sectional views of the semiconductor device manufacturing method of the third example.
The semiconductor device manufacturing method of the third example is the same as that of the first example except that the hard mask films 13a and 13b are left on part of the upper surfaces of the porous SiOCH films 12a and 12b.

図11(a)は、本実施の形態の半導体装置における下層配線層の断面を示している。この下層配層線も、後述する上層配線層と同様のプロセスおよび材料により形成できる。   FIG. 11A shows a cross section of the lower wiring layer in the semiconductor device of the present embodiment. This lower layer line can also be formed by the same process and material as the upper wiring layer described later.

図11(b)に示すように、下層配線のキャップ絶縁膜11a上に多孔質SiOCH膜12b、およびハードマスク13bを形成する。   As shown in FIG. 11B, a porous SiOCH film 12b and a hard mask 13b are formed on the cap insulating film 11a of the lower layer wiring.

多孔質SiOCH膜は、同数のシリコン(Si)原子と酸素(O)原子が交互に連なった環状構造(環状シロキサン構造)を含み、それぞれのシリコン原子に属する側鎖として、炭素(C)原子を2個以上含む側鎖が少なくとも1個存在しているモノマーを原料として用いる。まず、原料モノマーを、キャリアガスのHeと混合して、気化器に送り込む。この原料モノマーの流量は、液体マスフローコントローラで制御する。続いて、原料モノマーを、気化器で液体から気体へと気化させる。気化した原料モノマーを含む原料ガスを、反応室内に導入する。そして、反応室内に、13.56MHzの高周波電力を印加する。反応室内で、原料ガスのプラズマが生成する。そして、化学気相成長により環状シロキサン膜(多孔質SiOCH膜12b)を、基板上に成膜する。原料モノマーの供給量は、0.1g/min以上10g/min以下であることが好ましく、さらに好ましくは2g/min以下である。キャリアガスであるHe流量は、50sccm以上5000sccm以下であることが好ましく、さらに好ましくは2000sccm以下である。リアクター(気化器)内の圧力は133〜1333Paであることが好ましい。RF電源の出力は2000W以下であることが好ましく、さらに好ましくは1000W以下である。このとき、キャリアガスとして、酸素を含まないガスを用いることができる。   The porous SiOCH film includes a cyclic structure (cyclic siloxane structure) in which the same number of silicon (Si) atoms and oxygen (O) atoms are alternately connected, and carbon (C) atoms are included as side chains belonging to the respective silicon atoms. A monomer having at least one side chain containing two or more is used as a raw material. First, the raw material monomer is mixed with the carrier gas He and fed into the vaporizer. The flow rate of the raw material monomer is controlled by a liquid mass flow controller. Subsequently, the raw material monomer is vaporized from a liquid to a gas with a vaporizer. A raw material gas containing the vaporized raw material monomer is introduced into the reaction chamber. Then, high frequency power of 13.56 MHz is applied in the reaction chamber. A source gas plasma is generated in the reaction chamber. Then, a cyclic siloxane film (porous SiOCH film 12b) is formed on the substrate by chemical vapor deposition. The supply amount of the raw material monomer is preferably 0.1 g / min or more and 10 g / min or less, more preferably 2 g / min or less. The flow rate of He as the carrier gas is preferably 50 sccm or more and 5000 sccm or less, and more preferably 2000 sccm or less. The pressure in the reactor (vaporizer) is preferably 133 to 1333 Pa. The output of the RF power source is preferably 2000 W or less, more preferably 1000 W or less. At this time, a gas containing no oxygen can be used as the carrier gas.

このようにして形成された独立空孔型多孔質SiOCH膜12b中に存在するC/Si組成比は2以上である。   The C / Si composition ratio present in the independent pore type porous SiOCH film 12b formed in this way is 2 or more.

多孔質SiOCH膜12b上に形成するハードマスク13bには、多孔質SiOCH膜よりもC濃度が少なく、酸素濃度が多い膜を用いる。例えば、SiO、SiN、SiOCH等が用いられる。また、ハードマスク膜13bは、下層をSiOCH、上層をSiOといった多層構造を用いてもよい。ハードマスク膜13bは、例えば、プラズマCVD法で形成する。 As the hard mask 13b formed on the porous SiOCH film 12b, a film having a lower C concentration and a higher oxygen concentration than the porous SiOCH film is used. For example, SiO 2, SiN, SiOCH, or the like is used. Further, the hard mask layer 13b is a lower SiOCH, it may be a multilayer structure of the upper layer such as SiO 2. The hard mask film 13b is formed by, for example, a plasma CVD method.

続いて、図11(c)に示すように、リソグラフィーと異方性エッチングによって、ハードマスク13bおよび多孔質SiOCH膜12b(環状シロキサン膜)に、凹部(配線溝15、配線孔16)を形成する。   Subsequently, as shown in FIG. 11C, recesses (wiring grooves 15 and wiring holes 16) are formed in the hard mask 13b and the porous SiOCH film 12b (cyclic siloxane film) by lithography and anisotropic etching. .

次いで、図11(d)に示すように、プラズマ処理によって、配線溝15の側面や配線孔16の側面、底面の多孔質SiOCH膜12bの表面を改質する。これにより、多孔質SiOCH膜12bの表層に、C濃度が低減した改質層31bを形成する。プラズマ処理条件としては、例えば、室温、Heガス流量を200sccm、圧力を0.1Torr、プラズマ生成用高周波(2MHz)電源の電力を800W、30秒間という条件を用いる。ここで、ガスは、Heに限定されるものではなく、Ne、Ar、Xe等の希ガスや、希ガスとHを混合したガス、あるいはN等の不活性ガスを用いてもよい。特に、プラズマ中にOを含まないようにすることが重要である。プラズマ処理条件については、周波数200kHz〜100MHz、ガス流量10〜1000sccm、圧力0.01〜0.5Torr、プラズマ発生用高周波電源パワー100〜2000W、時間は5〜90秒に設定すればよい。また、放電開始時に基板側に200kHz〜100MHzの100〜1000Wのバイアスを印加することで放電開始を促進すると効果的である。 Next, as shown in FIG. 11D, the surface of the porous SiOCH film 12b on the side surfaces of the wiring grooves 15, the side surfaces of the wiring holes 16, and the bottom surface is modified by plasma treatment. As a result, a modified layer 31b having a reduced C concentration is formed on the surface layer of the porous SiOCH film 12b. As the plasma processing conditions, for example, conditions of room temperature, a He gas flow rate of 200 sccm, a pressure of 0.1 Torr, and a plasma generating high frequency (2 MHz) power source of 800 W for 30 seconds are used. Here, the gas is not limited to He, and a rare gas such as Ne, Ar, or Xe, a gas obtained by mixing a rare gas and H, or an inert gas such as N 2 may be used. In particular, it is important not to include O in the plasma. The plasma processing conditions may be set to a frequency of 200 kHz to 100 MHz, a gas flow rate of 10 to 1000 sccm, a pressure of 0.01 to 0.5 Torr, a plasma generating high frequency power supply of 100 to 2000 W, and a time of 5 to 90 seconds. In addition, it is effective to accelerate the start of discharge by applying a bias of 100 to 1000 W of 200 kHz to 100 MHz to the substrate side at the start of discharge.

続いて、図12(a)に示すように、配線溝15および配線孔16内に、バリア金属膜としてTaNとTaの積層膜をPVD法により形成する。引き続き、配線溝や配線孔にCu膜22bを埋設する。次いで、Cu粒成長のための熱処理を施す。この熱処理の温度は、例えば200〜400℃、時間は30秒〜1時間に設定する。   Subsequently, as shown in FIG. 12A, a stacked film of TaN and Ta is formed as a barrier metal film in the wiring groove 15 and the wiring hole 16 by the PVD method. Subsequently, the Cu film 22b is embedded in the wiring groove or wiring hole. Next, heat treatment for Cu grain growth is performed. The temperature of this heat treatment is set to 200 to 400 ° C., for example, and the time is set to 30 seconds to 1 hour.

続いて、図12(b)に示すように、CMP等の研磨技術を用い、凹部の外部に露出したCu膜22b、バリア膜21b、およびハードマスクの13bの一部を除去する。これにより、Cuが露出していない領域ではハードマスク13bが、多孔質SiOCH膜12bを被覆している。すなわち、多孔質SiOCH膜12bの上面は、Cu膜22bまたはハードマスク膜13bにより覆われている。ここで、ハードマスク膜13bをSiOとSiOCHの二層構成とした場合には、表面側のSiOのみを研磨し、SiOCHが露出した段階でCMPを停止する等を行ってもよい。 Subsequently, as shown in FIG. 12B, a part of the Cu film 22b, the barrier film 21b, and the hard mask 13b exposed to the outside of the recess is removed by using a polishing technique such as CMP. Thereby, the hard mask 13b covers the porous SiOCH film 12b in a region where Cu is not exposed. That is, the upper surface of the porous SiOCH film 12b is covered with the Cu film 22b or the hard mask film 13b. Here, when the hard mask film 13b has a two-layer structure of SiO 2 and SiOCH, only the SiO 2 on the surface side may be polished, and CMP may be stopped when the SiOCH is exposed.

続いて、図12(c)に示すように、露出しているハードマスク膜13b、およびCu膜22bの表面にキャップ絶縁膜11bを成膜する。キャップ絶縁膜としては、プラズマCVD法によって成膜するSiN、SiC、SiCN等を用いる。これらの膜の2種類以上を積層してもよい。   Subsequently, as shown in FIG. 12C, a cap insulating film 11b is formed on the exposed surfaces of the hard mask film 13b and the Cu film 22b. As the cap insulating film, SiN, SiC, SiCN, or the like formed by plasma CVD is used. Two or more of these films may be laminated.

図11(b)〜図12(c)で示された工程を繰り返すことで、多層配線構造を形成することができる。また、本実施の形態では、配線溝と配線孔を同時に形成するデュアルダマシン法を用いて説明したが、シングルダマシン法を用いた配線形成であってもよい。
以上により、本実施の形態の半導体チップを得ることができる。
By repeating the steps shown in FIGS. 11B to 12C, a multilayer wiring structure can be formed. In this embodiment, the dual damascene method in which the wiring trench and the wiring hole are formed at the same time has been described. However, wiring formation using a single damascene method may be used.
As described above, the semiconductor chip of this embodiment can be obtained.

キャップ絶縁膜11bと多孔質SiOCH膜12b(多孔質環状シロキサン膜)との間に、多孔質環状シロキサン膜よりも炭素濃度が少なく、かつ空孔を含有しないハードマスク膜13bが設けられている。ハードマスク膜13bは、配線溝形成や、CMPにより配線材の研磨時等に、多孔質SiOCH膜12bの表面を保護する役割を担う。また、第3例においては、第1例と同様の効果が得られる。   Between the cap insulating film 11b and the porous SiOCH film 12b (porous cyclic siloxane film), a hard mask film 13b having a carbon concentration lower than that of the porous cyclic siloxane film and containing no pores is provided. The hard mask film 13b plays a role of protecting the surface of the porous SiOCH film 12b when forming a wiring groove or polishing a wiring material by CMP. In the third example, the same effect as in the first example can be obtained.

以上、図面を参照して本発明の実施形態について述べたが、これらは本発明の例示であり、上記以外の様々な構成を採用することもできる。   As mentioned above, although embodiment of this invention was described with reference to drawings, these are the illustrations of this invention, Various structures other than the above are also employable.

11a、11b キャップ絶縁膜
12a、12b、12c 多孔質SiOCH膜
13a、13b ハードマスク膜
15 配線溝
16 配線孔
21a、21b バリア金属膜
22a、22b、22c Cu膜
31a、31b 改質層
32a、32b 改質層
40 異常なバンプ
51a、51b 半導体チップ
52a、52b パッケージ基板
53a、53b 封入樹脂
54a、54b 外部接続端子
55 ボンディングワイヤ
56 はんだバンプ
57 はんだバンプ
11a, 11b Cap insulating films 12a, 12b, 12c Porous SiOCH films 13a, 13b Hard mask film 15 Wiring groove 16 Wiring holes 21a, 21b Barrier metal films 22a, 22b, 22c Cu films 31a, 31b Modified layers 32a, 32b Quality layer 40 Abnormal bump 51a, 51b Semiconductor chip 52a, 52b Package substrate 53a, 53b Encapsulated resin 54a, 54b External connection terminal 55 Bonding wire 56 Solder bump 57 Solder bump

Claims (16)

基板上に、炭素−炭素結合を有し、かつ炭素原子数とシリコン原子数との比(C/Si)が2以上である、多孔質SiOCH膜を形成する工程と、
前記多孔質SiOCH膜に凹部を形成する工程と、
前記凹部内の前記多孔質SiOCH膜の表面に、前記多孔質SiOCH膜の内部と比較して、C/Si比が小さく、かつO/Si比が同等な改質層を形成する工程と、
前記凹部に金属膜を埋め込む工程と、を含む、半導体装置の製造方法。
Forming a porous SiOCH film having a carbon-carbon bond on the substrate and having a ratio of carbon atoms to silicon atoms (C / Si) of 2 or more;
Forming a recess in the porous SiOCH film;
Forming a modified layer on the surface of the porous SiOCH film in the recess, the C / Si ratio being small and the O / Si ratio being equal compared to the inside of the porous SiOCH film;
Embedding a metal film in the recess.
前記改質層を形成する工程において、不活性ガスを含む雰囲気下で、前記多孔質SiOCH膜にプラズマ処理を行う、請求項1に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein in the step of forming the modified layer, plasma treatment is performed on the porous SiOCH film in an atmosphere containing an inert gas. 前記不活性ガスがヘリウムである、請求項2に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 2, wherein the inert gas is helium. 前記雰囲気は酸素を含まない、請求項2または3に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 2, wherein the atmosphere does not contain oxygen. 前記多孔質SiOCH膜中に、平均空孔が1nm未満、かつ互いに独立している空孔が形成されている、請求項1から4のいずれか1項に記載の半導体装置の製造方法。   5. The method for manufacturing a semiconductor device according to claim 1, wherein vacancies having an average vacancy of less than 1 nm and independent of each other are formed in the porous SiOCH film. 前記多孔質SiOCH膜は、下記式(1)で表される環状有機シロキサン構造を有する化合物から得られる、請求項1から5のいずれか1項に記載の半導体装置の製造方法。
(式(1)中、R1およびR2は、同一または相異なり、それぞれ炭化水素基を表し、かつR1およびR2の少なくとも一方が前記炭素−炭素結合を有する。)
The method for manufacturing a semiconductor device according to claim 1, wherein the porous SiOCH film is obtained from a compound having a cyclic organosiloxane structure represented by the following formula (1).
(In Formula (1), R1 and R2 are the same or different and each represents a hydrocarbon group, and at least one of R1 and R2 has the carbon-carbon bond.)
前記環状有機シロキサン構造を有する化合物は、下記式(2)で表される、請求項6に記載の半導体装置の製造方法。
The method for manufacturing a semiconductor device according to claim 6, wherein the compound having a cyclic organosiloxane structure is represented by the following formula (2).
前記多孔質SiOCH膜の上面に、前記改質層を形成する工程と、
前記多孔質SiOCH膜上および前記金属膜上に、キャップ絶縁膜を形成する工程と、をさらに含む、請求項1から7のいずれか1項に記載の半導体装置の製造方法。
Forming the modified layer on the upper surface of the porous SiOCH film;
The method of manufacturing a semiconductor device according to claim 1, further comprising: forming a cap insulating film on the porous SiOCH film and the metal film.
基板と、
前記基板上に設けられており、炭素−炭素結合を有し、かつ炭素原子数とシリコン原子数との比(C/Si)が2以上である、多孔質SiOCH膜と、
前記多孔質SiOCH膜に設けられた凹部と、
前記凹部を埋め込むように設けられた金属膜と、
前記金属膜と接しており、前記凹部内の前記多孔質SiOCH膜の表面に設けられた、改質層と、を備え、
前記改質層は、前記多孔質SiOCH膜の内部と比較して、C/Si比が小さく、かつO/Si比が同等である、半導体装置。
A substrate,
A porous SiOCH film provided on the substrate, having a carbon-carbon bond, and having a ratio of carbon atoms to silicon atoms (C / Si) of 2 or more;
A recess provided in the porous SiOCH film;
A metal film provided to embed the recess,
A modified layer that is in contact with the metal film and provided on the surface of the porous SiOCH film in the recess,
The modified layer is a semiconductor device in which the C / Si ratio is small and the O / Si ratio is equal as compared with the inside of the porous SiOCH film.
前記金属膜と前記改質層との界面から、5nm以上離れた領域ではC/Si比が2以上である、請求項9に記載の半導体装置。   The semiconductor device according to claim 9, wherein a C / Si ratio is 2 or more in a region separated by 5 nm or more from an interface between the metal film and the modified layer. 前記多孔質SiOCH膜に、平均空孔が1nm未満、かつ互いに独立している空孔が設けられている、請求項9または10に記載の半導体装置。   The semiconductor device according to claim 9 or 10, wherein the porous SiOCH film is provided with vacancies having an average vacancy of less than 1 nm and independent of each other. 前記多孔質SiOCH膜の比誘電率が2.7以下である、請求項9から11のいずれか1項に記載の半導体装置。   The semiconductor device according to claim 9, wherein a relative dielectric constant of the porous SiOCH film is 2.7 or less. 前記多孔質SiOCH膜上および前記金属膜上に設けられた、キャップ絶縁膜と、
前記キャップ絶縁膜と接しており、前記多孔質SiOCH膜の表面に設けられた前記改質層と、をさらに備える、請求項9から12のいずれか1項に記載の半導体装置。
A cap insulating film provided on the porous SiOCH film and the metal film;
The semiconductor device according to claim 9, further comprising: a modified layer that is in contact with the cap insulating film and provided on a surface of the porous SiOCH film.
前記多孔質SiOCH膜は、下記式(1)で表される環状有機シロキサン構造を有する化合物を含む、請求項9から13のいずれか1項に記載の半導体装置。
(式(1)中、R1およびR2は、同一または相異なり、それぞれ炭化水素基を表し、かつR1およびR2の少なくとも一方が前記炭素−炭素結合を有する。)
The semiconductor device according to claim 9, wherein the porous SiOCH film includes a compound having a cyclic organosiloxane structure represented by the following formula (1).
(In Formula (1), R1 and R2 are the same or different and each represents a hydrocarbon group, and at least one of R1 and R2 has the carbon-carbon bond.)
前記環状有機シロキサン構造を有する化合物は、下記式(2)で表される、請求項14に記載の半導体装置。
The semiconductor device according to claim 14, wherein the compound having a cyclic organosiloxane structure is represented by the following formula (2).
前記金属膜は銅を含む、請求項9から15のいずれか1項に記載の半導体装置。   The semiconductor device according to claim 9, wherein the metal film contains copper.
JP2010065029A 2010-03-19 2010-03-19 Semiconductor device and method of manufacturing the same Pending JP2011199059A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010065029A JP2011199059A (en) 2010-03-19 2010-03-19 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010065029A JP2011199059A (en) 2010-03-19 2010-03-19 Semiconductor device and method of manufacturing the same

Publications (1)

Publication Number Publication Date
JP2011199059A true JP2011199059A (en) 2011-10-06

Family

ID=44876891

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010065029A Pending JP2011199059A (en) 2010-03-19 2010-03-19 Semiconductor device and method of manufacturing the same

Country Status (1)

Country Link
JP (1) JP2011199059A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10978394B2 (en) 2018-08-02 2021-04-13 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
JP7391741B2 (en) 2020-03-23 2023-12-05 株式会社東芝 Structure

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003309170A (en) * 2002-02-14 2003-10-31 Nec Electronics Corp Semiconductor device and manufacturing method therefor
JP2003309173A (en) * 2002-04-18 2003-10-31 Hitachi Ltd Semiconductor device and its manufacturing method
JP2004165447A (en) * 2002-11-13 2004-06-10 Kao Corp Composition used in manufacturing process of semiconductor substrate
JP2004207604A (en) * 2002-12-26 2004-07-22 Toshiba Corp Semiconductor device and its manufacturing method
JP2004253790A (en) * 2003-01-29 2004-09-09 Nec Electronics Corp Semiconductor device and method of manufacturing the same
JP2006049655A (en) * 2004-08-06 2006-02-16 Renesas Technology Corp Method for manufacturing semiconductor device
JP2006156519A (en) * 2004-11-26 2006-06-15 Renesas Technology Corp Method of manufacturing semiconductor device
JP2007027347A (en) * 2005-07-15 2007-02-01 Sony Corp Semiconductor device and manufacturing method thereof
WO2008010591A1 (en) * 2006-07-21 2008-01-24 Nec Corporation Method for forming porous insulating film

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003309170A (en) * 2002-02-14 2003-10-31 Nec Electronics Corp Semiconductor device and manufacturing method therefor
JP2003309173A (en) * 2002-04-18 2003-10-31 Hitachi Ltd Semiconductor device and its manufacturing method
JP2004165447A (en) * 2002-11-13 2004-06-10 Kao Corp Composition used in manufacturing process of semiconductor substrate
JP2004207604A (en) * 2002-12-26 2004-07-22 Toshiba Corp Semiconductor device and its manufacturing method
JP2004253790A (en) * 2003-01-29 2004-09-09 Nec Electronics Corp Semiconductor device and method of manufacturing the same
JP2006049655A (en) * 2004-08-06 2006-02-16 Renesas Technology Corp Method for manufacturing semiconductor device
JP2006156519A (en) * 2004-11-26 2006-06-15 Renesas Technology Corp Method of manufacturing semiconductor device
JP2007027347A (en) * 2005-07-15 2007-02-01 Sony Corp Semiconductor device and manufacturing method thereof
WO2008010591A1 (en) * 2006-07-21 2008-01-24 Nec Corporation Method for forming porous insulating film

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10978394B2 (en) 2018-08-02 2021-04-13 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
JP7391741B2 (en) 2020-03-23 2023-12-05 株式会社東芝 Structure

Similar Documents

Publication Publication Date Title
JP5168142B2 (en) Semiconductor device
JP5554951B2 (en) Manufacturing method of semiconductor device
JP5093479B2 (en) Method for forming porous insulating film
TWI402887B (en) Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP5497756B2 (en) Semiconductor device manufacturing method and semiconductor device
TWI528454B (en) Semiconductor device and method of manufacturing semiconductor device
US7642185B2 (en) Insulating film forming method capable of enhancing adhesion of silicon carbide film, etc. and semiconductor device
JP2007173511A (en) Method for fabricating a semiconductor device
JP5349789B2 (en) Method for forming multilayer wiring
WO2010084535A1 (en) Semiconductor device and method for manufacturing same
WO2007091574A1 (en) Multilayer wiring structure, and method for fabricating multilayer wiring
JP4567587B2 (en) Manufacturing method of semiconductor device
JP2007324536A (en) Interlayer insulation film, manufacturing method thereof, and semiconductor device
JP2004235548A (en) Semiconductor device and its fabricating method
JP2007027347A (en) Semiconductor device and manufacturing method thereof
JP2011155077A (en) Method of manufacturing semiconductor device
JP5823359B2 (en) Manufacturing method of semiconductor device
US20050095828A1 (en) Process for sealing plasma-damaged, porous low-k materials
JP3449333B2 (en) Method for manufacturing semiconductor device
JP2011199059A (en) Semiconductor device and method of manufacturing the same
JP3480416B2 (en) Semiconductor device
WO2010113375A1 (en) Semiconductor device and method for manufacturing same
JP2009188101A (en) Semiconductor device, and manufacturing method thereof
JP2009117739A (en) Electronic device, and manufacturing method thereof
JP2009094123A (en) Method of manufacturing semiconductor apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120806

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140318