JP2011035229A - Semiconductor device, and method of manufacturing the same - Google Patents

Semiconductor device, and method of manufacturing the same Download PDF

Info

Publication number
JP2011035229A
JP2011035229A JP2009181309A JP2009181309A JP2011035229A JP 2011035229 A JP2011035229 A JP 2011035229A JP 2009181309 A JP2009181309 A JP 2009181309A JP 2009181309 A JP2009181309 A JP 2009181309A JP 2011035229 A JP2011035229 A JP 2011035229A
Authority
JP
Japan
Prior art keywords
film
semiconductor device
insulating film
gate
aluminum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009181309A
Other languages
Japanese (ja)
Other versions
JP5407645B2 (en
Inventor
Yusuke Morizaki
祐輔 森▲崎▼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2009181309A priority Critical patent/JP5407645B2/en
Publication of JP2011035229A publication Critical patent/JP2011035229A/en
Application granted granted Critical
Publication of JP5407645B2 publication Critical patent/JP5407645B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To decrease the number of etching processes and to avoid the occurrence of etching damage when a suitable threshold voltage is actualized by adjusting an effective work function of a complementary transistor employing a high dielectric constant film with respect to a semiconductor device and a method of manufacturing the same. <P>SOLUTION: An aluminum film is provided between a first gate insulating film having a higher dielectric constant than that of SiO<SB>2</SB>of an n-channel insulating gate transistor and a first metal gate electrode, and an aluminum oxide film is provided between a second gate insulating film having a higher dielectric constant than that of SiO<SB>2</SB>of a p-channel insulating gate transistor and a second metal gate electrode. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は半導体装置及びその製造方法に関するものであり、例えば、高誘電率膜をゲート絶縁膜としたMIS型半導体装置における実効仕事関数の調整手段に関するものである。   The present invention relates to a semiconductor device and a method for manufacturing the same, and, for example, relates to a means for adjusting an effective work function in a MIS type semiconductor device using a high dielectric constant film as a gate insulating film.

近年、MIS型半導体装置においては、ゲート絶縁膜に高誘電材料を用いて特性の向上が図られてきている。例えば、HfOやHfSiON等のハフニウム系の材料を用いたゲート絶縁膜ではそれまでのシリコン酸窒化膜よりも比誘電率を3倍以上にすることができ、リーク電流の低減に大きな効果があった。 In recent years, MIS type semiconductor devices have been improved in characteristics by using a high dielectric material for a gate insulating film. For example, a gate insulating film using a hafnium-based material such as HfO 2 or HfSiON can have a relative dielectric constant of three times or more than that of a conventional silicon oxynitride film, and has a great effect on reducing leakage current. It was.

しかしながら、高誘電材料を用いた場合、ゲート絶縁膜中の固定電荷やゲート絶縁膜界面でのダイポールにより、実効仕事関数が変調されてしきい値電圧が大きくなり十分なオン電流が得られないという問題があった。   However, when a high dielectric material is used, the effective work function is modulated by the fixed charge in the gate insulating film or the dipole at the interface of the gate insulating film, the threshold voltage increases, and sufficient on-current cannot be obtained. There was a problem.

そこで、実効仕事関数を変調するために、nチャネル型MISFETの高誘電材料ゲート絶縁膜上にランタン酸化膜を成膜して実効仕事関数を、nチャネル型MISFETに必要な4.8eVに近づけている。一方、pチャネル型MISFETでは、高誘電材料ゲート絶縁膜上にアルミニウム酸化膜を成膜して実効仕事関数を、pチャネル型MISFETに必要な4.0eVに近づけている(例えば、特許文献1或いは非特許文献1参照)。   Therefore, in order to modulate the effective work function, a lanthanum oxide film is formed on the high dielectric material gate insulating film of the n-channel type MISFET, and the effective work function is brought close to 4.8 eV necessary for the n-channel type MISFET. Yes. On the other hand, in the p-channel type MISFET, an aluminum oxide film is formed on the high dielectric material gate insulating film to bring the effective work function close to 4.0 eV necessary for the p-channel type MISFET (for example, Patent Document 1 or Non-patent document 1).

特開2009−111235号公報JP 2009-111235 A

H.J.Li and M.I.Gardner,”Dual High−k Gate Dielectric With PolyGate Elecrode:HfSiON on nMOS and Al2 O3 Capping layer on pMOS”,IEEE EDL,2005,p.p.441−444H. J. et al. Li and M.M. I. Gardner, “Dual High-k Gate Dielectric With PolyGate Electrode: HfSiON on nMOS and Al 2 O 3 Capping layer on pMOS”, IEEE EDL, 2005, p. p. 441-444

上述のように、仕事関数を調整するために、2つの領域のゲート絶縁膜上に異なる種類の酸化膜をそれぞれ形成する場合、大きく分けて2つの方法がある。例えば、全面に第1の領域に形成するためのゲート絶縁膜と第1の調整用酸化膜を形成し、第2の領域に形成したゲート絶縁膜と第1の調整用酸化膜を除去する。次いで、全面にゲート絶縁膜と第2の調整用酸化膜を形成し、第1の領域に形成したゲート絶縁膜と第2の酸化膜を除去する場合がある。   As described above, in order to adjust the work function, when different types of oxide films are formed on the gate insulating films in the two regions, there are roughly two methods. For example, the gate insulating film and the first adjustment oxide film to be formed in the first region are formed on the entire surface, and the gate insulating film and the first adjustment oxide film formed in the second region are removed. Next, a gate insulating film and a second adjustment oxide film may be formed over the entire surface, and the gate insulating film and the second oxide film formed in the first region may be removed.

或いは、ゲート絶縁膜及び第1の調整用酸化膜を形成したのち、第1の調整用酸化膜のうち第2の領域上に形成した部分を除去し、次いで、全面に第2の調整用酸化膜を形成したのち、第2の調整用酸化膜のうち第1の領域上に形成された部分を除去する場合がある。   Alternatively, after forming the gate insulating film and the first adjustment oxide film, the portion of the first adjustment oxide film formed on the second region is removed, and then the second adjustment oxidation film is formed on the entire surface. After the film is formed, a portion of the second adjustment oxide film formed on the first region may be removed.

前者ではゲート絶縁膜を2回形成する必要があり工程数が増加するとともに、一度成膜したゲート絶縁膜を除去するために必要以上に基板にエッチングの影響を及ぼし、特性および信頼性が劣化する可能性があるという問題がある。一方、後者は絶縁膜上の酸化膜を除去する際のエッチングの作用がゲート絶縁膜に及ぶため信頼性が劣化する可能性があるという問題がある。   In the former, it is necessary to form the gate insulating film twice, which increases the number of processes, and affects the substrate more than necessary in order to remove the gate insulating film once formed, thereby deteriorating characteristics and reliability. There is a problem that there is a possibility. On the other hand, the latter has a problem that reliability may be deteriorated because the etching action when removing the oxide film on the insulating film reaches the gate insulating film.

したがって、本発明は、高誘電率膜を用いた相補型トランジスタの実効仕事関数を調整して適切なしきい値電圧を実現する際に、エッチング工程数を低減するとともに、エッチングダメージの発生を回避することを目的とする。   Therefore, the present invention reduces the number of etching steps and avoids etching damage when adjusting the effective work function of a complementary transistor using a high dielectric constant film to achieve an appropriate threshold voltage. For the purpose.

本発明の一観点からは、nチャネル絶縁ゲートトランジスタとpチャネル絶縁ゲートトランジスタとを有する半導体装置であって、前記nチャネル絶縁ゲートトランジスタのSiOより誘電率の高い第1のゲート絶縁膜と第1金属ゲート電極との間にアルミニウム膜を有するとともに、前記p型ャネル絶縁ゲートトランジスタのSiOより誘電率の高い第2のゲート絶縁膜と第2金属ゲート電極との間に酸化アルミニウム膜を有することを特徴とする半導体装置が提供される。 From one aspect of the present invention, a semiconductor device having an n-channel insulated gate transistor and a p-channel insulated gate transistor, the first gate insulating film having a dielectric constant higher than that of SiO 2 of the n-channel insulated gate transistor, An aluminum film is provided between one metal gate electrode and an aluminum oxide film is provided between the second gate insulating film having a dielectric constant higher than that of SiO 2 of the p-type channel insulated gate transistor and the second metal gate electrode. A semiconductor device is provided.

また、本発明の別の観点からは、半導体基板にn型領域及びp型領域を形成する工程と、前記n型領域及び前記p型領域の表面にSiOより誘電率の高いゲート絶縁膜を形成する工程と、前記ゲート絶縁膜上にアルミニウム膜を形成する工程と、前記アルミニウム膜上に第1金属ゲート膜を形成する工程と、前記n型領域上に設けた前記第1金属ゲート膜を選択的に除去し、前記n型領域上に設けた前記アルミニウム膜を選択的に露出させる工程と、露出した前記アルミニウム膜を酸化して酸化アルミニウム膜にする工程と、前記酸化アルミニウム膜上に第2金属ゲート膜を形成する工程とを少なくとも有することを特徴とする半導体装置の製造方法が提供される。 From another viewpoint of the present invention, a step of forming an n-type region and a p-type region in a semiconductor substrate, and a gate insulating film having a dielectric constant higher than that of SiO 2 on the surfaces of the n-type region and the p-type region are provided. A step of forming, a step of forming an aluminum film on the gate insulating film, a step of forming a first metal gate film on the aluminum film, and the first metal gate film provided on the n-type region. Selectively removing and exposing the aluminum film provided on the n-type region; oxidizing the exposed aluminum film to form an aluminum oxide film; and A method of manufacturing a semiconductor device, comprising at least a step of forming a two-metal gate film.

開示の半導体装置及びその製造方法によれば、nチャネル型MISFETの実効的仕事関数をAl極薄膜で調整するとともに、pチャネル型MISFETの実効的仕事関数をAl極薄膜の酸化物で調整しているので、エッチング工程数を低減するとともに、エッチングダメージの発生を回避することが可能となる。   According to the disclosed semiconductor device and the manufacturing method thereof, the effective work function of the n-channel MISFET is adjusted by the Al ultrathin film, and the effective work function of the p-channel MISFET is adjusted by the oxide of the Al ultrathin film. As a result, the number of etching steps can be reduced, and the occurrence of etching damage can be avoided.

本発明の実施の形態の半導体装置の概念的断面図である。1 is a conceptual cross-sectional view of a semiconductor device according to an embodiment of the present invention. 実効的仕事関数のAl膜厚依存性の説明図である。It is explanatory drawing of the Al film thickness dependence of an effective work function. 本発明の実施例1の半導体装置の製造工程の途中までの説明図である。It is explanatory drawing to the middle of the manufacturing process of the semiconductor device of Example 1 of this invention. 本発明の実施例1の半導体装置の製造工程の図3以降の途中までの説明図である。FIG. 4 is an explanatory view up to the middle of FIG. 3 and subsequent steps of the manufacturing process of the semiconductor device of Example 1 of the present invention. 本発明の実施例1の半導体装置の製造工程の図4以降の途中までの説明図である。FIG. 5 is an explanatory view up to the middle of FIG. 4 and subsequent steps of the manufacturing process of the semiconductor device of Example 1 of the present invention. 本発明の実施例1の半導体装置の製造工程の図5以降の途中までの説明図である。It is explanatory drawing to the middle after FIG. 5 of the manufacturing process of the semiconductor device of Example 1 of this invention. 本発明の実施例1の半導体装置の製造工程の図6以降の説明図である。FIG. 7 is an explanatory diagram after FIG. 6 of the manufacturing process of the semiconductor device of Example 1 of the present invention. 本発明の実施例2の半導体装置の製造工程の途中までの説明図である。It is explanatory drawing to the middle of the manufacturing process of the semiconductor device of Example 2 of this invention. 本発明の実施例2の半導体装置の製造工程の図8以降の途中までの説明図である。FIG. 9 is an explanatory diagram up to the middle of FIG. 8 and subsequent drawings of a manufacturing process of a semiconductor device according to Example 2 of the present invention; 本発明の実施例2の半導体装置の製造工程の図9以降の途中までの説明図である。FIG. 10 is an explanatory diagram up to the middle of FIG. 9 and subsequent steps of the manufacturing process of the semiconductor device of Example 2 of the present invention; 本発明の実施例2の半導体装置の製造工程の図10以降の途中までの説明図である。FIG. 11 is an explanatory diagram up to the middle of FIG. 10 and subsequent steps of a manufacturing process of a semiconductor device according to Example 2 of the present invention; 本発明の実施例2の半導体装置の製造工程の図11以降の途中までの説明図である。FIG. 12 is an explanatory diagram up to the middle of FIG. 11 and subsequent steps of a manufacturing process of a semiconductor device according to Example 2 of the present invention; 本発明の実施例2の半導体装置の製造工程の図12以降の説明図である。FIG. 13 is an explanatory diagram after FIG. 12 showing a manufacturing process of a semiconductor device according to Example 2 of the present invention;

ここで、図1及び図2を参照して、本発明の実施の形態の半導体装置を説明する。図1は本発明の実施の形態の半導体装置の概念的断面図であり、nチャネル絶縁ゲート型トランジスタ1のゲート絶縁膜3とゲート電極6との間に0.5原子層乃至2.0原子層のアルミニウム膜4を設けて仕事関数を小さくしてしきい値電圧を小さくする。   Here, a semiconductor device according to an embodiment of the present invention will be described with reference to FIGS. FIG. 1 is a conceptual cross-sectional view of a semiconductor device according to an embodiment of the present invention, in which a 0.5 atomic layer to a 2.0 atomic layer are provided between a gate insulating film 3 and a gate electrode 6 of an n-channel insulated gate transistor 1. A layer of aluminum film 4 is provided to reduce the work function and reduce the threshold voltage.

一方、pチャネル絶縁ゲート型トランジスタ2のゲート絶縁膜3とゲート電極6との間に0.5原子層乃至2.0原子層のアルミニウム膜4を酸化した酸化アルミニウム膜5を設けて仕事関数を大きくしてしきい値電圧を小さくする。   On the other hand, an aluminum oxide film 5 obtained by oxidizing an aluminum film 4 of 0.5 atomic layer to 2.0 atomic layer is provided between the gate insulating film 3 and the gate electrode 6 of the p-channel insulated gate transistor 2 to obtain a work function. Increase to decrease threshold voltage.

図2は、実効的仕事関数のAl膜厚依存性の説明図である。ここでは、TiN単層膜、下層に1原子層のAl膜を設けたAl1層/TiN、下層に2原子層のAl膜を設けたAl2層/TiN、及び、Al単層膜の4つのゲート構造の実効的仕事関数の第一原理計算に基づく結果を示している。   FIG. 2 is an explanatory diagram of the dependency of the effective work function on the Al film thickness. Here, there are four gates: a TiN single layer film, an Al1 layer / TiN with a single atomic layer Al film underneath, an Al2 layer / TiN with a double atomic layer Al film underneath, and an Al single layer film The results based on the first-principles calculation of the effective work function of the structure are shown.

図2に示すように、ゲート絶縁膜3とTiN膜7との間に1原子層のアルミニウム膜4がある場合、実効的仕事関数は0.21eV下がって4.30eVとなる。アルミニウム膜4を2原子層にした場合に4.18eVまで下がり、アルミニウムの実効仕事関数に近づいていく。   As shown in FIG. 2, when there is a monoatomic aluminum film 4 between the gate insulating film 3 and the TiN film 7, the effective work function is lowered by 0.21 eV to 4.30 eV. When the aluminum film 4 is made to be a diatomic layer, it drops to 4.18 eV and approaches the effective work function of aluminum.

一方、pチャネル型MISFETにおいては、上述の非特許文献1にも示されているように、ハフニウム酸化膜上に酸化アルミニウム膜5を形成することで実効仕事関数が大きくなり、しきい値電圧は小さくなる。   On the other hand, in the p-channel type MISFET, as shown in Non-Patent Document 1 described above, the effective work function is increased by forming the aluminum oxide film 5 on the hafnium oxide film, and the threshold voltage is Get smaller.

しかしながら、アルミニウム膜3の膜厚を厚くするほど、酸化によって形成される酸化アルミニウム膜4の膜厚も厚くなり、ゲート絶縁膜全体としての電気的容量が減少してしまう。   However, as the film thickness of the aluminum film 3 increases, the film thickness of the aluminum oxide film 4 formed by oxidation also increases, and the electrical capacity of the entire gate insulating film decreases.

例えば、アルミニウム膜3を2原子層積層した後に酸化して得られる酸化アルミニウム膜5の膜厚は0.8nm程度になり、これは電気的容量に換算した酸化シリコン膜の0.2nm程度となる。これ以上換算膜厚が大きくなると、1nm程度の酸化シリコン換算膜厚のゲート絶縁膜を有するデバイスの電気的容量を大幅に減少させることになり特性が劣化する。したがって成膜するアルミニウム膜3は2原子層以下であることが必要となる。なお、アルミニウム膜3を挿入する効果を保つためには、0.5原子層は必要であり、好適には1原子層乃至2原子層とする。この場合の原子層は成膜レートから換算した膜厚である。   For example, the thickness of the aluminum oxide film 5 obtained by oxidizing the aluminum film 3 after laminating two atomic layers is about 0.8 nm, which is about 0.2 nm of the silicon oxide film converted into electric capacity. . If the equivalent film thickness becomes larger than this, the electric capacity of a device having a gate insulating film having a silicon oxide equivalent film thickness of about 1 nm is greatly reduced, and the characteristics deteriorate. Therefore, the aluminum film 3 to be formed needs to be 2 atomic layers or less. In order to maintain the effect of inserting the aluminum film 3, a 0.5 atomic layer is necessary, and a 1 atomic layer or 2 atomic layer is preferable. The atomic layer in this case has a film thickness converted from the film formation rate.

また、ゲート絶縁膜3としては、HfO、(HfZr)O、HfSiON等の少なくともハフニウム(Hf)を含む高誘電率材料が好適である。 As the gate insulating film 3, a high dielectric constant material containing at least hafnium (Hf) such as HfO 2 , (HfZr) O 2 , and HfSiON is suitable.

また、ゲート電極6としては、パターニング精度を良好にするとともに、エッチング工程において他にダメージを与えないために、下層側をTiN膜7とし上層側を多結晶シリコン膜8とした積層構造が好適である。即ち、TiN膜7だけでゲート電極6を構成するとウエットエッチング工程であるのでパターニング精度が充分ではない。一方、多結晶シリコン膜8だけでゲート電極6を形成した場合には、パターン精度は良好であるものの多結晶シリコン膜8のエッチング工程においてゲート絶縁膜等の他の構成にエッチングダメージを与える虞がある。   The gate electrode 6 preferably has a laminated structure in which the lower layer side is the TiN film 7 and the upper layer side is the polycrystalline silicon film 8 in order to improve the patterning accuracy and prevent other damage in the etching process. is there. That is, if the gate electrode 6 is composed only of the TiN film 7, the patterning accuracy is not sufficient because it is a wet etching process. On the other hand, when the gate electrode 6 is formed using only the polycrystalline silicon film 8, the pattern accuracy is good, but there is a risk of etching damage to other components such as the gate insulating film in the etching process of the polycrystalline silicon film 8. is there.

また、エクステンション領域やソース・ドレイン領域の形成工程においては、夫々サイドウォールを設けるが、この場合のサイドウォールはシリコン酸化膜でも良いし或いはシリコン窒化膜でも良く、両者を組み合わせても良い。   Further, in the process of forming the extension region and the source / drain region, sidewalls are provided. In this case, the sidewalls may be silicon oxide films or silicon nitride films, or a combination of both.

なお、ソース・ドレイン領域等をダミーゲートを用いて形成する場合には、ゲート電極6は単層のTiN膜7で構成しても良い。また、ダミーゲートは、パターニング精度を良好にするとともに、エッチング工程において他にダメージを与えないために、下層側をTiN膜7とし上層側を多結晶シリコン膜8とした積層構造が好適である。但し、この場合には、シリサイド電極の形成工程においてダミーゲートの表面がシリサイド化されないようにシリコン窒化膜等をカバー膜として設けることが望ましい。   When the source / drain regions and the like are formed using a dummy gate, the gate electrode 6 may be composed of a single layer TiN film 7. The dummy gate preferably has a laminated structure in which the lower layer side is the TiN film 7 and the upper layer side is the polycrystalline silicon film 8 in order to improve the patterning accuracy and prevent other damage in the etching process. However, in this case, it is desirable to provide a silicon nitride film or the like as a cover film so that the surface of the dummy gate is not silicided in the process of forming the silicide electrode.

また、ソース・ドレイン領域の表面及び多結晶シリコン膜8の表面に形成するシリサイド電極としてはより低抵抗なNiシリサイド電極が好適であるが、Coシリサイド等の他のシリサイド材料を用いても良い。   Further, the silicide electrode formed on the surface of the source / drain region and the surface of the polycrystalline silicon film 8 is preferably a low resistance Ni silicide electrode, but other silicide materials such as Co silicide may be used.

なお、本発明においては、上述のように、酸化アルミニウム膜5はアルミニウム膜6を選択的に露出させて酸化により形成する。したがって、ゲート絶縁膜3の形成は1度であり、また、ゲート絶縁膜3そのものに対するエッチングの作用を最小限にすることができる。それによって、適切な実効仕事関数を有したnチャネル型MISFETとpチャネル型MISFETを有する半導体装置を、高い信頼性を持ちつつ、容易に形成することができる。   In the present invention, as described above, the aluminum oxide film 5 is formed by oxidation with the aluminum film 6 selectively exposed. Therefore, the gate insulating film 3 is formed only once, and the etching effect on the gate insulating film 3 itself can be minimized. Accordingly, a semiconductor device having an n-channel MISFET and a p-channel MISFET having an appropriate effective work function can be easily formed with high reliability.

以上を前提として、次に、図3乃至図7を参照して本発明の実施例1の半導体装置の製造工程を説明する。まず、図3(a)に示すように、p型シリコン基板11上に酸化膜12及びSiN膜13を順次形成し、通常のフォトエッチング工程で酸化膜12及びSiN膜13をパターニングする。次いで、パターニングした酸化膜12及びSiN膜13をマスクとしp型シリコン基板11の露出をエッチングして素子分離溝14を形成する。   Based on the above, next, the manufacturing process of the semiconductor device according to the first embodiment of the present invention will be described with reference to FIGS. First, as shown in FIG. 3A, an oxide film 12 and a SiN film 13 are sequentially formed on a p-type silicon substrate 11, and the oxide film 12 and the SiN film 13 are patterned by a normal photoetching process. Next, using the patterned oxide film 12 and SiN film 13 as a mask, the exposure of the p-type silicon substrate 11 is etched to form an element isolation trench 14.

次いで、図3(b)に示すように、全面にシリコン酸化膜を素子分離溝14を埋め込むように成膜したのち、CMP(化学機械研磨)処理を行うことによりSTI(Shallow Trench Isolation)構造の埋込絶縁膜15を形成する。   Next, as shown in FIG. 3B, a silicon oxide film is formed on the entire surface so as to embed the element isolation trench 14, and then a CMP (Chemical Mechanical Polishing) process is performed to form an STI (Shallow Trench Isolation) structure. A buried insulating film 15 is formed.

次いで、図3(c)に示すように、酸化膜12及びSiN膜13を薬液除去して、p型シリコン基板11の表面を露出させる。この露出した領域が素子形成領域となる。   Next, as shown in FIG. 3C, the oxide film 12 and the SiN film 13 are removed by chemicals to expose the surface of the p-type silicon substrate 11. This exposed region becomes an element formation region.

次いで、図3(d)に示すように、フォトレジストによるパターニングとイオン注入を交互に行って、一方の素子形成領域にBを選択的に注入してp型ウエル領域16を形成し、他方の素子形成領域にPを選択的に注入してn型ウエル領域17を形成する。   Next, as shown in FIG. 3D, patterning with a photoresist and ion implantation are alternately performed, and B is selectively implanted into one element formation region to form a p-type well region 16. An n-type well region 17 is formed by selectively implanting P into the element formation region.

次いで、図4(e)に示すように、CVD法を用いてゲート絶縁膜となる厚さが、例えば、2nmのHfO膜18を形成したのち、例えば、Alをターゲットとしたスパッタリング法によって、例えば、2原子層のAl膜19を形成する。 Next, as shown in FIG. 4E, after forming a HfO 2 film 18 having a thickness of, for example, 2 nm as a gate insulating film using a CVD method, for example, by a sputtering method using Al as a target, For example, a two atomic layer Al film 19 is formed.

次いで、図4(f)に示すように、全面にゲート電極の一部となる厚さが、例えば、10nmのTiN膜20と、耐酸化及びエッチングダメージを軽減するための厚さが、例えば、30nmのSiN膜21を順次形成する。   Next, as shown in FIG. 4 (f), the thickness that becomes a part of the gate electrode on the entire surface is, for example, a 10 nm TiN film 20, and the thickness for reducing oxidation resistance and etching damage is, for example, A 30 nm SiN film 21 is sequentially formed.

次いで、図4(g)に示すように、p型ウエル領域16を覆うレジストパターン22を形成し、このレジストパターン22をマスクとして、露出したSiN膜21を例えば、RIE(反応性イオンエッチング)により除去する。次いで、露出したTiN膜20を例えば、過酸化水素水溶液を用いて除去してアルミニウム膜19を選択的に露出させる。   Next, as shown in FIG. 4G, a resist pattern 22 covering the p-type well region 16 is formed, and using this resist pattern 22 as a mask, the exposed SiN film 21 is subjected to, for example, RIE (reactive ion etching). Remove. Next, the exposed TiN film 20 is removed using, for example, an aqueous hydrogen peroxide solution to selectively expose the aluminum film 19.

次いで、図4(h)に示すように、レジストパターン22を除去したのち、SiN膜21を耐酸化マスクとしてp型ウエル領域17上に露出したアルミニウム膜19を酸素雰囲気中で500℃において熱酸化処理することによりAl膜23に変換する。 Next, as shown in FIG. 4H, after the resist pattern 22 is removed, the aluminum film 19 exposed on the p-type well region 17 is thermally oxidized at 500 ° C. in an oxygen atmosphere using the SiN film 21 as an oxidation resistant mask. By processing, the Al 2 O 3 film 23 is converted.

次いで、図5(m)に示すように、全面にpチャネル型MISFETのゲート電極の一部となる厚さが、例えば、10nmのTiN膜24と、耐酸化及びエッチングダメージを軽減するための厚さが、例えば、30nmのSiN膜25を順次形成する。   Next, as shown in FIG. 5 (m), the thickness that becomes a part of the gate electrode of the p-channel type MISFET is, for example, a 10 nm TiN film 24 and a thickness for reducing oxidation resistance and etching damage. For example, a 30 nm SiN film 25 is sequentially formed.

次いで、図5(n)に示すように、n型ウエル領域17を選択的に覆うようにレジストパターン26を設ける。次いで、図5(o)に示すように、レジストパターン22をマスクとして、露出したSiN膜25を例えば、RIEにより除去したのち、露出したTiN膜24を例えば、過酸化水素水溶液を用いて除去する。   Next, as shown in FIG. 5N, a resist pattern 26 is provided so as to selectively cover the n-type well region 17. Next, as shown in FIG. 5 (o), using the resist pattern 22 as a mask, the exposed SiN film 25 is removed by, for example, RIE, and then the exposed TiN film 24 is removed by using, for example, an aqueous hydrogen peroxide solution. .

次いで、図6(p)に示すように、レジストパターン26を除去したのち、露出したSiN膜21,25を領域により除去する。次いで、図6(q)に示すように、露出したTiN膜20,24上に厚さが、例えば、80nmの多結晶シリコン膜27を形成する。   Next, as shown in FIG. 6 (p), after the resist pattern 26 is removed, the exposed SiN films 21 and 25 are removed by regions. Next, as shown in FIG. 6 (q), a polycrystalline silicon film 27 having a thickness of, for example, 80 nm is formed on the exposed TiN films 20 and 24.

次いで、図6(r)に示すように、レジストパターン(図示は省略)をマスクとして、多結晶シリコン膜27乃至HfO膜18を順次エッチングにより除去することによって、幅が、例えば、32nmのゲート構造を形成する。 Next, as shown in FIG. 6R, by using the resist pattern (not shown) as a mask, the polycrystalline silicon film 27 to the HfO 2 film 18 are sequentially removed by etching, whereby a gate having a width of, for example, 32 nm. Form a structure.

次いで、図7(s)に示すように、全面に例えば、SiN膜を厚さが5nmになるように堆積させたのち、異方性エッチングを施すことによってサイドウォール28を形成する。次いで、フォトレジストによるパターニングとサイドウォール28及びゲート構造をマスクとするイオン注入を交互に行ったのち、活性化熱処理を行って、n型エクテンション領域29及びp型エクステンション領域30を順次形成する。   Next, as shown in FIG. 7S, for example, a SiN film is deposited on the entire surface so as to have a thickness of 5 nm, and then sidewalls 28 are formed by performing anisotropic etching. Next, after patterning with a photoresist and ion implantation using the sidewall 28 and the gate structure as a mask are alternately performed, an activation heat treatment is performed to sequentially form an n-type extension region 29 and a p-type extension region 30.

なお、n型エクステンション領域29の形成に際しては、例えば、Asを1keVの加速エネルギーで1×1015cm-2だけ注入する。一方、p型エクステンション領域30の形成に際しては、例えば、Bを0.5keVの加速エネルギーで1×1015cm-2だけ注入する。 In forming the n-type extension region 29, for example, As is implanted at an acceleration energy of 1 keV by 1 × 10 15 cm −2 . On the other hand, when forming the p-type extension region 30, for example, B is implanted by 1 × 10 15 cm −2 at an acceleration energy of 0.5 keV.

次いで、図7(t)に示すように、全面に例えば、SiN膜を厚さが40nmになるように堆積させたのち、異方性エッチングを施すことによってサイドウォール31を形成する。次いで、フォトレジストによるパターニングとサイドウォール31及びゲート構造をマスクとするイオン注入を交互に行ったのち、活性化熱処理を行って、n+ 型ソース・ドレイン領域32及びp+ 型ソース・ドレイン領域33を順次形成する。 Next, as shown in FIG. 7 (t), for example, a SiN film is deposited on the entire surface so as to have a thickness of 40 nm, and then sidewalls 31 are formed by performing anisotropic etching. Next, patterning with a photoresist and ion implantation using the sidewall 31 and the gate structure as a mask are alternately performed, and then an activation heat treatment is performed, so that the n + type source / drain region 32 and the p + type source / drain region 33 are formed. Are sequentially formed.

なお、n+ 型ソース・ドレイン領域32の形成に際しては、例えば、Asを5keVの加速エネルギーで5×1015cm-2だけ注入する。一方、p+ 型ソース・ドレイン領域33の形成に際しては、例えば、Bを3keVの加速エネルギーで5×1015cm-2だけ注入する。 In forming the n + -type source / drain regions 32, for example, As is implanted at an acceleration energy of 5 keV by 5 × 10 15 cm −2 . On the other hand, when forming the p + type source / drain region 33, for example, B is implanted by 5 × 10 15 cm −2 at an acceleration energy of 3 keV.

次いで、図7(u)に示すように、全面に厚さが、例えば、10nmのNi膜を成膜したのち、例えば、450℃でアニールして露出したシリコン表面をシリサイド化する。次いで、絶縁膜上に堆積した未反応のNi膜を除去することにより、Niシリサイド電極34〜37を形成する。   Next, as shown in FIG. 7 (u), after a Ni film having a thickness of, for example, 10 nm is formed on the entire surface, the exposed silicon surface is silicided by annealing at, for example, 450 ° C. Next, Ni silicide electrodes 34 to 37 are formed by removing the unreacted Ni film deposited on the insulating film.

以降は、図示を省略するものの、層間絶縁膜を形成したのち、ソース・ドレイン領域に達するプラグを形成し、次いで、必要とする多層配線構造に応じて配線層の形成、層間絶縁膜の形成、接続プラグの形成を繰り返すことによって本発明の実施例1の半導体装置が完成する。   Thereafter, although illustration is omitted, after forming the interlayer insulating film, a plug reaching the source / drain region is formed, and then the formation of the wiring layer, the formation of the interlayer insulating film according to the required multilayer wiring structure, By repeating the formation of the connection plug, the semiconductor device of Example 1 of the present invention is completed.

このように、本発明の実施例1においては、HfO膜の成膜工程が一度であるとともに、除去のためのエッチング工程が、ゲート構造の形成工程以外はないのでエッチング工程数が低減するとともに、エッチングダメージの発生を回避することが可能となる。 As described above, in Example 1 of the present invention, the number of etching steps is reduced because the HfO 2 film is formed once and there is no etching step other than the gate structure forming step. It is possible to avoid the occurrence of etching damage.

次に、図8乃至図13を参照して、本発明の実施例2の半導体装置の製造工程を説明する。まず、上記の図3(a)乃至図3(d)に示したように、p型シリコン基板11上にSTI構造の埋込絶縁膜15を形成したのち、p型ウエル領域16及びn型ウエル領域17を形成する。次いで、図8(a)に示すように、CVD法を用いてゲート絶縁膜となるHfO膜18を形成する。 Next, with reference to FIGS. 8 to 13, a manufacturing process of the semiconductor device of Example 2 of the present invention will be described. First, as shown in FIGS. 3A to 3D, after forming the buried insulating film 15 having the STI structure on the p-type silicon substrate 11, the p-type well region 16 and the n-type well are formed. Region 17 is formed. Next, as shown in FIG. 8A, an HfO 2 film 18 to be a gate insulating film is formed using a CVD method.

次いで、図8(b)に示すように、全面にダミーゲートとなる厚さが、例えば、10nmのTiN膜38と、厚さが、例えば、50nmの多結晶シリコン膜39、及び、厚さが、例えば、30nmのカバー膜40を順次形成する。この場合のカバー膜40は、ダミーゲートのシリサイド反応を防止するために設けるものであり、例えば、SiN膜により形成する。また、多結晶シリコン膜39はドライエッチングにより精度良くパターニングするために設け、一方、TiN膜38は過酸化水素水溶液等を用いたウエットエッチングによりゲート絶縁膜となるHfO膜18にエッチングダメージを与えないために設ける。 Next, as shown in FIG. 8B, a TiN film 38 having a thickness of, for example, 10 nm, a polycrystalline silicon film 39 having a thickness of, for example, 50 nm, and a thickness serving as a dummy gate over the entire surface. For example, the cover film 40 having a thickness of 30 nm is sequentially formed. In this case, the cover film 40 is provided to prevent the silicide reaction of the dummy gate, and is formed of, for example, a SiN film. The polycrystalline silicon film 39 is provided for accurate patterning by dry etching, while the TiN film 38 causes etching damage to the HfO 2 film 18 which becomes a gate insulating film by wet etching using a hydrogen peroxide solution or the like. Provide for not.

次いで、図8(c)に示すように、レジストパターン(図示は省略)をマスクとして、カバー膜40乃至HfO膜18を順次エッチングにより除去することによって、幅が、例えば、32nmのダミーゲートを形成する。 Next, as shown in FIG. 8C, by using the resist pattern (not shown) as a mask, the cover film 40 to the HfO 2 film 18 are sequentially removed by etching to form a dummy gate having a width of, for example, 32 nm. Form.

次いで、図8(d)に示すように、全面に例えば、SiN膜を厚さが5nmになるように堆積させたのち、異方性エッチングを施すことによってサイドウォール28を形成する。次いで、フォトレジストによるパターニングとサイドウォール28及びダミーゲート構造をマスクとするイオン注入を交互に行ったのち、活性化熱処理を行って、n型エクテンション領域29及びp型エクステンション領域30を順次形成する。   Next, as shown in FIG. 8D, for example, a SiN film is deposited on the entire surface so as to have a thickness of 5 nm, and then sidewalls 28 are formed by performing anisotropic etching. Next, after patterning with a photoresist and ion implantation using the sidewall 28 and the dummy gate structure as a mask are alternately performed, an activation heat treatment is performed to sequentially form an n-type extension region 29 and a p-type extension region 30. .

なお、n型エクステンション領域29の形成に際しては、例えば、Asを1keVの加速エネルギーで1×1015cm-2だけ注入する。一方、p型エクステンション領域30の形成に際しては、例えば、Bを0.5keVの加速エネルギーで1×1015cm-2だけ注入する。 In forming the n-type extension region 29, for example, As is implanted at an acceleration energy of 1 keV by 1 × 10 15 cm −2 . On the other hand, when forming the p-type extension region 30, for example, B is implanted by 1 × 10 15 cm −2 at an acceleration energy of 0.5 keV.

次いで、図9(e)に示すように、全面に例えば、SiN膜を厚さが40nmになるように堆積させたのち、異方性エッチングを施すことによってサイドウォール31を形成する。次いで、フォトレジストによるパターニングとサイドウォール31及びダミーゲート構造をマスクとするイオン注入を交互に行ったのち、活性化熱処理を行って、n+ 型ソース・ドレイン領域32及びp+ 型ソース・ドレイン領域33を順次形成する。 Next, as shown in FIG. 9E, for example, a SiN film is deposited on the entire surface so as to have a thickness of 40 nm, and then the sidewall 31 is formed by performing anisotropic etching. Next, patterning with a photoresist and ion implantation using the sidewall 31 and the dummy gate structure as masks are alternately performed, and then an activation heat treatment is performed, so that the n + type source / drain region 32 and the p + type source / drain region are formed. 33 are formed sequentially.

なお、n+ 型ソース・ドレイン領域32の形成に際しては、例えば、Asを5keVの加速エネルギーで5×1015cm-2だけ注入する。一方、p+ 型ソース・ドレイン領域33の形成に際しては、例えば、Bを5keVの加速エネルギーで5×1015cm-2だけ注入する。 In forming the n + -type source / drain regions 32, for example, As is implanted at an acceleration energy of 5 keV by 5 × 10 15 cm −2 . On the other hand, when forming the p + -type source / drain region 33, for example, B is implanted by 5 × 10 15 cm −2 at an acceleration energy of 5 keV.

次いで、図9(f)に示すように、全面に厚さが、例えば、10nmのNi膜を成膜したのち、例えば、450℃でアニールして露出したシリコン表面をシリサイド化する。次いで、絶縁膜上に堆積した未反応のNi膜を除去することにより、n+ 型ソース・ドレイン領域32及びp+ 型ソース・ドレイン領域33の表面にNiシリサイド電極34,36を形成する。 Next, as shown in FIG. 9F, after a Ni film having a thickness of, for example, 10 nm is formed on the entire surface, the exposed silicon surface is silicided by annealing at, for example, 450 ° C. Next, the Ni silicide electrodes 34 and 36 are formed on the surfaces of the n + type source / drain region 32 and the p + type source / drain region 33 by removing the unreacted Ni film deposited on the insulating film.

次いで、図9(g)に示すように、全面に例えば、酸化シリコン膜を堆積させたのち、CMP処理により平坦化することによってダミーゲートを埋め込む層間絶縁膜41を形成する。   Next, as shown in FIG. 9G, after depositing, for example, a silicon oxide film on the entire surface, an interlayer insulating film 41 for embedding a dummy gate is formed by planarization by CMP processing.

次いで、図10(h)に示すように、カバー膜40、多結晶シリコン膜39及びTiN膜38を順次除去して凹部を形成する。   Next, as shown in FIG. 10H, the cover film 40, the polycrystalline silicon film 39, and the TiN film 38 are sequentially removed to form a recess.

次いで、図10(i)に示すように、例えば、Alターゲットを用いたスパッタリング法により2原子層のAl膜19を形成する。次いで、ゲート電極となるTiN膜20を凹部を完全に埋め込むように形成したのち、耐酸化及びエッチングダメージを軽減するための厚さが、例えば、30nmのSiN膜21を順次形成する。   Next, as shown in FIG. 10I, a diatomic layer Al film 19 is formed by sputtering using an Al target, for example. Next, after forming a TiN film 20 to be a gate electrode so as to completely fill the recess, an SiN film 21 having a thickness of, for example, 30 nm for reducing oxidation resistance and etching damage is sequentially formed.

次いで、図10(k)に示すように、p型ウエル領域16を覆うレジストパターン42を形成し、このレジストパターン42をマスクとして、露出したSiN膜21を例えば、RIEにより除去する。次いで、露出したTiN膜20を例えば、過酸化水素水溶液を用いて除去してアルミニウム膜19を選択的に露出させる。   Next, as shown in FIG. 10K, a resist pattern 42 that covers the p-type well region 16 is formed, and the exposed SiN film 21 is removed by, for example, RIE using the resist pattern 42 as a mask. Next, the exposed TiN film 20 is removed using, for example, an aqueous hydrogen peroxide solution to selectively expose the aluminum film 19.

次いで、図11(l)に示すように、レジストパターン42を除去したのち、SiN膜21を耐酸化マスクとしてp型ウエル領域17上に露出したアルミニウム膜19を酸素雰囲気中で500℃において熱酸化処理することによりAl膜23に変換する。 Next, as shown in FIG. 11L, after removing the resist pattern 42, the aluminum film 19 exposed on the p-type well region 17 is thermally oxidized at 500 ° C. in an oxygen atmosphere using the SiN film 21 as an oxidation resistant mask. By processing, the Al 2 O 3 film 23 is converted.

次いで、図10(m)に示すように、全面にpチャネル型MISFETのゲート電極となるTiN膜24を凹部を完全に埋め込むように堆積させたのち、耐酸化及びエッチングダメージを軽減するための厚さが、例えば、30nmのSiN膜25を順次形成する。   Next, as shown in FIG. 10 (m), a TiN film 24 that becomes the gate electrode of the p-channel type MISFET is deposited on the entire surface so as to completely fill the recess, and then the thickness for reducing oxidation resistance and etching damage is reduced. For example, a 30 nm SiN film 25 is sequentially formed.

次いで、図12(n)に示すように、n型ウエル領域17を選択的に覆うようにレジストパターン43を設ける。次いで、図12(o)に示すように、レジストパターン43をマスクとして、露出したSiN膜25を例えば、RIEにより除去したのち、露出したTiN膜24を例えば、過酸化水素水溶液を用いて除去する。   Next, as shown in FIG. 12 (n), a resist pattern 43 is provided so as to selectively cover the n-type well region 17. Next, as shown in FIG. 12 (o), using the resist pattern 43 as a mask, the exposed SiN film 25 is removed by, for example, RIE, and then the exposed TiN film 24 is removed by using, for example, an aqueous hydrogen peroxide solution. .

次いで、図13(p)に示すように、レジストパターン43を除去する。次いで、図13(q)に示すように、SiN膜21,25をRIEで除去した後、CMP処理を行ってTiN膜20,24からなる埋込ゲート電極を形成する。   Next, as shown in FIG. 13 (p), the resist pattern 43 is removed. Next, as shown in FIG. 13 (q), after the SiN films 21 and 25 are removed by RIE, a CMP process is performed to form buried gate electrodes made of the TiN films 20 and 24.

以降は、図示を省略するものの、再び、層間絶縁膜を形成したのち、ソース・ドレイン領域に達するプラグを形成し、次いで、必要とする多層配線構造に応じて配線層の形成、層間絶縁膜の形成、接続プラグの形成を繰り返すことによって本発明の実施例2の半導体装置が完成する。   Thereafter, although illustration is omitted, after forming the interlayer insulating film again, plugs reaching the source / drain regions are formed, and then the formation of the wiring layer according to the required multilayer wiring structure, the interlayer insulating film By repeating the formation and the formation of the connection plug, the semiconductor device of Example 2 of the present invention is completed.

このように、本発明の実施例2においても、HfO膜18からなる高誘電率ゲート絶縁膜を除去するエッチング工程は、ダミーゲート構造の形成工程以外はないのでエッチング工程数が低減するとともに、エッチングダメージの発生を回避することが可能となる。 Thus, also in Example 2 of the present invention, the etching process for removing the high dielectric constant gate insulating film made of the HfO 2 film 18 is not a dummy gate structure forming process, so the number of etching processes is reduced. Etching damage can be avoided.

ここで、実施例1及び実施例2を含む本発明の実施の形態に関して、以下の付記を開示する。
(付記1) nチャネル絶縁ゲートトランジスタとpチャネル絶縁ゲートトランジスタとを有する半導体装置であって、前記nチャネル絶縁ゲートトランジスタのSiOより誘電率の高い第1のゲート絶縁膜と第1金属ゲート電極との間にアルミニウム膜を有するとともに、前記pチャネル絶縁ゲートトランジスタのSiOより誘電率の高い第2のゲート絶縁膜と第2金属ゲート電極との間に酸化アルミニウム膜を有することを特徴とする半導体装置。
(付記2) 前記SiOより誘電率の高いゲート絶縁膜が、少なくともハフニウムを含有することを特徴とする付記1に記載の半導体装置。
(付記3) 前記アルミニウム膜の膜厚が、0.5原子層乃至2原子層であることを特徴とする付記1または付記2に記載の半導体装置。
(付記4) 前記第1金属ゲート電極が、TiN膜、或いは、TiN膜が前記第1のゲート絶縁膜側となるTiN膜/多結晶シリコン膜構造のいずれかであることを特徴とする付記1乃至付記3のいずれか1に記載の半導体装置。
(付記5) 半導体基板にn型領域及びp型領域を形成する工程と、前記n型領域及び前記p型領域の表面にSiOより誘電率の高いゲート絶縁膜を形成する工程と、前記ゲート絶縁膜上にアルミニウム膜を形成する工程と、前記アルミニウム膜上に第1金属ゲート膜を形成する工程と、前記n型領域上に設けた前記第1金属ゲート膜を選択的に除去し、前記n型領域上に設けた前記アルミニウム膜を選択的に露出させる工程と、露出した前記アルミニウム膜を酸化して酸化アルミニウム膜にする工程と、前記酸化アルミニウム膜上に第2金属ゲート膜を形成する工程とを少なくとも有することを特徴とする半導体装置の製造方法。
(付記6) 前記ゲート絶縁膜と前記アルミニウム膜の形成工程が前記ゲート絶縁膜と前記アルミニウム膜を順次積層する工程であり、前記n型領域上に設けた前記アルミニウム膜を選択的に露出させる工程が、前記アルミニウム膜上に前記第1金属ゲート膜及び耐酸化膜を全面に形成したのち、前記n型領域上に設けた前記第1金属ゲート膜及び前記耐酸化膜を除去して前記アルミニウム膜を露出させる工程であることを特徴とする付記5に記載の半導体装置の製造方法。
(付記7) 前記アルミニウム膜を形成する工程が、前記ゲート絶縁膜上にダミーゲートを形成したのち、前記ダミーゲートをマスクの一部としてソース・ドレイン領域を形成し、次いで、層間絶縁膜を形成したのち前記ダミーゲートを除去し、次いで、前記ダミーゲートを除去した凹部を含めた全面にアルミニウム膜を形成する工程であり、前記n型領域上に設けた前記アルミニウム膜を選択的に露出させる工程が、前記アルミニウム膜上に前記凹部を埋め込むように前記第1金属ゲート膜と耐酸化膜を形成したのち、前記n型領域上に設けた前記第1金属ゲート膜及び前記耐酸化膜を除去して前記アルミニウム膜を露出させる工程であることを特徴とする付記6に記載の半導体装置の製造方法。
(付記8) 前記ダミーゲートが、前記ゲート絶縁膜側からTiN膜、多結晶シリコン膜、及び、耐酸化膜を順次積層したTiN膜/多結晶シリコン膜/耐酸化膜構造であることを特徴とする付記7に記載の半導体装置の製造方法。
(付記9) 前記SiOより誘電率の高いゲート絶縁膜が、少なくともハフニウムを含有することを特徴とする付記5乃至付記8のいずれか1に記載の半導体装置の製造方法。(付記10) 前記アルミニウム膜の膜厚が、0.5原子層乃至2原子層であることを特徴とする付記5乃至付記9のいずれか1に記載の半導体装置の製造方法。
Here, the following supplementary notes are disclosed regarding the embodiment of the present invention including Example 1 and Example 2.
(Supplementary Note 1) A semiconductor device having an n-channel insulated gate transistor and a p-channel insulated gate transistor, the n-channel high dielectric constant than SiO 2 insulating gate transistor first gate insulating film and the first metal gate electrode And an aluminum oxide film between the second gate insulating film having a higher dielectric constant than SiO 2 of the p-channel insulated gate transistor and the second metal gate electrode. Semiconductor device.
(Supplementary note 2) The semiconductor device according to supplementary note 1, wherein the gate insulating film having a dielectric constant higher than that of SiO 2 contains at least hafnium.
(Supplementary note 3) The semiconductor device according to supplementary note 1 or supplementary note 2, wherein the aluminum film has a thickness of 0.5 atomic layer to 2 atomic layer.
(Supplementary Note 4) The supplementary note 1 is characterized in that the first metal gate electrode is either a TiN film or a TiN film / polycrystalline silicon film structure in which the TiN film is on the first gate insulating film side. Or the semiconductor device according to any one of appendix 3;
(Supplementary Note 5) A step of forming an n-type region and a p-type region in a semiconductor substrate, a step of forming a gate insulating film having a dielectric constant higher than that of SiO 2 on the surfaces of the n-type region and the p-type region, and the gate A step of forming an aluminum film on the insulating film; a step of forming a first metal gate film on the aluminum film; and selectively removing the first metal gate film provided on the n-type region, a step of selectively exposing the aluminum film provided on the n-type region; a step of oxidizing the exposed aluminum film to form an aluminum oxide film; and forming a second metal gate film on the aluminum oxide film. A method for manufacturing a semiconductor device, comprising: at least a step.
(Appendix 6) The step of forming the gate insulating film and the aluminum film is a step of sequentially laminating the gate insulating film and the aluminum film, and selectively exposing the aluminum film provided on the n-type region However, after forming the first metal gate film and the oxidation resistant film on the entire surface of the aluminum film, the first metal gate film and the oxidation resistant film provided on the n-type region are removed to remove the aluminum film. 6. The method of manufacturing a semiconductor device according to appendix 5, wherein the semiconductor device is exposed.
(Appendix 7) In the step of forming the aluminum film, after forming a dummy gate on the gate insulating film, a source / drain region is formed using the dummy gate as a part of a mask, and then an interlayer insulating film is formed. Thereafter, the dummy gate is removed, and then an aluminum film is formed on the entire surface including the recess from which the dummy gate is removed, and the aluminum film provided on the n-type region is selectively exposed. However, after forming the first metal gate film and the oxidation resistant film so as to bury the recess on the aluminum film, the first metal gate film and the oxidation resistant film provided on the n-type region are removed. The method of manufacturing a semiconductor device according to appendix 6, wherein the aluminum film is exposed.
(Appendix 8) The dummy gate has a TiN film / polycrystalline silicon film / oxidation resistant film structure in which a TiN film, a polycrystalline silicon film, and an oxidation resistant film are sequentially laminated from the gate insulating film side. The manufacturing method of the semiconductor device according to appendix 7.
(Supplementary note 9) The method for manufacturing a semiconductor device according to any one of supplementary notes 5 to 8, wherein the gate insulating film having a dielectric constant higher than that of SiO 2 contains at least hafnium. (Supplementary note 10) The method for manufacturing a semiconductor device according to any one of supplementary notes 5 to 9, wherein the aluminum film has a thickness of 0.5 atomic layer to 2 atomic layer.

1 nチャネル絶縁ゲート型トランジスタ
2 pチャネル絶縁ゲート型トランジスタ
3 ゲート絶縁膜
4 アルミニウム膜
5 酸化アルミニウム膜
6 ゲート電極
7 TiN膜
8 多結晶シリコン膜
11 p型シリコン基板
12 酸化膜
13 SiN膜
14 素子分離溝
15 埋込絶縁膜
16 p型ウエル領域
17 n型ウエル領域
18 HfO
19 Al膜
20,24,38 TiN膜
21,25 SiN膜
23 Al
26,42,43 レジストパターン
27,39 多結晶シリコン膜
28,31 サイドウォール
29 n型エクステンション領域
30 p型エクステンション領域
32 n+ 型ソース・ドレイン領域
33 p+ 型ソース・ドレイン領域
34〜37 Niシリサイド電極
40 カバー膜
41 層間絶縁膜
1 n-channel insulated gate transistor 2 p-channel insulated gate transistor 3 gate insulating film 4 aluminum film 5 aluminum oxide film 6 gate electrode 7 TiN film 8 polycrystalline silicon film 11 p-type silicon substrate 12 oxide film 13 SiN film 14 element isolation Trench 15 buried insulating film 16 p-type well region 17 n-type well region 18 HfO 2 film 19 Al film 20, 24, 38 TiN film 21, 25 SiN film 23 Al 2 O 3 film 26, 42, 43 resist pattern 27, 39 Polycrystalline silicon films 28, 31 Side walls 29 n-type extension regions 30 p-type extension regions 32 n + -type source / drain regions 33 p + -type source / drain regions 34 to 37 Ni silicide electrode 40 cover film 41 interlayer insulating film

Claims (5)

nチャネル絶縁ゲートトランジスタとpチャネル絶縁ゲートトランジスタとを有する半導体装置であって、前記nチャネル絶縁ゲートトランジスタのSiOより誘電率の高い第1のゲート絶縁膜と第1金属ゲート電極との間にアルミニウム膜を有するとともに、前記pチャネル絶縁ゲートトランジスタのSiOより誘電率の高い第2のゲート絶縁膜と第2金属ゲート電極との間に酸化アルミニウム膜を有することを特徴とする半導体装置。 A semiconductor device having an n-channel insulated gate transistor and a p-channel insulated gate transistor between a first gate insulating film and a first metal gate electrode having a dielectric constant higher than that of SiO 2 of the n-channel insulated gate transistor A semiconductor device having an aluminum film and an aluminum oxide film between a second gate insulating film having a dielectric constant higher than that of SiO 2 of the p-channel insulated gate transistor and a second metal gate electrode. 前記SiOより誘電率の高いゲート絶縁膜が、少なくともハフニウムを含有することを特徴とする請求項1に記載の半導体装置。 The semiconductor device according to claim 1, wherein the gate insulating film having a dielectric constant higher than that of SiO 2 contains at least hafnium. 前記アルミニウム膜の膜厚が、0.5原子層乃至2原子層であることを特徴とする請求項1または請求項2に記載の半導体装置。   The semiconductor device according to claim 1, wherein the aluminum film has a thickness of 0.5 atomic layer to 2 atomic layer. 前記第1金属ゲート電極が、TiN膜、或いは、TiN膜が前記第1のゲート絶縁膜側となるTiN膜/多結晶シリコン膜構造のいずれかであることを特徴とする請求項1乃至請求項3のいずれか1項に記載の半導体装置。   The first metal gate electrode is either a TiN film or a TiN film / polycrystalline silicon film structure in which the TiN film is on the first gate insulating film side. 4. The semiconductor device according to any one of items 3. 半導体基板にn型領域及びp型領域を形成する工程と、
前記n型領域及び前記p型領域の表面にSiOより誘電率の高いゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜上にアルミニウム膜を形成する工程と、
前記アルミニウム膜上に第1金属ゲート膜を形成する工程と、
前記n型領域上に設けた前記第1金属ゲート膜を選択的に除去し、前記n型領域上に設けた前記アルミニウム膜を選択的に露出させる工程と、
露出した前記アルミニウム膜を酸化して酸化アルミニウム膜にする工程と、
前記酸化アルミニウム膜上に第2金属ゲート膜を形成する工程とを少なくとも有することを特徴とする半導体装置の製造方法。
Forming an n-type region and a p-type region in a semiconductor substrate;
Forming a gate insulating film having a higher dielectric constant than SiO 2 on the surfaces of the n-type region and the p-type region;
Forming an aluminum film on the gate insulating film;
Forming a first metal gate film on the aluminum film;
Selectively removing the first metal gate film provided on the n-type region and selectively exposing the aluminum film provided on the n-type region;
Oxidizing the exposed aluminum film into an aluminum oxide film;
And forming a second metal gate film on the aluminum oxide film.
JP2009181309A 2009-08-04 2009-08-04 Semiconductor device and manufacturing method thereof Expired - Fee Related JP5407645B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009181309A JP5407645B2 (en) 2009-08-04 2009-08-04 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009181309A JP5407645B2 (en) 2009-08-04 2009-08-04 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2011035229A true JP2011035229A (en) 2011-02-17
JP5407645B2 JP5407645B2 (en) 2014-02-05

Family

ID=43763995

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009181309A Expired - Fee Related JP5407645B2 (en) 2009-08-04 2009-08-04 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP5407645B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011044580A (en) * 2009-08-21 2011-03-03 Panasonic Corp Semiconductor device and method of manufacturing the same
KR20130000206A (en) * 2011-06-22 2013-01-02 삼성전자주식회사 Method for manufacturing semiconductor device using etch stop dielectric layer
CN104347410A (en) * 2013-07-24 2015-02-11 中芯国际集成电路制造(上海)有限公司 Fin type field effect transistor and formation method thereof

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001284466A (en) * 2000-03-29 2001-10-12 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing it
US20050101113A1 (en) * 2003-11-06 2005-05-12 Brask Justin K. Method for making a semiconductor device having a metal gate electrode
US20050233527A1 (en) * 2004-04-20 2005-10-20 Brask Justin K Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
JP2007243009A (en) * 2006-03-10 2007-09-20 Renesas Technology Corp Semiconductor device and its manufacturing method
JP2007329237A (en) * 2006-06-07 2007-12-20 Toshiba Corp Semiconductor device
JP2008016798A (en) * 2006-06-08 2008-01-24 Toshiba Corp Semiconductor device, and manufacturing method thereof
JP2008060538A (en) * 2006-07-31 2008-03-13 Toshiba Corp Semiconductor device and method of manufacturing same
JP2008192822A (en) * 2007-02-05 2008-08-21 Toshiba Corp Semiconductor device and manufacturing method therefor
US20080237604A1 (en) * 2007-03-30 2008-10-02 Husam Niman Alshareef Plasma nitrided gate oxide, high-k metal gate based cmos device
JP2009111235A (en) * 2007-10-31 2009-05-21 Toshiba Corp Semiconductor apparatus and method of manufacturing the same
WO2009072421A1 (en) * 2007-12-03 2009-06-11 Renesas Technology Corp. Cmos semiconductor device and method for manufacturing the same
US20090152650A1 (en) * 2007-12-12 2009-06-18 International Business Machines Corporation High-k dielectric and metal gate stack with minimal overlap with isolation region and related methods

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001284466A (en) * 2000-03-29 2001-10-12 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing it
US20050101113A1 (en) * 2003-11-06 2005-05-12 Brask Justin K. Method for making a semiconductor device having a metal gate electrode
US20050233527A1 (en) * 2004-04-20 2005-10-20 Brask Justin K Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
JP2007243009A (en) * 2006-03-10 2007-09-20 Renesas Technology Corp Semiconductor device and its manufacturing method
JP2007329237A (en) * 2006-06-07 2007-12-20 Toshiba Corp Semiconductor device
JP2008016798A (en) * 2006-06-08 2008-01-24 Toshiba Corp Semiconductor device, and manufacturing method thereof
JP2008060538A (en) * 2006-07-31 2008-03-13 Toshiba Corp Semiconductor device and method of manufacturing same
JP2008192822A (en) * 2007-02-05 2008-08-21 Toshiba Corp Semiconductor device and manufacturing method therefor
US20080237604A1 (en) * 2007-03-30 2008-10-02 Husam Niman Alshareef Plasma nitrided gate oxide, high-k metal gate based cmos device
JP2009111235A (en) * 2007-10-31 2009-05-21 Toshiba Corp Semiconductor apparatus and method of manufacturing the same
WO2009072421A1 (en) * 2007-12-03 2009-06-11 Renesas Technology Corp. Cmos semiconductor device and method for manufacturing the same
US20090152650A1 (en) * 2007-12-12 2009-06-18 International Business Machines Corporation High-k dielectric and metal gate stack with minimal overlap with isolation region and related methods

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011044580A (en) * 2009-08-21 2011-03-03 Panasonic Corp Semiconductor device and method of manufacturing the same
US8969970B2 (en) 2009-08-21 2015-03-03 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device
KR20130000206A (en) * 2011-06-22 2013-01-02 삼성전자주식회사 Method for manufacturing semiconductor device using etch stop dielectric layer
US8748251B2 (en) 2011-06-22 2014-06-10 Samsung Electronics Co., Ltd. Methods for manufacturing semiconductor devices using etch stop dielectric layers and related devices
KR101692362B1 (en) * 2011-06-22 2017-01-05 삼성전자 주식회사 Method for manufacturing semiconductor device using etch stop dielectric layer
CN104347410A (en) * 2013-07-24 2015-02-11 中芯国际集成电路制造(上海)有限公司 Fin type field effect transistor and formation method thereof

Also Published As

Publication number Publication date
JP5407645B2 (en) 2014-02-05

Similar Documents

Publication Publication Date Title
JP5151303B2 (en) Manufacturing method of semiconductor device
JP5559201B2 (en) Memory device and method for forming memory device
JP5569173B2 (en) Semiconductor device manufacturing method and semiconductor device
JP2008193060A (en) Semiconductor device and manufacturing method of semiconductor device
JP2009016706A (en) Semiconductor device and its manufacturing method
JP2009043944A (en) Semiconductor device, and manufacturing method thereof
JP2009026997A (en) Semiconductor device, and manufacturing method thereof
JP2000332237A (en) Manufacture of semiconductor device
JP5627165B2 (en) Semiconductor device and manufacturing method of semiconductor device
JP2009152342A (en) Method of manufacturing semiconductor device
JP5469988B2 (en) Method of manufacturing dual work function semiconductor device and manufactured device
TWI485809B (en) Cmos devices with metal gates and methods for forming the same
JP2010232426A (en) Semiconductor device, and method of manufacturing the same
CN108735671B (en) Method for manufacturing semiconductor device
JP2009181978A (en) Semiconductor device and fabrication process thereof
JP2009267118A (en) Method for manufacturing semiconductor device, and semiconductor device
JP5407645B2 (en) Semiconductor device and manufacturing method thereof
JP2010062499A (en) Semiconductor device and method of manufacturing semiconductor device
US10332804B2 (en) Method for manufacturing CMOS structure
JP2010123660A (en) Insulated gate-type semiconductor device, and manufacturing method thereof
US20160322476A1 (en) Method of manufacturing a fin field effect transistor
JP2005303261A (en) Semiconductor device and manufacturing method therefor
US8476128B2 (en) Semiconductor device having insulated gate field effect transistors and method of fabricating the same
JP2012028562A (en) Method of manufacturing semiconductor device
JP5287800B2 (en) Semiconductor device

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20110915

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120510

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130723

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130725

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130830

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130903

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131008

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131021

R150 Certificate of patent or registration of utility model

Ref document number: 5407645

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees