JP2011035048A - Method of manufacturing semiconductor integrated circuit device - Google Patents

Method of manufacturing semiconductor integrated circuit device Download PDF

Info

Publication number
JP2011035048A
JP2011035048A JP2009177760A JP2009177760A JP2011035048A JP 2011035048 A JP2011035048 A JP 2011035048A JP 2009177760 A JP2009177760 A JP 2009177760A JP 2009177760 A JP2009177760 A JP 2009177760A JP 2011035048 A JP2011035048 A JP 2011035048A
Authority
JP
Japan
Prior art keywords
wafer
integrated circuit
semiconductor integrated
circuit device
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009177760A
Other languages
Japanese (ja)
Other versions
JP5594862B2 (en
Inventor
Shuhei Murata
周平 村田
Kazutoshi Omori
一稔 大森
Kentaro Kita
賢太郎 喜多
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2009177760A priority Critical patent/JP5594862B2/en
Publication of JP2011035048A publication Critical patent/JP2011035048A/en
Application granted granted Critical
Publication of JP5594862B2 publication Critical patent/JP5594862B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To overcome the problem in a BEOL process of manufacturing an LSI having aluminum-based normal wiring mainly, wherein the occurrence of the expansion or chipping of a wiring metal film, among defects relating to aluminum-based wiring, becomes the cause of greatly degrading an EM resistance and an SM resistance for the fact that the improvement of the EM resistance and the SM resistance is especially important relating to the reliability of wiring. <P>SOLUTION: On the wafer stage of a plasma CVD chamber for forming an interlayer dielectric, plasma annealing treatment is executed at a wafer temperature higher than the film formation temperatures of the aluminum-based wiring metal film and the interlayer dielectric under an atmosphere including an inert gas as one of main components to the device surface of a wafer after patterning an aluminum-based wiring metal film and before forming the interlayer dielectric. Thus, deposits on the sidewall of a wiring metal layer are completely removed, and the cause of an expansion defect is removed. Further, by the progress of passivation and stress release, etc., a chipping defect is suppressed. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、半導体集積回路装置(または半導体装置)の製造方法におけるアルミニウム系配線形成技術に適用して有効な技術に関する。   The present invention relates to a technique effective when applied to an aluminum-based wiring forming technique in a method for manufacturing a semiconductor integrated circuit device (or a semiconductor device).

日本特開2004−288763号公報(特許文献1)には、LSI(Large Scale Integration)に関して、HDP−CVD(High Density Plasma−Chemical Vapor Deposition)による層間絶縁膜を有するアルミニウム系メタル多層配線の形成プロセスにおいて、アルミニウム系メタル配線層のパターニング後であって層間絶縁膜で被覆する前に、配線ボイド形成防止等のために、水素雰囲気中において、アルミニウム・スパッタリング温度や後の層間絶縁膜成膜温度よりも高い摂氏420度程度で通常の熱処理炉を用いて熱処理する技術が開示されている。   Japanese Laid-Open Patent Publication No. 2004-288863 (Patent Document 1) describes a process for forming an aluminum-based metal multilayer wiring having an interlayer insulating film by HDP-CVD (High Density Plasma-Chemical Vapor Deposition) for LSI (Large Scale Integration). In order to prevent the formation of wiring voids after the patterning of the aluminum-based metal wiring layer and before coating with the interlayer insulating film, in the hydrogen atmosphere, the aluminum sputtering temperature and the subsequent interlayer insulating film forming temperature A technique for heat treatment using a normal heat treatment furnace at about 420 degrees Celsius is disclosed.

日本特開2003−332338号公報(特許文献2)には、LSI(Large Scale Integration)に関して、HDP−CVD(High Density Plasma−Chemical Vapor Deposition)による層間絶縁膜を有するアルミニウム系メタル多層配線の形成プロセスにおいて、アルミニウム系メタル配線層のパターニング後であって層間絶縁膜で被覆する前に、配線ボイド形成防止等のために、酸素フリーな非酸化性雰囲気中において、アルミニウム・スパッタリング温度や後の層間絶縁膜成膜温度よりも高い温度で通常の熱処理炉を用いて熱処理する技術が開示されている。   Japanese Laid-Open Patent Publication No. 2003-332338 (Patent Document 2) discloses a process for forming an aluminum-based metal multilayer wiring having an interlayer insulating film by HDP-CVD (High Density Plasma-Chemical Vapor Deposition) for LSI (Large Scale Integration). In order to prevent the formation of wiring voids after the patterning of the aluminum-based metal wiring layer and before the coating with the interlayer insulating film, the aluminum sputtering temperature and the subsequent interlayer insulation are performed in an oxygen-free non-oxidizing atmosphere. A technique is disclosed in which heat treatment is performed using a normal heat treatment furnace at a temperature higher than the film formation temperature.

日本特開2007−128976号公報(特許文献3)には、バイポーラ型トランジスタ等に関して、パッシベーション膜であるプラズマPSG(Phospho−Silicate Glass)膜のクラック等を防ぐために、アルミニウム系メタル電極のパターニング後であってPSG膜の成膜前に、窒素雰囲気又は酸素雰囲気中、摂氏350度から摂氏450度の温度において、熱処理する技術が開示されている。   Japanese Unexamined Patent Publication No. 2007-128976 (Patent Document 3) describes a bipolar transistor or the like after patterning of an aluminum-based metal electrode in order to prevent a plasma PSG (Phospho-Silicate Glass) film from being cracked. A technique is disclosed in which heat treatment is performed at a temperature of 350 degrees Celsius to 450 degrees Celsius in a nitrogen atmosphere or an oxygen atmosphere before forming the PSG film.

特開2004−288763号公報JP 2004-288863 A 特開2003−332338号公報JP 2003-332338 A 特開2007−128976号公報JP 2007-128976 A

主にアルミニウム系通常配線から構成された多層配線を有するLSIの製造工程、すなわち、ウエハ工程におけるBEOL(Back End of Line)プロセスでは、配線の信頼性に関して、EM(Electro−Migration)耐性およびSM(Stress Migration)耐性の向上が特に重要である。アルミニウム系配線に関する不良の中でも、配線メタル膜の膨張や欠けの発生は、EM耐性およびSM耐性を大きく劣化させる要因となる。   In the manufacturing process of an LSI having a multilayer wiring mainly composed of aluminum-based normal wiring, that is, in the BEOL (Back End of Line) process in the wafer process, with regard to wiring reliability, EM (Electro-Migration) resistance and SM ( It is particularly important to improve the resistance to stress migration. Among the defects related to the aluminum-based wiring, the expansion or chipping of the wiring metal film is a factor that greatly deteriorates the EM resistance and SM resistance.

配線メタル膜の膨張や欠け等の不良モードについて、本願発明者等が検討したところによると、以下の点が明らかとなった。すなわち、配線メタル膜の膨張不良は、アルミニウム系配線メタル層のパターニングの際に配線メタル層の側壁に付着する付着成分によって、後続のアッシング処理による不動態化が十分に進行せず、層間絶縁膜の成膜時の熱ストレスにより、配線メタル層の側壁に残留していたガス成分が排出するためというものである。   According to the study of the failure mode such as expansion and chipping of the wiring metal film, the following points have been clarified. That is, the expansion failure of the wiring metal film is caused by the fact that the passivation due to the subsequent ashing process does not sufficiently proceed due to the adhering component adhering to the side wall of the wiring metal layer during the patterning of the aluminum-based wiring metal layer. This is because gas components remaining on the side walls of the wiring metal layer are discharged due to thermal stress during the film formation.

一方、配線メタル膜の欠け不良は、配線メタルが層間絶縁膜で覆われた状態で、層間絶縁膜の成膜時または、後の工程の熱ストレスにより、配線メタル層の側壁等の不動態化が不十分な部分のグレイン部において、ストレス緩和が発生する結果、欠けが生成するというものである。   On the other hand, chipping defects in the wiring metal film are caused by passivation of the sidewalls of the wiring metal layer, etc., when the wiring metal is covered with the interlayer insulating film or due to thermal stress in the subsequent process. As a result of stress relaxation occurring in the grain portion of the insufficient portion, chipping is generated.

本願発明は、これらの課題を解決するためになされたものである。   The present invention has been made to solve these problems.

本発明の目的は、信頼性の高い半導体集積回路装置の製造プロセスを提供することにある。   An object of the present invention is to provide a manufacturing process of a highly reliable semiconductor integrated circuit device.

本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。   The following is a brief description of an outline of typical inventions disclosed in the present application.

すなわち、本願の一つの発明は、層間絶縁膜を成膜するプラズマCVDチャンバのウエハ・ステージ上に於いて、アルミニウム系配線メタル膜のパターニングの後であって層間絶縁膜の成膜前に、ウエハのデバイス面に対して、不活性ガスを主要な成分の一つとして含む雰囲気下、アルミニウム系配線メタル膜および層間絶縁膜の成膜温度よりも高いウエハ温度において、プラズマ・アニール処理を実行するものである。   That is, according to one aspect of the present invention, on the wafer stage of the plasma CVD chamber for forming the interlayer insulating film, the wafer is formed after the patterning of the aluminum-based wiring metal film and before the formation of the interlayer insulating film. A plasma annealing process is performed at a wafer temperature higher than the deposition temperature of the aluminum wiring metal film and the interlayer insulating film in an atmosphere containing an inert gas as one of the main components on the device surface of It is.

本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記のとおりである。   The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.

すなわち、層間絶縁膜を成膜するプラズマCVDチャンバのウエハ・ステージ上に於いて、アルミニウム系配線メタル膜のパターニングの後であって層間絶縁膜の成膜前に、ウエハのデバイス面に対して、不活性ガスを主要な成分の一つとして含む雰囲気下、アルミニウム系配線メタル膜および層間絶縁膜の成膜温度よりも高いウエハ温度において、プラズマ・アニール処理を実行することにより、層間絶縁膜の成膜時の熱ストレスにより、配線メタル層の側壁に残留していたガス成分が排出することを防止することができ、その結果、膨張不良を抑制することができる。   That is, on the wafer stage of the plasma CVD chamber for forming the interlayer insulating film, after the patterning of the aluminum-based wiring metal film and before the formation of the interlayer insulating film, In an atmosphere containing an inert gas as one of the main components, plasma annealing is performed at a wafer temperature higher than the deposition temperature of the aluminum-based wiring metal film and the interlayer insulating film, thereby forming the interlayer insulating film. It is possible to prevent the gas component remaining on the side wall of the wiring metal layer from being discharged due to thermal stress during film formation, and as a result, it is possible to suppress poor expansion.

本願の一実施の形態の半導体集積回路装置の製造方法に使用するHDP−CVDチャンバを複数台内蔵するマルチ・チャンバ型ウエハ処理装置の平面レイアウト図である。1 is a plan layout view of a multi-chamber type wafer processing apparatus including a plurality of HDP-CVD chambers used in a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present application. FIG. 図1のHDP−CVDチャンバの正断面図である。FIG. 2 is a front sectional view of the HDP-CVD chamber of FIG. 1. 図2のHDP−CVDチャンバのウエハ冷却システム全体の模式断面構成図である。FIG. 3 is a schematic cross-sectional configuration diagram of the entire wafer cooling system of the HDP-CVD chamber of FIG. 2. 図3のウエハ冷却システムの各セクションが担当するウエハ裏面とウエハ・ステージ間の領域を示すウエハ・ステージの上面図である。FIG. 4 is a top view of the wafer stage showing an area between the wafer back surface and the wafer stage that each section of the wafer cooling system of FIG. 3 takes charge of. 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法によって製造されたデバイスの一般的断面構造図である。1 is a general cross-sectional structure diagram of a device manufactured by a method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層のプロセス・ブロック・フロー図である。It is a process block flow diagram of a unit wiring layer in a method for manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(第1層配線完成時点)である。Device cross-sectional process flow diagram of unit wiring layer (second layer wiring is taken as an example) in the method for manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application (when the first layer wiring is completed) ). 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(下層バリア・メタル膜成膜時点)である。Device cross-sectional process flow diagram (lower layer barrier metal film formation) of unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) of one embodiment of the present application At the time of membrane). 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(アルミニウム系配線金属膜成膜時点)である。Device cross-sectional process flow diagram (aluminum-based wiring metal film formation) of a unit wiring layer (second-layer wiring is taken as an example) in a manufacturing method of a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application At the time of membrane). 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(上層バリア・メタル膜成膜時点)である。Device cross-sectional process flow diagram (upper layer barrier metal film formation) of unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) of one embodiment of the present application At the time of membrane). 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(反射防止膜成膜時点)である。Device cross-sectional process flow diagram (when antireflection film is formed) of a unit wiring layer (second layer wiring is taken as an example) in a method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application ). 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(フォト・レジスト膜塗布時点)である。Device cross-sectional process flow diagram (at time of photo resist film application) of unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) of one embodiment of the present application ). 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(フォト・レジスト膜現像時点)である。Device cross-section process flow diagram (photo resist film development time point) of unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) of one embodiment of the present application ). 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(配線メタル膜ドライ・エッチング完了時点)である。Device cross-sectional process flow diagram (wiring metal film dry etching) of a unit wiring layer (second layer wiring is taken as an example) in a method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application At the time of completion). 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(フォト・レジスト膜アッシング除去完了時点)である。Device cross-sectional process flow diagram (photo resist film ashing removal) of unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application At the time of completion). 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(側壁ポリマ除去時点)である(この図から図21は、図17のX−X’断面に対応する)。FIG. 4 is a device cross-sectional process flow diagram (at the time of removal of the sidewall polymer) of a unit wiring layer (second layer wiring is taken as an example) in a manufacturing method of a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application; (FIG. 21 to FIG. 21 correspond to the XX ′ cross section of FIG. 17). 図16に対応するウエハの上面図である。FIG. 17 is a top view of the wafer corresponding to FIG. 16. 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(HDP−CVD完了時点)である。Device cross-sectional process flow diagram (at the time of completion of HDP-CVD) of a unit wiring layer (second layer wiring is taken as an example) in a method for manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application It is. 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(プラズマTEOS膜成膜時点)である。Device cross-sectional process flow diagram (at the time of plasma TEOS film formation) of a unit wiring layer (second layer wiring is taken as an example) in a method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application ). 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(CMP完了時点)である。FIG. 4 is a device cross-sectional process flow diagram (at the time of completion of CMP) of a unit wiring layer (second layer wiring is taken as an example) in a method for manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application; . 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(キャップ酸化シリコン系膜成膜時点)である。Device cross-sectional process flow diagram (cap silicon oxide film formation) of unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application At the time of membrane). 本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法の要部プロセスである層間膜成膜周辺工程群のプロセス・ブロック・フロー図である。It is a process block flow diagram of an interlayer film forming peripheral process group which is a main process of a manufacturing method of a semiconductor integrated circuit device (a product of aluminum-based normal wiring) according to an embodiment of the present application. 図22のプラズマ・アニール工程の詳細構成の具体例を示すプロセス・ブロック・フロー図である。FIG. 23 is a process block flow diagram showing a specific example of a detailed configuration of the plasma annealing step of FIG. 22. 図22の層間膜成膜周辺工程群におけるウエハ温度の推移を示すウエハ温度変化模式図である。FIG. 23 is a wafer temperature change schematic diagram showing a change in wafer temperature in the interlayer film forming peripheral process group of FIG. 22; 図22の層間膜成膜周辺工程群における各ステップの処理条件等をまとめた図表である。23 is a chart summarizing processing conditions and the like of each step in the interlayer film forming peripheral process group of FIG.

〔実施の形態の概要〕
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。
[Outline of Embodiment]
First, an outline of a typical embodiment of the invention disclosed in the present application will be described.

1.以下の工程を含む半導体集積回路装置の製造方法:
(a)第1のウエハ温度において、ウエハの第1の主面上に、アルミニウム系配線金属膜を含む多層配線金属膜を成膜する工程;
(b)前記ウエハの前記第1の主面上の前記アルミニウム系配線金属膜を含む前記多層配線金属膜をパターニングする工程;
(c)前記工程(a)の後、第1のプラズマCVDチャンバ内のウエハ・ステージ上に、前記ウエハをセットする工程;
(d)前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの前記第1の主面に対して、不活性ガスを主要な成分の一つとして含む第1の雰囲気下、第1のウエハ温度よりも高い第2のウエハ温度において、第1のプラズマ表面処理を実行する工程;
(e)前記工程(d)の後、前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの前記第1の主面に対して、第2のウエハ温度よりも低い第3のウエハ温度において、層間絶縁膜の成膜処理を第1のプラズマCVD処理により、実行する工程。
1. A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) forming a multilayer wiring metal film including an aluminum-based wiring metal film on the first main surface of the wafer at a first wafer temperature;
(B) patterning the multilayer wiring metal film including the aluminum-based wiring metal film on the first main surface of the wafer;
(C) after the step (a), setting the wafer on a wafer stage in a first plasma CVD chamber;
(D) A first atmosphere containing an inert gas as one of main components with respect to the first main surface of the wafer set on the wafer stage in the first plasma CVD chamber. Performing a first plasma surface treatment at a second wafer temperature higher than the first wafer temperature;
(E) After the step (d), the temperature of the first main surface of the wafer set on the wafer stage in the first plasma CVD chamber is lower than the second wafer temperature. A step of performing an interlayer insulating film forming process by a first plasma CVD process at a third wafer temperature.

2.前記1項の半導体集積回路装置の製造方法において、前記第1の雰囲気は、酸素ガスを主要な成分の一つとして含む。   2. In the method for manufacturing a semiconductor integrated circuit device according to the item 1, the first atmosphere includes oxygen gas as one of main components.

3.前記1または2項の半導体集積回路装置の製造方法において、更に以下の工程を含む:
(f)前記工程(c)の後であって、前記工程(d)の前に、前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの前記第1の主面に対して、不活性ガスを主要な成分の一つとして含む第2の雰囲気下、前記第1のウエハ温度よりも低い第4のウエハ温度において、第2のプラズマ表面処理を実行する工程。
3. The method for manufacturing a semiconductor integrated circuit device according to the item 1 or 2 further includes the following steps:
(F) The first main surface of the wafer set on the wafer stage in the first plasma CVD chamber after the step (c) and before the step (d) On the other hand, a step of performing the second plasma surface treatment in a second atmosphere containing an inert gas as one of main components at a fourth wafer temperature lower than the first wafer temperature.

4.前記3項の半導体集積回路装置の製造方法において、前記第2の雰囲気は、実質的に酸素を含まない。   4). In the method of manufacturing a semiconductor integrated circuit device according to the item 3, the second atmosphere does not substantially contain oxygen.

5.前記3または4項の半導体集積回路装置の製造方法において、更に以下の工程を含む:
(g)前記工程(f)の後であって、前記工程(d)の前に、前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの前記第1の主面に対して、不活性ガスおよび酸素ガスを主要な成分の一つとして含む第3の雰囲気下、前記第1のウエハ温度よりも低い第5のウエハ温度において、第3のプラズマ表面処理を実行する工程。
5). The method for manufacturing a semiconductor integrated circuit device according to the item 3 or 4 further includes the following steps:
(G) After the step (f) and before the step (d), the first main surface of the wafer set on the wafer stage in the first plasma CVD chamber On the other hand, the third plasma surface treatment is performed in a third atmosphere containing an inert gas and an oxygen gas as one of the main components at a fifth wafer temperature lower than the first wafer temperature. Process.

6.前記5項の半導体集積回路装置の製造方法において、前記第4のウエハ温度と前記第5のウエハ温度は、ほぼ同一である。   6). In the method of manufacturing a semiconductor integrated circuit device according to the item 5, the fourth wafer temperature and the fifth wafer temperature are substantially the same.

7.前記1から6項のいずれか一つの半導体集積回路装置の製造方法において、更に以下の工程を含む:
(h)前記工程(e)の後に、前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの温度を、前記第3のウエハ温度から、前記第1のウエハ温度よりも低い第6のウエハ温度以下に降下させる工程。
7). The method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 6 further includes the following steps:
(H) After the step (e), the temperature of the wafer set on the wafer stage in the first plasma CVD chamber is changed from the third wafer temperature to the first wafer temperature. Lowering the temperature to a lower sixth wafer temperature or lower.

8.前記7項の半導体集積回路装置の製造方法において、前記第6のウエハ温度は、摂氏200度である。   8). In the method of manufacturing a semiconductor integrated circuit device according to the item 7, the sixth wafer temperature is 200 degrees Celsius.

9.前記7または8項の半導体集積回路装置の製造方法において、前記工程(h)における摂氏300度と摂氏200度の間における平均温度降下速度は、2度/秒以上、5度/秒以下である。   9. 9. In the method of manufacturing a semiconductor integrated circuit device according to the item 7 or 8, the average temperature drop rate between 300 degrees Celsius and 200 degrees Celsius in the step (h) is 2 degrees / second or more and 5 degrees / second or less. .

10.前記7から9項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(h)において、プラズマは点灯状態にある。   10. In the method for manufacturing a semiconductor integrated circuit device according to any one of 7 to 9, the plasma is in a lighting state in the step (h).

11.前記5から10項のいずれか一つの半導体集積回路装置の製造方法において、更に以下の工程を含む:
(i)前記工程(g)の後であって、前記工程(d)の前に、前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの前記第1の主面に対して、不活性ガスを主要な成分の一つとして含む第4の雰囲気下、前記ウエハの温度を、前記第5のウエハ温度から前記第2のウエハ温度へ昇温しながら、第4のプラズマ表面処理を実行する工程。
11. 11. The method for manufacturing a semiconductor integrated circuit device according to any one of 5 to 10 further includes the following steps:
(I) After the step (g) and before the step (d), the first main surface of the wafer set on the wafer stage in the first plasma CVD chamber In contrast, in a fourth atmosphere containing an inert gas as one of the main components, the temperature of the wafer is raised from the fifth wafer temperature to the second wafer temperature. A step of performing plasma surface treatment.

12.前記11項の半導体集積回路装置の製造方法において、前記第4の雰囲気は、酸素ガスを主要な成分の一つとして含む。   12 12. In the method of manufacturing a semiconductor integrated circuit device according to the item 11, the fourth atmosphere includes oxygen gas as one of main components.

13.前記1から12項のいずれか一つの半導体集積回路装置の製造方法において、前記層間絶縁膜は、HDP−CVDによる酸化シリコン系絶縁膜である。   13. 13. In the method of manufacturing a semiconductor integrated circuit device according to any one of items 1 to 12, the interlayer insulating film is a silicon oxide insulating film formed by HDP-CVD.

14.前記1から13項のいずれか一つの半導体集積回路装置の製造方法において、更に以下の工程を含む:
(j)レジスト膜及びポリマ除去を含む前記工程(b)の後であって、前記工程(c)の前に、酸素ガスを主要な成分の一つとして含む第5の雰囲気下、第1のウエハ温度よりも低い第7のウエハ温度において、第5のプラズマ表面処理を実行する工程。
14 14. The method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 13, further including the following steps:
(J) After the step (b) including the removal of the resist film and the polymer, and before the step (c), the first atmosphere is included in a fifth atmosphere containing oxygen gas as one of main components. Performing a fifth plasma surface treatment at a seventh wafer temperature lower than the wafer temperature;

15.前記14項の半導体集積回路装置の製造方法において、前記工程(j)は、前記第1のプラズマCVDチャンバ内では行われない。   15. In the method for manufacturing a semiconductor integrated circuit device according to the item 14, the step (j) is not performed in the first plasma CVD chamber.

16.前記1から15項のいずれか一つの半導体集積回路装置の製造方法において、前記第2のウエハ温度は、前記摂氏350度以上、前記摂氏450度以下である。   16. 16. In the method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 15, the second wafer temperature is not less than 350 degrees Celsius and not more than 450 degrees Celsius.

17.前記3から16項のいずれか一つの半導体集積回路装置の製造方法において、前記第4のウエハ温度は、前記摂氏150度以上、前記摂氏270度以下である。   17. 17. In the method for manufacturing a semiconductor integrated circuit device according to any one of 3 to 16, the fourth wafer temperature is not less than 150 degrees Celsius and not more than 270 degrees Celsius.

18.前記1から17項のいずれか一つの半導体集積回路装置の製造方法において、前記第3のウエハ温度は、前記摂氏320度以上、前記摂氏370度以下である。   18. 18. In the method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 17, the third wafer temperature is not less than 320 degrees Celsius and not more than 370 degrees Celsius.

19.前記3または4項の半導体集積回路装置の製造方法において、更に以下の工程を含む:
(g)前記工程(f)の後であって、前記工程(d)の前に、前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの前記第1の主面に対して、不活性ガスおよび窒素ガスを主要な成分の一つとして含む第3の雰囲気下、前記第1のウエハ温度よりも低い第5のウエハ温度において、第3のプラズマ表面処理を実行する工程。
19. The method for manufacturing a semiconductor integrated circuit device according to the item 3 or 4 further includes the following steps:
(G) After the step (f) and before the step (d), the first main surface of the wafer set on the wafer stage in the first plasma CVD chamber On the other hand, the third plasma surface treatment is performed in a third atmosphere containing inert gas and nitrogen gas as one of the main components, at a fifth wafer temperature lower than the first wafer temperature. Process.

〔本願における記載形式・基本的用語・用法の説明〕
1.本願において、実施の態様の記載は、必要に応じて、便宜上複数のセクションに分けて記載する場合もあるが、特にそうでない旨明示した場合を除き、これらは相互に独立別個のものではなく、単一の例の各部分、一方が他方の一部詳細または一部または全部の変形例等である。また、原則として、同様の部分は繰り返しを省略する。また、実施の態様における各構成要素は、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、必須のものではない。
[Description format, basic terms, usage in this application]
1. In the present application, the description of the embodiment may be divided into a plurality of sections for convenience, if necessary, but these are not independent from each other unless otherwise specified. Each part of a single example, one part is the other part of the details, or part or all of the modifications. Moreover, as a general rule, the same part is not repeated. In addition, each component in the embodiment is not indispensable unless specifically stated otherwise, unless it is theoretically limited to the number, and obviously not in context.

更に、本願において、「半導体集積回路装置」というときは、主に、各種トランジスタ(能動素子)を中心に、抵抗、コンデンサ等を半導体チップ等(たとえば単結晶シリコン基板)上に集積したものをいう。ここで、各種トランジスタの代表的なものとしては、MOSFET(Metal Oxide Semiconductor Field Effect Transistor)に代表されるMISFET(Metal Insulator Semiconductor Field Effect Transistor)を例示することができる。このとき、集積回路構成の代表的なものとしては、Nチャネル型MISFETとPチャネル型MISFETを組み合わせたCMOS(Complemetary Metal Oxide Semiconductor)型集積回路に代表されるCMIS(Complemetary Metal Insulator Semiconductor)型集積回路を例示することができる。   Further, in the present application, the term “semiconductor integrated circuit device” mainly refers to a device in which resistors, capacitors, and the like are integrated on a semiconductor chip or the like (for example, a single crystal silicon substrate) with a focus on various transistors (active elements). . Here, as a representative of various transistors, a MISFET (Metal Insulator Semiconductor Effect Transistor) typified by a MOSFET (Metal Oxide Field Effect Transistor) can be exemplified. At this time, as a typical integrated circuit configuration, a CMIS (Complementary Metal Insulator Semiconductor) integrated circuit represented by a CMOS (Complementary Metal Oxide Semiconductor) integrated circuit combining an N-channel MISFET and a P-channel MISFET. Can be illustrated.

今日の半導体集積回路装置、すなわち、LSI(Large Scale Integration)のウエハ工程は、通常、原材料としてのシリコンウエハの搬入からプリ・メタル(Premetal)工程(M1配線層下端とゲート電極構造の間の層間絶縁膜等の形成、コンタクト・ホール形成、タングステン・プラグ、埋め込み等からなる工程)あたりまでのFEOL(Front End of Line)工程と、M1配線層形成から始まり、アルミニウム系パッド電極上のファイナル・パッシベーション膜へのパッド開口の形成あたりまで(ウエハ・レベル・パッケージ・プロセスにおいては、当該プロセスも含む)のBEOL(Back End of Line)工程に大別できる。   A semiconductor process of today's semiconductor integrated circuit device, that is, an LSI (Large Scale Integration) wafer process, is usually performed from the introduction of a silicon wafer as a raw material to a pre-metal process (interlayer between the lower end of the M1 wiring layer and the gate electrode structure). Starting from the formation of insulating film, contact hole formation, tungsten plug, embedding, etc. (FEOL (Front End of Line) process) and M1 wiring layer formation, final passivation on the aluminum-based pad electrode The process can be roughly divided into BEOL (Back End of Line) processes up to the formation of pad openings in the film (including the process in the wafer level package process).

2.同様に実施の態様等の記載において、材料、組成等について、「AからなるX」等といっても、特にそうでない旨明示した場合および文脈から明らかに、そうでない場合を除き、A以外の要素を主要な構成要素のひとつとするものを排除するものではない。たとえば、成分についていえば、「Aを主要な成分として含むX」等の意味である。たとえば、「シリコン部材」等といっても、純粋なシリコンに限定されるものではなく、SiGe合金やその他シリコンを主要な成分とする多元合金、その他の添加物等を含む部材も含むものであることはいうまでもない。同様に、「酸化シリコン膜」、「酸化シリコン系絶縁膜」等と言っても、比較的純粋な非ドープ酸化シリコン(Undoped Silicon Dioxide)だけでなく、FSG(Fluorosilicate Glass)、TEOSベース酸化シリコン(TEOS-based silicon oxide)、SiOC(Silicon Oxicarbide)またはカーボンドープ酸化シリコン(Carbon-doped Silicon oxide)またはOSG(Organosilicate glass)、PSG(Phosphorus Silicate Glass)、BPSG(Borophosphosilicate Glass)等の熱酸化膜、CVD酸化膜、SOG(Spin ON Glass)、ナノ・クラスタリング・シリカ(Nano-Clustering Silica:NCS)等の塗布系酸化シリコン、これらと同様な部材に空孔を導入したシリカ系Low-k絶縁膜(ポーラス系絶縁膜)、およびこれらを主要な構成要素とする他のシリコン系絶縁膜との複合膜等を含むことは言うまでもない。   2. Similarly, in the description of the embodiment and the like, the material, composition, etc. may be referred to as “X consisting of A”, etc., except when clearly stated otherwise and clearly from the context, except for A It does not exclude what makes an element one of the main components. For example, as for the component, it means “X containing A as a main component”. For example, “silicon member” is not limited to pure silicon, but also includes SiGe alloys, other multi-component alloys containing silicon as a main component, and members containing other additives. Needless to say. Similarly, “silicon oxide film”, “silicon oxide insulating film”, etc. are not only relatively pure undoped silicon oxide (FS), but also FSG (Fluorosilicate Glass), TEOS-based silicon oxide ( Thermal oxide films such as TEOS-based silicon oxide), SiOC (Silicon Oxicarbide) or Carbon-doped Silicon oxide or OSG (Organosilicate glass), PSG (Phosphorus Silicate Glass), BPSG (Borophosphosilicate Glass), CVD Oxide film, SOG (Spin ON Glass), nano-clustering silica (Nano-Clustering Silica: NCS), etc., coating system silicon oxide, silica-based low-k insulating film (porous) with pores introduced in the same material Needless to say, it includes a composite insulating film and other silicon-based insulating films having these as main components.

また、酸化シリコン系絶縁膜と並んで、半導体分野で常用されているシリコン系絶縁膜としては、窒化シリコン系絶縁膜がある。この系統の属する材料としては、SiN,SiCN,SiNH,SiCNH等がある。ここで、「窒化シリコン」というときは、特にそうでない旨明示したときを除き、SiNおよびSiNHの両方を含む。同様に、「SiCN」というときは、特にそうでない旨明示したときを除き、SiCNおよびSiCNHの両方を含む。   In addition to silicon oxide insulating films, silicon nitride insulating films that are commonly used in the semiconductor field include silicon nitride insulating films. Materials belonging to this system include SiN, SiCN, SiNH, SiCNH, and the like. Here, “silicon nitride” includes both SiN and SiNH unless otherwise specified. Similarly, “SiCN” includes both SiCN and SiCNH, unless otherwise specified.

なお、SiCは、SiNと類似の性質を有するが、反射防止膜等に使用されるSiONは、むしろ、酸化シリコン系絶縁膜に分類すべき場合が多い。   SiC has properties similar to those of SiN, but SiON used for an antireflection film or the like should rather be classified as a silicon oxide insulating film in many cases.

窒化シリコン膜は、SAC(Self−Aligned Contact)技術におけるエッチ・ストップ膜として、多用されるほか、SMT(Stress Memorization Technique)における応力付与膜としても使用される。   The silicon nitride film is frequently used as an etch stop film in SAC (Self-Aligned Contact) technology, and also used as a stress applying film in SMT (Stress Memory Technique).

同様に、「アルミニウム配線」、「アルミニウム系配線金属」等といっても、通常、高純度のアルミニウムのみではなく、0.5から5重量%程度の銅、シリコン、その他の添加物が添加されている。また、上下に複数の補助メタル層(さらに付加的な絶縁性反射防止膜等)を有するのが普通である。   Similarly, “aluminum wiring”, “aluminum-based wiring metal”, etc., usually contain not only high-purity aluminum but also 0.5 to 5% by weight of copper, silicon, and other additives. ing. In addition, it is common to have a plurality of auxiliary metal layers (further additional insulating antireflection films and the like) above and below.

さらに、ガス組成についても、「不活性ガス雰囲気」といっても、特にそうでない旨明示した場合を除き、アルゴンやヘリウムのみではなく、他の汎用添加ガス、すなわち、水素、酸素、窒素等の添加や含有を許容する。   Furthermore, as for the gas composition, “inert gas atmosphere” is not limited to argon or helium, but other general-purpose additive gases, that is, hydrogen, oxygen, nitrogen, etc. Addition and inclusion are allowed.

3.同様に、図形、位置、属性等に関して、好適な例示をするが、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、厳密にそれに限定されるものではないことは言うまでもない。   3. Similarly, suitable examples of graphics, positions, attributes, and the like are given, but it is needless to say that the present invention is not strictly limited to those cases unless explicitly stated otherwise, and unless otherwise apparent from the context.

4.さらに、特定の数値、数量に言及したときも、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、その特定の数値を超える数値であってもよいし、その特定の数値未満の数値でもよい。   4). In addition, when a specific number or quantity is mentioned, a numerical value exceeding that specific number will be used unless specifically stated otherwise, unless theoretically limited to that number, or unless otherwise clearly indicated by the context. There may be a numerical value less than the specific numerical value.

5.「ウエハ」というときは、通常は半導体集積回路装置(半導体装置、電子装置も同じ)をその上に形成する単結晶シリコンウエハを指すが、エピタキシャルウエハ、SOI基板、LCDガラス基板等の絶縁基板と半導体層等の複合ウエハ等も含むことは言うまでもない。   5. “Wafer” usually refers to a single crystal silicon wafer on which a semiconductor integrated circuit device (same as a semiconductor device and an electronic device) is formed, but an insulating substrate such as an epitaxial wafer, an SOI substrate, an LCD glass substrate and the like. Needless to say, a composite wafer such as a semiconductor layer is also included.

6.「ウエハ温度」は、パイロ・メータによって測定したウエハの温度である。「ステージ温度」とは、ウエハを載せたウエハ・ステージの設定温度である。なお、加熱においては、ステージ温度は、一般にウエハ温度よりも若干低い。以下の実施の形態に使用するウエハ処理装置では、ステージ自体には加熱温調機構はなく、加熱はもっぱらウエハ上方のプラズマからの熱による。ウエハの温度は、このプラズマからの熱とウエハ下方から供給される冷却用ヘリウム・ガス流のバランスによって制御されている。   6). “Wafer temperature” is the temperature of the wafer measured by a pyrometer. The “stage temperature” is a set temperature of the wafer stage on which the wafer is placed. In heating, the stage temperature is generally slightly lower than the wafer temperature. In the wafer processing apparatus used in the following embodiments, the stage itself does not have a heating temperature adjustment mechanism, and the heating is solely based on the heat from the plasma above the wafer. The temperature of the wafer is controlled by the balance between the heat from the plasma and the cooling helium gas flow supplied from below the wafer.

7.「プラズマ表面処理」とは、ウエハをプラズマ反応室に導入して、プラズマによって励起された活性種によって、ウエハの表面又はその近傍に対して、物理的又は化学的処理をすることを言う。具体的には、プラズマ・クリーニング、プラズマ酸化、プラズマ窒化、プラズマ還元、プラズマ・アニーリング等がその典型である。HDP−CVDは、「プラズマ処理」または「プラズマ成膜処理」であるが、ここで言う「プラズマ表面処理」ではない。   7. “Plasma surface treatment” means that a wafer is introduced into a plasma reaction chamber, and a physical or chemical treatment is performed on the surface of the wafer or the vicinity thereof by active species excited by plasma. Specifically, plasma cleaning, plasma oxidation, plasma nitridation, plasma reduction, plasma annealing and the like are typical. HDP-CVD is “plasma treatment” or “plasma film formation treatment”, but is not “plasma surface treatment” here.

なお、プラズマ処理における雰囲気については、主要なもののみを記載したが、その他にプロセスの邪魔にならない限り(安全及び特性の劣化のない限り)種々のガスの添加が可能である。これらの点を前提に、種々の目的のために、窒素、酸素、水素、不活性ガス等の添加は一般に許容される。   Although only the main atmosphere has been described in the plasma treatment, various gases can be added as long as they do not interfere with the process (unless safety and characteristics are deteriorated). Given these points, addition of nitrogen, oxygen, hydrogen, inert gas, etc. is generally allowed for various purposes.

〔実施の形態の詳細〕
実施の形態について更に詳述する。各図中において、同一または同様の部分は同一または類似の記号または参照番号で示し、説明は原則として繰り返さない。
[Details of the embodiment]
The embodiment will be further described in detail. In the drawings, the same or similar parts are denoted by the same or similar symbols or reference numerals, and description thereof will not be repeated in principle.

また、添付図面においては、却って、煩雑になる場合または空隙との区別が明確である場合には、断面であってもハッチング等を省略する場合がある。これに関連して、説明等から明らかである場合等には、平面的に閉じた孔であっても、背景の輪郭線を省略する場合がある。更に、断面でなくとも、空隙でないことを明示するために、ハッチングを付すことがある。   In the accompanying drawings, hatching or the like may be omitted even in a cross section when it becomes complicated or when the distinction from the gap is clear. In relation to this, when it is clear from the description etc., the contour line of the background may be omitted even if the hole is planarly closed. Furthermore, even if it is not a cross section, it may be hatched to clearly indicate that it is not a void.

1.本願の一実施の形態の半導体集積回路装置の製造方法に使用するHDP−CVD装置等の説明(主に図1から図4)
まず、本願の一実施の形態の半導体集積回路装置の製造方法の要部プロセスである層間膜成膜周辺工程群において使用するHDP−CVD装置を説明する。
1. Description of HDP-CVD apparatus used for manufacturing method of semiconductor integrated circuit device according to one embodiment of the present application (mainly FIGS. 1 to 4)
First, an HDP-CVD apparatus used in an interlayer film deposition peripheral process group which is a main process of the method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present application will be described.

図1は本願の一実施の形態の半導体集積回路装置の製造方法に使用するHDP−CVDチャンバを複数台内蔵するマルチ・チャンバ型ウエハ処理装置の平面レイアウト図である。図2は図1のHDP−CVDチャンバの正断面図である。図3は図2のHDP−CVDチャンバのウエハ冷却システム全体の模式断面構成図である。図4は図3のウエハ冷却システムの各セクションが担当するウエハ裏面とウエハ・ステージ間の領域を示すウエハ・ステージの上面図である。   FIG. 1 is a plan layout view of a multi-chamber type wafer processing apparatus incorporating a plurality of HDP-CVD chambers used in a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present application. FIG. 2 is a front sectional view of the HDP-CVD chamber of FIG. FIG. 3 is a schematic cross-sectional configuration diagram of the entire wafer cooling system of the HDP-CVD chamber of FIG. FIG. 4 is a top view of the wafer stage showing the area between the wafer back surface and the wafer stage that each section of the wafer cooling system of FIG. 3 takes charge of.

まず、図1に基づいて、マルチ・チャンバ型ウエハ処理装置51の平面レイアウトを説明する。図1に示すように、マルチ・チャンバ型ウエハ処理装置51には、複数のロードポート52が設けられており、そこには、複数のウエハ1を収容したフープ(密閉型ウエハ搬送容器)53をセットできるようになっている。ロードポート52にセットされたフープ53は、局所清浄室54とドッキングされ、フープ53内のウエハ1は、局所清浄室54内のロード・アンロード用ロボット59によって、複数のロードロック室55のいずれかを通して、真空搬送室56内の真空搬送ロボット58に受け渡される。真空搬送ロボット58は、受け取ったウエハ1をプラズマ処理室(プラズマCVDチャンバ)57a,57b,57c(各チャンバは、ほぼ同一の機能を有する)のいずれかに導入する。一連の処理121(図22)が完了すると、ウエハ1は導入時と逆の経路をたどり、フープ53に戻される。   First, a planar layout of the multi-chamber type wafer processing apparatus 51 will be described with reference to FIG. As shown in FIG. 1, a multi-chamber type wafer processing apparatus 51 is provided with a plurality of load ports 52, in which a hoop (sealed wafer transfer container) 53 containing a plurality of wafers 1 is provided. It can be set. The hoop 53 set in the load port 52 is docked with the local cleaning chamber 54, and the wafer 1 in the hoop 53 is placed in any of the plurality of load lock chambers 55 by the load / unload robot 59 in the local cleaning chamber 54. Then, the vacuum is transferred to the vacuum transfer robot 58 in the vacuum transfer chamber 56. The vacuum transfer robot 58 introduces the received wafer 1 into any of the plasma processing chambers (plasma CVD chambers) 57a, 57b, 57c (each chamber has substantially the same function). When the series of processing 121 (FIG. 22) is completed, the wafer 1 follows a path opposite to that at the time of introduction and is returned to the FOUP 53.

次に、図2に基づいて、図1におけるプラズマ処理室57a(他のチャンバも構造および機能はほぼ同一である)の構造及び機能の概要を説明する。図2に示すように、プラズマ処理室57aの外壁61は、上部のドーム上の部分が、ほぼセラミック製であり、中間から下の基体部分がステンレス又はアルミニウムを主要な成分とする金属等から構成されている。ウエハ1は、ウエハ出し入れ用ゲート71から導入されて、プラズマ処理室57a内のウエハ・ステージ(下部電極)62上に、そのデバイス面1a(第1の主面)を上にしてセットされる。下部電極62には、ウエハ側バイアス用コンデンサ64を介して、ウエハ側バイアス用高周波電源63(たとえば、13.56MHz)が接続されている。また、ウエハ1の裏面を冷却するためのヘリウム冷却系制御部81から冷却用ヘリウム・ガスが供給されるようになっている。ウエハ・ステージ62の周辺には、反応ガス等を供給するガス導入ノズル69が複数個設けられている。ウエハ・ステージ62の上方には、プラズマ41が生成される部分があり、外壁61のセラミック製のドーム上の部分の外部には、プラズマ励起用サイド・アンテナ66およびプラズマ励起用トップ・アンテナ68が設けられており、それぞれサイド・アンテナ用高周波電源65(たとえば、2MHz)およびトップ・アンテナ用高周波電源68(たとえば、2MHz)に接続されている。ウエハ・ステージ62の下方には、主排気ゲート・バルブ70と真空排気系の一部をなすターボ分子ポンプ73が設けられており、主排気管72によりドライ粗引きポンプ74に連結されている。また、この主排気路とパラレルに予備排気管75、予備排気バルブ76等からなる予備排気路が設けられている。また、プラズマ処理室57aの上部外部には、クリーニング用リモート・プラズマ励起室77が設けられており、ここで励起された弗素の活性種等がクリーニング用ガス搬送管78を通してプラズマ処理室57a内に搬送されるようになっている。なお、プラズマ処理室57aの上端部内部に設けられているのは、ガス導入バッフル79である。   Next, the outline of the structure and function of the plasma processing chamber 57a in FIG. 1 (the other chambers have substantially the same structure and function) will be described with reference to FIG. As shown in FIG. 2, the outer wall 61 of the plasma processing chamber 57a has a portion on the upper dome substantially made of ceramic, and the base portion from the middle to the bottom is made of metal or the like whose main component is stainless steel or aluminum. Has been. The wafer 1 is introduced from the wafer loading / unloading gate 71 and set on the wafer stage (lower electrode) 62 in the plasma processing chamber 57a with its device surface 1a (first main surface) facing up. The lower electrode 62 is connected to a wafer-side bias high-frequency power source 63 (for example, 13.56 MHz) via a wafer-side bias capacitor 64. A cooling helium gas is supplied from a helium cooling system control unit 81 for cooling the back surface of the wafer 1. A plurality of gas introduction nozzles 69 for supplying a reaction gas and the like are provided around the wafer stage 62. Above the wafer stage 62, there is a portion where the plasma 41 is generated, and outside the portion of the outer wall 61 on the ceramic dome, there are a plasma excitation side antenna 66 and a plasma excitation top antenna 68. The antenna is connected to a side antenna high-frequency power source 65 (for example, 2 MHz) and a top antenna high-frequency power source 68 (for example, 2 MHz). Below the wafer stage 62, a main exhaust gate valve 70 and a turbo molecular pump 73 forming a part of the vacuum exhaust system are provided, and are connected to a dry roughing pump 74 by a main exhaust pipe 72. Further, a preliminary exhaust path including a preliminary exhaust pipe 75, a preliminary exhaust valve 76, and the like is provided in parallel with the main exhaust path. Further, a cleaning remote plasma excitation chamber 77 is provided outside the upper portion of the plasma processing chamber 57a. The activated fluorine species and the like excited here enter the plasma processing chamber 57a through the cleaning gas transfer pipe 78. It is designed to be transported. A gas introduction baffle 79 is provided in the upper end portion of the plasma processing chamber 57a.

次に、図3及び図4に基づいて、図2のヘリウム冷却系等について説明する。図3及び図4に示すように、ヘリウム冷却系は、ウエハ1の裏面1bにヘリウム・ガス(たとえば摂氏80度程度の)を供給してウエハを冷却することにより、プラズマ41からの熱とのバランスで、ウエハ1を所望の温度に制御するシステムである。ヘリウム・ガス源82から供給されたヘリウム・ガスは、ヘリウム冷却系制御部81内のウエハ内部領域ヘリウム・ガス圧力制御系83およびウエハエッジ領域ヘリウム・ガス圧力制御系84において所望の圧力に調整され、それぞれウエハ内部領域ヘリウム・ガス供給バルブ85およびウエハエッジ領域ヘリウム・ガス供給バルブ86を介して、2系統の供給管であるウエハ内部領域ヘリウム・ガス供給管87およびウエハエッジ領域ヘリウム・ガス供給管88に供給される。ウエハ内部領域ヘリウム・ガス供給管87は、ウエハ・ステージとウエハ裏面間のウエハ内部領域93にヘリウム・ガスを供給するようになっており、ウエハエッジ領域ヘリウム・ガス供給管88はウエハ・ステージとウエハ裏面間のウエハエッジ領域94にヘリウム・ガスを供給するようになっている。   Next, the helium cooling system shown in FIG. 2 will be described with reference to FIGS. As shown in FIGS. 3 and 4, the helium cooling system supplies helium gas (for example, about 80 degrees Celsius) to the back surface 1 b of the wafer 1 to cool the wafer, thereby reducing the heat from the plasma 41. This is a system that controls the wafer 1 to a desired temperature in a balanced manner. The helium gas supplied from the helium gas source 82 is adjusted to a desired pressure in the wafer inner region helium gas pressure control system 83 and the wafer edge region helium gas pressure control system 84 in the helium cooling system control unit 81. Supplied to a wafer internal region helium gas supply pipe 87 and a wafer edge region helium gas supply pipe 88, which are two systems, through a wafer internal region helium gas supply valve 85 and a wafer edge region helium gas supply valve 86, respectively. Is done. The wafer inner area helium gas supply pipe 87 supplies helium gas to the wafer inner area 93 between the wafer stage and the wafer back surface, and the wafer edge area helium gas supply pipe 88 is supplied between the wafer stage and the wafer. Helium gas is supplied to the wafer edge region 94 between the back surfaces.

また、ウエハ・ステージ62には、ウエハ1を静電的に吸着する静電チャック91が設けられている。   The wafer stage 62 is provided with an electrostatic chuck 91 that electrostatically attracts the wafer 1.

2.本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法によって製造されたデバイスの一般的断面構造の説明(主に図5)
セクション3及び4の理解を容易にするために、本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法によって製造されたデバイスの一般的断面構造(ファイナル・パッシベーション膜形成時点)の概要を説明する。ここでは、説明の都合上、4層配線について具体的に説明するが、一般に、3層から11層程度の配線構成が汎用されている。
2. Description of a general cross-sectional structure of a device manufactured by a method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application (mainly FIG. 5)
In order to facilitate understanding of sections 3 and 4, a general cross-sectional structure (final passivation film) of a device manufactured by the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application The outline of the formation time) will be described. Here, for convenience of explanation, the four-layer wiring will be specifically described, but generally a wiring configuration of about three to eleven layers is widely used.

図5は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法によって製造されたデバイスの一般的断面構造図(たとえば、300nmテクノロジ・ノード製品)である。   FIG. 5 is a general cross-sectional structure diagram (for example, a 300 nm technology node product) of a device manufactured by the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application.

図5に示すように、半導体ウエハ(P型単結晶シリコン・ウエハ)1のデバイス面1a(裏面1bの反対の面)側表面領域には、MISFETのソース・ドレイン領域8(不純物ドープ領域)、複数のMISFETを電気的に分離するSTI(Shallow Trench Isolation)等が設けられている。ウエハ1のデバイス面1a(第1の主面)上には、MISFETのゲート電極構造9が形成されており、これを覆うように酸化シリコン系膜を主要な構成要素とするプリ・メタル絶縁膜20が設けられている。プリ・メタル絶縁膜20内には、タングステン系コンタクト・プラグ40およびコンタクト・プラグ用バリア・メタル22が埋め込まれている。このプリ・メタル絶縁膜20上には、4層の配線層、すなわち、第1層アルミニウム系配線層M1、第2層アルミニウム系配線層M2、第3層アルミニウム系配線層M3、および第4層アルミニウム系配線層M4が順次形成されている。   As shown in FIG. 5, in the surface region of the semiconductor wafer (P-type single crystal silicon wafer) 1 on the device surface 1a (surface opposite to the back surface 1b), the source / drain regions 8 (impurity doped regions) of the MISFET, An STI (Shallow Trench Isolation) that electrically isolates a plurality of MISFETs is provided. A MISFET gate electrode structure 9 is formed on the device surface 1a (first main surface) of the wafer 1, and a pre-metal insulating film having a silicon oxide-based film as a main component so as to cover the gate electrode structure 9 is formed. 20 is provided. A tungsten-based contact plug 40 and a contact plug barrier metal 22 are embedded in the pre-metal insulating film 20. On this pre-metal insulating film 20, there are four wiring layers, that is, a first layer aluminum-based wiring layer M1, a second layer aluminum-based wiring layer M2, a third layer aluminum-based wiring layer M3, and a fourth layer. Aluminum-based wiring layers M4 are sequentially formed.

第1層アルミニウム系配線層M1には、下層バリア・メタル膜(たとえばTiN膜)、アルミニウム系配線金属膜、上層バリア・メタル膜(たとえばTiN膜)等からなる第1層多層配線金属膜13が設けられており、それを覆うように第1層配線領域HDP−CVD酸化シリコン系層間絶縁膜24、第1層配線領域プラズマTEOS酸化シリコン系層間絶縁膜25、第1層配線領域プラズマTEOS酸化シリコン系キャップ絶縁膜26等からなる第1層層間絶縁膜が形成されている。第1層層間絶縁膜内には、第1層配線領域タングステン・プラグ15および第1層配線領域タングステン・プラグのバリア・メタル膜16が埋め込まれている。第1層多層配線金属膜13上を覆うのは、第1層配線用反射防止膜(CVD−SiON膜)44である。   The first-layer aluminum wiring layer M1 includes a first-layer multilayer wiring metal film 13 made of a lower barrier metal film (eg, TiN film), an aluminum wiring metal film, an upper barrier metal film (eg, TiN film), or the like. A first layer wiring region HDP-CVD silicon oxide-based interlayer insulating film 24, a first layer wiring region plasma TEOS silicon oxide-based interlayer insulating film 25, and a first layer wiring region plasma TEOS silicon oxide are provided so as to cover it. A first interlayer insulating film made of the system cap insulating film 26 or the like is formed. A first layer wiring region tungsten plug 15 and a barrier metal film 16 of the first layer wiring region tungsten plug are embedded in the first layer interlayer insulating film. Covering the first-layer multilayer wiring metal film 13 is a first-layer wiring antireflection film (CVD-SiON film) 44.

第2層アルミニウム系配線層M2には、下層バリア・メタル膜2(たとえばTiN膜)、アルミニウム系配線金属膜5、上層バリア・メタル膜6(たとえばTiN膜)等からなる第2層多層配線金属膜3が設けられており、それを覆うように第2層配線領域HDP−CVD酸化シリコン系層間絶縁膜14、第1層配線領域プラズマTEOS酸化シリコン系層間絶縁膜7、第1層配線領域プラズマTEOS酸化シリコン系キャップ絶縁膜11等からなる第2層層間絶縁膜が形成されている。第2層層間絶縁膜内には、第2層配線領域タングステン・プラグ27および第2層配線領域タングステン・プラグのバリア・メタル膜28が埋め込まれている。第2層多層配線金属膜23上を覆うのは、第2層配線用反射防止膜(CVD−SiON膜)18である。   The second-layer aluminum-based wiring layer M2 includes a second-layer multilayer wiring metal made of a lower barrier metal film 2 (for example, TiN film), an aluminum-based wiring metal film 5, an upper-layer barrier metal film 6 (for example, TiN film), etc. A film 3 is provided, and a second layer wiring region HDP-CVD silicon oxide based interlayer insulating film 14, a first layer wiring region plasma TEOS silicon oxide based interlayer insulating film 7, a first layer wiring region plasma are provided so as to cover the film 3 A second-layer interlayer insulating film made of a TEOS silicon oxide-based cap insulating film 11 or the like is formed. A second layer wiring region tungsten plug 27 and a barrier metal film 28 of the second layer wiring region tungsten plug are embedded in the second layer interlayer insulating film. Covering the second layer multilayer wiring metal film 23 is a second layer wiring antireflection film (CVD-SiON film) 18.

第3層アルミニウム系配線層M3には、下層バリア・メタル膜(たとえばTiN膜)、アルミニウム系配線金属膜、上層バリア・メタル膜(たとえばTiN膜)等からなる第3層多層配線金属膜33が設けられており、それを覆うように第3層配線領域HDP−CVD酸化シリコン系層間絶縁膜35、第3層配線領域プラズマTEOS酸化シリコン系層間絶縁膜36、第3層配線領域プラズマTEOS酸化シリコン系キャップ絶縁膜37等からなる第3層層間絶縁膜が形成されている。第3層層間絶縁膜内には、第3層配線領域タングステン・プラグ32および第3層配線領域タングステン・プラグのバリア・メタル膜34が埋め込まれている。第3層多層配線金属膜33上を覆うのは、第3層配線用反射防止膜(CVD−SiON膜)45である。   The third-layer aluminum wiring layer M3 includes a third-layer multilayer wiring metal film 33 made of a lower barrier metal film (for example, TiN film), an aluminum-based wiring metal film, an upper barrier metal film (for example, TiN film), or the like. A third-layer wiring region HDP-CVD silicon oxide-based interlayer insulating film 35, a third-layer wiring region plasma TEOS-silicon oxide-based interlayer insulating film 36, and a third-layer wiring region plasma TEOS-silicon oxide are provided so as to cover them. A third interlayer insulating film made of a system cap insulating film 37 or the like is formed. A third layer wiring region tungsten plug 32 and a barrier metal film 34 of the third layer wiring region tungsten plug are buried in the third layer interlayer insulating film. Covering the third-layer multilayer wiring metal film 33 is a third-layer wiring antireflection film (CVD-SiON film) 45.

第4層アルミニウム系配線層M4には、下層バリア・メタル膜(たとえばTiN膜)、アルミニウム系配線金属膜、上層バリア・メタル膜(たとえばTiN膜)等からなる第4層多層配線金属膜43が設けられており、それを覆うように第4層配線領域HDP−CVD酸化シリコン系層内絶縁膜38、第4層配線領域プラズマTEOS酸化シリコン系層内絶縁膜39、ファイナル・パッシベーション膜17等からなる最上層絶縁膜が形成されている。第4層多層配線金属膜43上を覆うのは、第4層配線用反射防止膜(CVD−SiON膜)46である。   The fourth-layer aluminum wiring layer M4 includes a fourth-layer multilayer wiring metal film 43 made of a lower barrier metal film (for example, TiN film), an aluminum-based wiring metal film, an upper-layer barrier metal film (for example, TiN film), or the like. The fourth layer wiring region HDP-CVD silicon oxide based in-layer insulating film 38, the fourth layer wiring region plasma TEOS silicon oxide based in-layer insulating film 39, the final passivation film 17 and the like are provided so as to cover it. An uppermost insulating film is formed. Covering the fourth-layer multilayer wiring metal film 43 is a fourth-layer wiring antireflection film (CVD-SiON film) 46.

3.本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層のデバイス断面プロセス・フローの説明(主に図6から図21)
ここでは、本願の一実施の形態の半導体集積回路装置の製造方法における単位配線層(第2層配線を例にとって、ビア層及び配線層のうち、特にその配線層部分を中心に説明する。)のデバイス断面プロセス・フローを説明する。なお、第2層配線以外にも、ほぼ、そのまま適用できることは言うまでもない。
3. Description of device cross-sectional process flow of unit wiring layer in manufacturing method of semiconductor integrated circuit device (aluminum-based normal wiring product) of one embodiment of the present application (mainly FIGS. 6 to 21)
Here, the unit wiring layer in the manufacturing method of the semiconductor integrated circuit device according to the embodiment of the present application (the second layer wiring is taken as an example, and the wiring layer portion of the via layer and the wiring layer will be described mainly). The device cross-section process flow will be described. Needless to say, the present invention can be applied as it is except for the second layer wiring.

図6は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層のプロセス・ブロック・フロー図である。図7は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(第1層配線完成時点)である。図8は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(下層バリア・メタル膜成膜時点)である。図9は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(アルミニウム系配線金属膜成膜時点)である。図10は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(上層バリア・メタル膜成膜時点)である。図11は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(反射防止膜成膜時点)である。図12は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(フォト・レジスト膜塗布時点)である。図13は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(フォト・レジスト膜現像時点)である。図14は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(配線メタル膜ドライ・エッチング完了時点)である。図15本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(フォト・レジスト膜アッシング除去完了時点)である。図16は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(側壁ポリマ除去時点)である(この図から図21は、図17のX−X’断面に対応する)。図17は図16に対応するウエハの上面図である。図18は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(HDP−CVD完了時点)である。図19は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(プラズマTEOS膜成膜時点)である。図20は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(CMP完了時点)である。図21本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法における単位配線層(第2層配線を例にとる)のデバイス断面プロセス・フロー図(キャップ酸化シリコン系膜成膜時点)である。   FIG. 6 is a process block flow diagram of a unit wiring layer in a method for manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. FIG. 7 is a device cross-sectional process flow diagram (first layer) of a unit wiring layer (second layer wiring is taken as an example) in a method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. Wiring completion). FIG. 8 is a device cross-sectional process flow diagram of the unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application (lower layer barrier (When the metal film is formed). FIG. 9 is a device cross-sectional process flow diagram (aluminum-based wiring) of a unit wiring layer (second-layer wiring is taken as an example) in the manufacturing method of a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. Metal film formation time). FIG. 10 is a device cross-sectional process flow diagram (upper barrier barrier layer) of a unit wiring layer (second layer wiring is taken as an example) in the manufacturing method of a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. (When the metal film is formed). FIG. 11 is a device cross-sectional process flow diagram (antireflection film) of a unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. At the time of film formation). FIG. 12 is a device cross-sectional process flow diagram (photo resist) of a unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. Film application time). FIG. 13 is a device cross-sectional process flow diagram (photo resist) of a unit wiring layer (second layer wiring is taken as an example) in the manufacturing method of a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. Film development time). FIG. 14 is a device cross-sectional process flow diagram (wiring metal film) of a unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. (When dry etching is completed). FIG. 15 Device cross-sectional process flow diagram (photo resist film) of unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) of one embodiment of the present application Ashing removal completion time). FIG. 16 is a device cross-sectional process flow diagram (side wall polymer removal) of a unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. (FIG. 21 to FIG. 21 correspond to the XX ′ cross section of FIG. 17). FIG. 17 is a top view of the wafer corresponding to FIG. FIG. 18 is a device cross-sectional process flow diagram (HDP-CVD) of a unit wiring layer (second layer wiring is taken as an example) in a manufacturing method of a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. At the time of completion). FIG. 19 is a device cross-sectional process flow diagram (plasma TEOS film) of a unit wiring layer (second layer wiring is taken as an example) in a method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. At the time of film formation). FIG. 20 is a device cross-sectional process flow chart (at the time of completion of CMP) of a unit wiring layer (second layer wiring is taken as an example) in the method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. ). FIG. 21 is a device cross-sectional process flow diagram (cap silicon oxide type) of a unit wiring layer (second layer wiring is taken as an example) in a method of manufacturing a semiconductor integrated circuit device (aluminum-based normal wiring product) according to an embodiment of the present application. At the time of film formation).

図7にプリメタル絶縁膜および第1層アルミニウム系配線層を含む下層絶縁膜10(図5のプリ・メタル絶縁膜20および第1層アルミニウム系配線層M1を含む)が完成した時点のデバイス断面構造を示す(図6の下部の層間絶縁膜形成工程101)。   FIG. 7 shows a device cross-sectional structure when the lower insulating film 10 (including the pre-metal insulating film 20 and the first aluminum wiring layer M1 in FIG. 5) including the premetal insulating film and the first aluminum wiring layer is completed. (Step of forming interlayer insulating film 101 in the lower part of FIG. 6).

次に、図8に示すように、まず、ウエハ1の表面1aのほぼ全面の下層絶縁膜10上のほぼ全面に、第2層配線領域下層バリア・メタル膜2(たとえば、厚さ15nm程度の下層チタン膜、厚さ20nm程度の下層窒化チタン膜等からなる)をスパッタリングにより形成する。このスパッタリングの際にウエハ1を載置するウエハ・ステージの温度は、たとえば、摂氏300度程度(第1のウエハ温度)である。   Next, as shown in FIG. 8, first, the second layer wiring region lower layer barrier metal film 2 (for example, about 15 nm thick) is formed on almost the entire surface of the lower insulating film 10 on the almost entire surface 1a of the wafer 1. A lower titanium film, a lower titanium nitride film having a thickness of about 20 nm, etc.) are formed by sputtering. The temperature of the wafer stage on which the wafer 1 is placed during the sputtering is, for example, about 300 degrees Celsius (first wafer temperature).

次に、図9に示すように、ウエハ1の表面1aのほぼ全面の第2層配線領域下層バリア・メタル膜2上のほぼ全面に、第2層配線領域アルミニウム系配線金属膜5(たとえば、厚さ250nm程度のアルミニウムを主要な成分とし、たとえば1重量%程度の銅を添加)をスパッタリングにより形成する。このスパッタリングの際にウエハ1を載置するウエハ・ステージの温度は、たとえば、摂氏300度程度である。   Next, as shown in FIG. 9, the second-layer wiring region aluminum-based wiring metal film 5 (for example, on the almost entire surface of the second-layer wiring region lower layer barrier metal film 2 on the almost entire surface 1a of the wafer 1 Aluminum having a thickness of about 250 nm as a main component, for example, adding about 1% by weight of copper) is formed by sputtering. The temperature of the wafer stage on which the wafer 1 is placed during the sputtering is, for example, about 300 degrees Celsius.

次に、図10に示すように、ウエハ1の表面1aのほぼ全面のアルミニウム系配線金属膜5上のほぼ全面に、第2層配線領域上層バリア・メタル膜6(たとえば、厚さ5nm程度の下層チタン膜、厚さ50nm程度の下層窒化チタン膜等からなる)をスパッタリングにより形成する(以上、図6の配線膜形成工程102)。このスパッタリングの際にウエハ1を載置するウエハ・ステージの温度は、たとえば、摂氏300度程度である。この第2層配線領域下層バリア・メタル膜2、第2層配線領域アルミニウム系配線金属膜5、第2層配線領域上層バリア・メタル膜6等で、第2層多層配線金属膜3を構成する。   Next, as shown in FIG. 10, the second-layer wiring region upper layer barrier metal film 6 (for example, about 5 nm thick) is formed on almost the entire surface of the aluminum-based wiring metal film 5 on the almost entire surface 1a of the wafer 1. A lower titanium film, a lower titanium nitride film having a thickness of about 50 nm, etc.) is formed by sputtering (the wiring film forming step 102 in FIG. 6). The temperature of the wafer stage on which the wafer 1 is placed during the sputtering is, for example, about 300 degrees Celsius. The second layer wiring region lower layer barrier metal film 2, the second layer wiring region aluminum-based wiring metal film 5, the second layer wiring region upper layer barrier metal film 6 and the like constitute the second layer multilayer wiring metal film 3. .

次に、図11に示すように、ウエハ1の表面1aのほぼ全面のバリア・メタル膜6上のほぼ全面に、たとえば厚さ30nm程度の第2層配線用反射防止膜18(プラズマCVD−SiON膜)を形成する(図6の反射防止膜形成工程103)。この成膜条件として、たとえば、ガス流量SiH/NO/He=140sccm/600sccm/750sccm程度、高周波パワー100ワット程度、気圧300パスカル程度、ウエハ温度摂氏400度程度を例示することができる。 Next, as shown in FIG. 11, a second-layer wiring antireflection film 18 (plasma CVD-SiON) having a thickness of, for example, about 30 nm is formed on almost the entire surface of the barrier metal film 6 on almost the entire surface 1a of the wafer 1. Film) (an antireflection film forming step 103 in FIG. 6). Examples of the film forming conditions include a gas flow rate of SiH 4 / N 2 O / He = 140 sccm / 600 sccm / 750 sccm, a high-frequency power of about 100 watts, an atmospheric pressure of about 300 Pascal, and a wafer temperature of about 400 degrees Celsius.

次に、図12に示すように、ウエハ1の表面1aのほぼ全面の反射防止膜18上のほぼ全面に、フォト・レジスト膜19を塗布する(図6のレジスト塗布工程104)。続いて、図13に示すように、光リソグラフィ等(KrF,ArF,EUV等)により、フォト・レジスト膜19をパターニングする(図6のリソグラフィ工程105)。   Next, as shown in FIG. 12, a photo resist film 19 is applied on almost the entire surface of the antireflection film 18 on almost the entire surface 1a of the wafer 1 (resist coating step 104 in FIG. 6). Subsequently, as shown in FIG. 13, the photoresist film 19 is patterned by photolithography or the like (KrF, ArF, EUV, etc.) (lithography step 105 in FIG. 6).

次に、図14に示すように、パターニングされたフォト・レジスト膜19をマスクとして、ドライ・エッチングを実行すると、(反射防止膜18を含めて)第2層多層配線金属膜3がパターニングされる(図6の多層配線金属膜エッチング工程106)。このとき、パターニングされた第2層多層配線金属膜3等の側壁には、側壁ポリマ膜21が形成される。このドライ・エッチング条件として、たとえば、ガス雰囲気はCl,BCl等、高周波パワー(上方800ワット程度/下方330ワット程度)、気圧0.8パスカル程度、ウエハ・ステージ温度摂氏40度程度を例示することができる。 Next, as shown in FIG. 14, when dry etching is performed using the patterned photoresist film 19 as a mask, the second-layer multilayer wiring metal film 3 is patterned (including the antireflection film 18). (Multilayer wiring metal film etching step 106 in FIG. 6). At this time, the side wall polymer film 21 is formed on the side wall of the patterned second layer multilayer wiring metal film 3 and the like. As the dry etching conditions, for example, the gas atmosphere is Cl 2 , BCl 3 or the like, high-frequency power (upper 800 watts / lower 330 watts), atmospheric pressure 0.8 pascals, wafer stage temperature 40 degrees centigrade. can do.

次に、図15に示すように、ウエハ1の第1の主面1a側に対して、アッシング処理を施し、フォト・レジスト膜19を除去する(図6のアッシング工程107)。このアッシング条件として、たとえば、ガス流量O2/N2=7500sccm/40sccm程度、高周波パワー1500ワット程度、気圧200パスカル程度、ウエハ・ステージ温度摂氏250度程度を例示することができる。   Next, as shown in FIG. 15, ashing is performed on the first main surface 1a side of the wafer 1 to remove the photo resist film 19 (ashing step 107 in FIG. 6). Examples of the ashing conditions include a gas flow rate of O2 / N2 = about 7500 sccm / 40 sccm, a high frequency power of about 1500 watts, an atmospheric pressure of about 200 Pascal, and a wafer stage temperature of about 250 degrees Celsius.

次に、図16に示すように、有機系溶剤によって、側壁ポリマ膜21を除去する(図6のポリマ除去工程108)。この時点のウエハ1の上面1aの様子を図17に示す。図16の断面は、図17のX−X’に対応する。続いて、エッチング後のアルミニウム系配線金属層5の安定化のための不動態化処理工程109(図6)すなわち、第5のプラズマ表面処理を実行する。この処理条件として、たとえば、ガス流量O2=7000sccm程度、高周波パワー2000ワット程度、気圧100から300パスカル程度、ウエハ・ステージ温度摂氏250度程度(第7のウエハ温度)を例示することができる。先のアッシング工程107からこの工程までを多層配線金属膜エッチング後処理工程群120という。   Next, as shown in FIG. 16, the sidewall polymer film 21 is removed with an organic solvent (polymer removal step 108 in FIG. 6). A state of the upper surface 1a of the wafer 1 at this time is shown in FIG. The cross section in FIG. 16 corresponds to X-X ′ in FIG. 17. Subsequently, a passivation treatment step 109 (FIG. 6) for stabilizing the aluminum-based wiring metal layer 5 after etching, that is, a fifth plasma surface treatment is performed. As this processing condition, for example, gas flow rate O2 = about 7000 sccm, high-frequency power about 2000 watts, pressure 100 to 300 Pascal, wafer stage temperature about 250 degrees Celsius (seventh wafer temperature) can be exemplified. The process from the previous ashing process 107 to this process is referred to as a multi-layer wiring metal film post-etching process group 120.

次に、図18に示すように、図1及び図2等で説明したHDP−CVD炉に導入して、たとえば厚さ400nm程度の第2層配線領域HDP−CVD酸化シリコン系層間絶縁膜14を成膜する(図6のHDP層間絶縁膜形成工程111)。この工程を含む前後の工程については、層間膜成膜周辺工程群121(図6)として、セクション4において詳述するので、ここでは簡単に言及するにとどめる。先の多層配線金属膜エッチング工程106からHDP層間絶縁膜形成工程111(層間膜成膜周辺工程群121を含む)までを多層配線金属膜エッチング&層間膜成膜周辺工程群122という。   Next, as shown in FIG. 18, the second layer wiring region HDP-CVD silicon oxide-based interlayer insulating film 14 having a thickness of about 400 nm is introduced into the HDP-CVD furnace described with reference to FIGS. A film is formed (HDP interlayer insulating film forming step 111 in FIG. 6). Since the steps before and after this step will be described in detail in section 4 as the interlayer film forming peripheral step group 121 (FIG. 6), only a brief description will be given here. The previous multilayer wiring metal film etching step 106 to HDP interlayer insulating film forming step 111 (including the interlayer film forming peripheral step group 121) is referred to as a multilayer wiring metal film etching & interlayer film forming peripheral step group 122.

次に、図19に示すように、ウエハ1の表面1aのほぼ全面の第2層配線領域HDP−CVD酸化シリコン系層間絶縁膜14上のほぼ全面に、たとえば厚さ600nm程度の第2層配線領域プラズマTEOS酸化シリコン系層間絶縁膜7を形成する(図6のプラズマTEOS層間絶縁膜形成工程112)。この成膜条件として、たとえば、ガス流量TEOS/O2/He=5250(mg/分)/4200sccm/4000sccm程度、高周波パワー1400ワット程度、気圧300から1500パスカル程度、ウエハ温度摂氏400度程度を例示することができる。   Next, as shown in FIG. 19, the second layer wiring having a thickness of, for example, about 600 nm is formed on almost the entire surface of the second layer wiring region HDP-CVD silicon oxide-based interlayer insulating film 14 on the almost entire surface 1a of the wafer 1. A region plasma TEOS silicon oxide-based interlayer insulating film 7 is formed (plasma TEOS interlayer insulating film forming step 112 in FIG. 6). Examples of the film forming conditions include a gas flow rate TEOS / O2 / He = 5250 (mg / min) / 4200 sccm / 4000 sccm, a high frequency power of about 1400 watts, a pressure of about 300 to 1500 Pascal, and a wafer temperature of about 400 degrees Celsius. be able to.

続いて、図20に示すように、図19にCMP研摩量12を表す破線部分まで化学機械研摩(Chemical Mechanical Polishing)等により、研摩することで平坦化する(図6の化学機械研摩工程113)。   Subsequently, as shown in FIG. 20, planarization is performed by polishing by chemical mechanical polishing or the like up to the broken line portion representing the CMP polishing amount 12 in FIG. 19 (chemical mechanical polishing step 113 in FIG. 6). .

次に、図21に示すように、第2層配線領域プラズマTEOS酸化シリコン系キャップ絶縁膜11を成膜する(図6のキャップ絶縁膜形成工程)。   Next, as shown in FIG. 21, a second-layer wiring region plasma TEOS silicon oxide-based cap insulating film 11 is formed (cap insulating film forming step in FIG. 6).

この後、第2層配線領域タングステン・プラグ27および第2層配線領域タングステン・プラグのバリア・メタル膜28を埋め込むことにより、第2層アルミニウム系配線層M2が完成する。   Thereafter, the second layer wiring region tungsten plug 27 and the barrier metal film 28 of the second layer wiring region tungsten plug are embedded to complete the second layer aluminum-based wiring layer M2.

なお、この製法は、配線層が変わっても基本的に同じである。すなわち、第1層アルミニウム系配線層M1から第3層アルミニウム系配線層M3は、ほとんど同一であり、第4層アルミニウム系配線層M4は、同層をそのままボンディング・パッド層とする場合は、寸法の相違、ビア、プラグがない点など以外は、ほぼ同一である。   This manufacturing method is basically the same even if the wiring layer is changed. That is, the first-layer aluminum-based wiring layer M1 to the third-layer aluminum-based wiring layer M3 are almost the same, and the fourth-layer aluminum-based wiring layer M4 has dimensions when the same layer is used as a bonding pad layer as it is. The difference is almost the same except that there are no vias and plugs.

4.本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法の要部プロセスである層間膜成膜周辺工程群の説明(主に図22から図25)
セクション1から3を踏まえて、本願の一実施の形態の半導体集積回路装置の製造方法の要部プロセスである層間膜成膜周辺工程群について説明する。
4). 2. Description of Interlayer Film Forming Peripheral Process Group as Main Process of Manufacturing Method of Semiconductor Integrated Circuit Device (Product of Aluminum Normal Wiring) of One Embodiment of the Present Application (Mainly FIGS. 22 to 25)
Based on Sections 1 to 3, an interlayer film deposition peripheral process group which is a main process of the manufacturing method of the semiconductor integrated circuit device according to the embodiment of the present application will be described.

図22は本願の一実施の形態の半導体集積回路装置(アルミニウム系通常配線の製品)の製造方法の要部プロセスである層間膜成膜周辺工程群のプロセス・ブロック・フロー図である。図23は図22のプラズマ・アニール工程の詳細構成の具体例を示すプロセス・ブロック・フロー図である。図24は図22の層間膜成膜周辺工程群におけるウエハ温度の推移を示すウエハ温度変化模式図である。図25は図22の層間膜成膜周辺工程群における各ステップの処理条件等をまとめた図表である。   FIG. 22 is a process block flow diagram of an interlayer film forming peripheral process group which is a main process of the manufacturing method of the semiconductor integrated circuit device (aluminum-based normal wiring product) according to the embodiment of the present application. FIG. 23 is a process block flow diagram showing a specific example of a detailed configuration of the plasma annealing step of FIG. FIG. 24 is a wafer temperature change schematic diagram showing the transition of the wafer temperature in the interlayer film forming peripheral process group of FIG. FIG. 25 is a table summarizing the processing conditions and the like of each step in the interlayer film forming peripheral process group of FIG.

図22に示すように、多層配線金属膜エッチング後処理工程群120(図6)の処理が完了したウエハ1は、図1に説明したフープ53に収容されて、マルチ・チャンバ・ウエハ処理装置51のロードポート52に搬送され、そこで、セクション1で説明した手順に沿って、いずれかのプラズマ処理室57a,57b,57c(第1のプラズマ・チャンバ)のウエハ・ステージ62上に載置された状態で、一連の層間膜成膜周辺工程群121に属する処理が施される。なお、処理の効率及び処理特性の観点から、一連の層間膜成膜周辺工程群121に属する処理の間、ウエハ1は、同一のウエハ・ステージ62上に載置されていることが望ましい。   As shown in FIG. 22, the wafer 1 that has undergone the processing of the multi-layer wiring metal film post-etching process step group 120 (FIG. 6) is accommodated in the hoop 53 described in FIG. And loaded on the wafer stage 62 of one of the plasma processing chambers 57a, 57b, 57c (first plasma chamber) according to the procedure described in section 1. In this state, processing belonging to a series of interlayer film formation peripheral process group 121 is performed. From the viewpoint of processing efficiency and processing characteristics, it is desirable that the wafer 1 be placed on the same wafer stage 62 during the processing belonging to the series of interlayer film forming peripheral process group 121.

まず、図22に沿って、層間膜成膜周辺工程群121の概要を説明する。HDP−CVD処理等により層間絶縁膜14(図18)を形成する前に、その前処理として、ウエハ1のデバイス面1a(第1の主面)側に対して、プラズマ・アニール処理151を実行する。このプラズマ・アニール処理151の主要な目的は、不活性ガスを主要な成分の一つとして含む雰囲気下、アルミニウム系配線メタル膜および層間絶縁膜の成膜温度よりも高いウエハ温度において、プラズマ・アニール処理を実行することにより、配線メタル層の側壁に残留していたガス成分が層間絶縁膜の成膜時の熱ストレスにより、排出することを防止するところ、およびメタル膜内部のストレス開放(すなわち、不動態化の進行および、層間絶縁膜成膜前における配線メタル層のストレス解放により、欠け不良を抑制することができる。)にある。   First, the outline of the interlayer film forming peripheral process group 121 will be described with reference to FIG. Before forming the interlayer insulating film 14 (FIG. 18) by HDP-CVD or the like, a plasma annealing process 151 is performed on the device surface 1a (first main surface) side of the wafer 1 as a pretreatment. To do. The main purpose of the plasma annealing process 151 is to perform plasma annealing at a wafer temperature higher than the deposition temperature of the aluminum wiring metal film and the interlayer insulating film in an atmosphere containing an inert gas as one of the main components. By performing the process, the gas component remaining on the sidewall of the wiring metal layer is prevented from being discharged due to thermal stress during the formation of the interlayer insulating film, and the stress release inside the metal film (that is, The chipping defect can be suppressed by the progress of passivation and the release of stress of the wiring metal layer before the formation of the interlayer insulating film.

プラズマ・アニール処理151の後、図22に示すように、ウエハ1のデバイス面1a(第1の主面)側に対して、HDP層間絶縁膜形成工程111(第1のプラズマCVD処理)を実行する。続いて、ウエハ1のデバイス面1a(第1の主面)側に対して、CVD後処理工程161、すなわち、ウエハ温度の急冷処理を実行する。この処理の主要な目的は、エレクトロ・マイグレーション対策として、アルミニウム系配線金属膜5(図16)に添加している銅の析出を防止するためである。なお、図22に迂回の矢印で示すように、この急冷処理は必須ではないが、実施すれば、信頼性等の向上に有益である。   After the plasma annealing process 151, as shown in FIG. 22, the HDP interlayer insulating film forming step 111 (first plasma CVD process) is performed on the device surface 1a (first main surface) side of the wafer 1. To do. Subsequently, a post-CVD process step 161, that is, a rapid cooling process of the wafer temperature, is performed on the device surface 1a (first main surface) side of the wafer 1. The main purpose of this treatment is to prevent precipitation of copper added to the aluminum-based wiring metal film 5 (FIG. 16) as a measure against electromigration. In addition, as shown by a detour arrow in FIG. 22, this rapid cooling process is not essential, but if implemented, it is beneficial for improving reliability and the like.

次に、図23によって一連のプラズマ・アニール処理151として実施することが望ましい各処理ステップの概要及び意義について説明する。まず、プラズマ・クリーニング処理151aは、アルゴン等の不活性ガスを主要な成分の一つとするガス雰囲気下で、プラズマ励起によるスパッタリング作用により、アルミニウム系配線金属膜5等の表面領域の清浄化を図る。なお、図23に迂回の矢印で示すように、このプラズマ・クリーニング処理151aは必須ではないが、実施すれば、信頼性等の向上に有益である。   Next, the outline and significance of each processing step desirably performed as a series of plasma annealing processes 151 will be described with reference to FIG. First, in the plasma cleaning process 151a, a surface region such as the aluminum-based wiring metal film 5 is cleaned by a sputtering action by plasma excitation in a gas atmosphere containing an inert gas such as argon as one of main components. . As indicated by a detour arrow in FIG. 23, the plasma cleaning process 151a is not essential, but if implemented, it is beneficial for improving reliability and the like.

次のプラズマ酸化処理151bは、不活性ガスおよび酸素ガスを主要な成分の一つとして含む雰囲気下で、プラズマ励起によるスパッタリング作用および酸素ラジカルによる酸化作用により、不動態化が不十分なアルミニウム系配線金属膜5等の表面領域の不動態化を更に進行させる。なお、図23に迂回の矢印で示すように、このプラズマ・クリーニング処理151aは必須ではないが、実施すれば、信頼性等の向上に有益である。   The next plasma oxidation treatment 151b is an aluminum-based wiring that is insufficiently passivated by a sputtering action by plasma excitation and an oxidation action by oxygen radicals in an atmosphere containing inert gas and oxygen gas as one of the main components. Further passivation of the surface region of the metal film 5 or the like is further advanced. As indicated by a detour arrow in FIG. 23, the plasma cleaning process 151a is not essential, but if implemented, it is beneficial for improving reliability and the like.

最後のプラズマ高温アニール処理151dは、アルゴン等の不活性ガスを主要な成分の一つとするガス雰囲気下で、ウエハ1の加熱およびプラズマ励起によるスパッタリング作用により、アルミニウム系配線金属膜5等の表面領域からの脱ガスを促進することを主要な目的とするものである。このため、ウエハ温度の主要な値(または平均値)は、後続の層間絶縁膜形成工程111(図22)の主要な値(または平均値)よりも高い必要がある。また、高温および酸素ラジカルの効果を利用して、不十分な酸化処理等を更に進行させて、アルミニウム欠損等を抑制したい場合には、酸素を添加することが有効である(図25の具体例参照)。この点、次のプラズマ中昇温処理151cも同じである。   The final plasma high-temperature annealing process 151d is a surface region of the aluminum-based wiring metal film 5 and the like by sputtering of the wafer 1 by heating and plasma excitation in a gas atmosphere containing an inert gas such as argon as one of main components. The main purpose is to promote degassing from Therefore, the main value (or average value) of the wafer temperature needs to be higher than the main value (or average value) of the subsequent interlayer insulating film forming step 111 (FIG. 22). In addition, it is effective to add oxygen when it is desired to further suppress insufficient oxidation treatment by utilizing the effect of high temperature and oxygen radicals to suppress aluminum deficiency or the like (specific example of FIG. 25). reference). This also applies to the subsequent in-plasma temperature raising process 151c.

プラズマ中昇温処理151cは、先行ステップからプラズマ高温アニール処理151dへウエハ温度を上昇させるための遷移期間であって、ウエハ温度が一瞬で上昇可能ならば省略可能である。また、雰囲気は害にならないものであれば、いずれでもよいが、プラズマ高温アニール処理151dと同一又は類似の雰囲気にすれば、プラズマ高温アニール処理151dの処理時間を短縮することができる。   The plasma temperature raising process 151c is a transition period for increasing the wafer temperature from the preceding step to the plasma high temperature annealing process 151d, and can be omitted if the wafer temperature can be increased instantaneously. Any atmosphere can be used as long as it does not cause harm. However, if the atmosphere is the same as or similar to that of the plasma high temperature annealing process 151d, the processing time of the plasma high temperature annealing process 151d can be shortened.

次に、図24及び図25に基づいて、図22の層間膜成膜周辺工程群121の詳細プロセスの好適な一例を説明する。図24及び図25(図1、図2、図6、図16から図18、図22及び図23を参照)に示すように、ウエハ1のデバイス面1a(第1の主面)側を上に向けた状態で載置される。この状態で、プラズマ・クリーニング処理151a(ステップA、すなわち、第2のプラズマ表面処理)を実行する。好適な条件の一例として、処理時間30秒程度、ガス流量アルゴン250sccm程度(第2の雰囲気)、高周波電力トップ67/サイド65/バイアス63=3000ワット/5000ワット/オフ、静電チャック91はオン、ウエハ冷却制御系81はオフ、ウエハ温度摂氏150度から270度程度(第4のウエハ温度、すなわち、摂氏250度周辺の温度)、処理気圧0.4パスカルから0.6パスカル程度を例示することができる。なお、第2の雰囲気は、実質的に酸素を含まないのがクリーニング特性の観点から望ましい。しかし、たとえば、次の工程と同時に実行したいときには、酸素を添加することができる。   Next, a preferred example of the detailed process of the interlayer film forming peripheral process group 121 of FIG. 22 will be described based on FIGS. As shown in FIGS. 24 and 25 (see FIGS. 1, 2, 6, and 16 to 18, FIG. 22, and FIG. 23), the device surface 1a (first main surface) side of the wafer 1 is upside. It is mounted in a state facing toward. In this state, the plasma cleaning process 151a (step A, that is, the second plasma surface process) is executed. As an example of suitable conditions, the processing time is about 30 seconds, the gas flow rate is about argon 250 sccm (second atmosphere), the high frequency power top 67 / side 65 / bias 63 = 3000 watts / 5000 watts / off, and the electrostatic chuck 91 is on. The wafer cooling control system 81 is off, the wafer temperature is about 150 to 270 degrees Celsius (the fourth wafer temperature, that is, the temperature around 250 degrees Celsius), and the processing pressure is about 0.4 to 0.6 Pascals. be able to. Note that the second atmosphere preferably contains substantially no oxygen from the viewpoint of cleaning characteristics. However, oxygen can be added, for example, when it is desired to execute simultaneously with the next step.

次に、前記状態のまま、続けて、プラズマ酸化処理151b(ステップB、すなわち第3のプラズマ表面処理)を実行する。好適な条件の一例として、処理時間30秒程度、ガス流量アルゴン/酸素=250sccm程度/250sccm程度(第3の雰囲気)、高周波電力トップ67/サイド65/バイアス63=3000ワット/5000ワット/オフ、静電チャック91はオン、ウエハ冷却制御系81はオフ、ウエハ温度摂氏200度から270度程度(第5のウエハ温度、すなわち、摂氏250度周辺の温度)、処理気圧0.4パスカルから0.6パスカル程度を例示することができる。なお、ここで、酸素ガスを窒素ガスに変更して窒化処理とすることができる。前記のように、第4のウエハ温度と第5のウエハ温度は、ほぼ同一温度領域に属する。   Next, the plasma oxidation process 151b (step B, that is, the third plasma surface process) is performed in the above state. Examples of suitable conditions include a processing time of about 30 seconds, a gas flow rate of argon / oxygen = about 250 sccm / about 250 sccm (third atmosphere), high frequency power top 67 / side 65 / bias 63 = 3000 watt / 5000 watt / off, The electrostatic chuck 91 is on, the wafer cooling control system 81 is off, the wafer temperature is about 200 to 270 degrees Celsius (the fifth wafer temperature, that is, a temperature around 250 degrees Celsius), and the processing pressure is 0.4 Pascal to 0.degree. About 6 Pascals can be exemplified. Here, nitriding treatment can be performed by changing the oxygen gas to nitrogen gas. As described above, the fourth wafer temperature and the fifth wafer temperature belong to substantially the same temperature region.

次に、ここで、その他の条件は、ほぼそのままで、静電チャックをオフにして、昇温を開始することで、プラズマ中昇温処理151c(ステップC、すなわち第4のプラズマ表面処理)を実行する。好適な条件の一例として、処理時間40秒程度、ガス流量アルゴン/酸素=250sccm程度/250sccm程度(第4の雰囲気)、高周波電力トップ67/サイド65/バイアス63=3000ワット/5000ワット/オフ、静電チャック91はオフ、ウエハ冷却制御系81はオフ、ウエハ温度たとえば摂氏380度程度へ向けて昇温、処理気圧0.53パスカルから0.66パスカル程度を例示することができる。   Next, the other conditions are almost the same, the electrostatic chuck is turned off, and the temperature rise is started, so that the plasma temperature rise treatment 151c (step C, that is, the fourth plasma surface treatment) is performed. Execute. Examples of suitable conditions include a processing time of about 40 seconds, a gas flow rate of argon / oxygen = about 250 sccm / about 250 sccm (fourth atmosphere), high frequency power top 67 / side 65 / bias 63 = 3000 watt / 5000 watt / off, The electrostatic chuck 91 is off, the wafer cooling control system 81 is off, the wafer temperature is raised toward, for example, about 380 degrees Celsius, and the processing pressure is 0.53 Pascals to 0.66 Pascals.

次に、ウエハ温度が摂氏380度程度(第2のウエハ温度)に達すると、静電チャック91をオンとして、他は、ほぼそのままの状態で、当該温度の周辺で、プラズマ高温アニール処理151d(ステップD、すなわち第1のプラズマ表面処理)を実行する。好適な条件の一例として、処理時間60秒程度、ガス流量アルゴン/酸素=250sccm程度/250sccm程度(第1の雰囲気)、高周波電力トップ67/サイド65/バイアス63=3000ワット/5000ワット/オフ、静電チャック91はオン、ウエハ冷却制御系81はオフ、ウエハ温度たとえば摂氏380度程度(好適なウエハ温度範囲としては、摂氏350度から450度程度、ただし、第2のウエハ温度が、次のCVDの処理温度よりも高いことを前提条件とする)、処理気圧0.53パスカルから0.66パスカル程度を例示することができる。   Next, when the wafer temperature reaches about 380 degrees Celsius (second wafer temperature), the electrostatic chuck 91 is turned on, and the others are almost as they are. Step D, ie the first plasma surface treatment) is performed. Examples of suitable conditions include a processing time of about 60 seconds, a gas flow rate of argon / oxygen = about 250 sccm / about 250 sccm (first atmosphere), high frequency power top 67 / side 65 / bias 63 = 3000 watt / 5000 watt / off, The electrostatic chuck 91 is on, the wafer cooling control system 81 is off, and the wafer temperature is, for example, about 380 degrees Celsius (the preferred wafer temperature range is about 350 to 450 degrees Celsius, provided that the second wafer temperature is For example, the processing pressure is 0.53 Pascal to 0.66 Pascal.

次に、静電チャック91はオンのままで、冷却系81をオンさせて、ウエハ温度をたとえば摂氏350度程度(第3のウエハ温度)に向けて降温させながら、HDP層間絶縁膜形成工程111(ステップE、すなわち、第1のプラズマCVD処理)を実行する。好適な条件の一例として、処理時間80秒程度、ガス流量モノシラン/酸素=39sccm程度/65sccm程度、高周波電力トップ67/サイド65/バイアス63=2500ワット/6000ワット/7500ワット、静電チャック91はオフ、ウエハ冷却制御系81はオフ、安定状態のウエハ温度たとえば摂氏350度程度(好適なウエハ温度範囲としては、摂氏320度から370度程度、ただし、第2のウエハ温度が、第3のウエハ温度よりも高いことを前提条件とする)、処理気圧0.53パスカルから0.66パスカル程度、膜厚400nm程度を例示することができる。   Next, while the electrostatic chuck 91 is kept on, the cooling system 81 is turned on, and the HDP interlayer insulating film forming step 111 is performed while the wafer temperature is lowered to about 350 degrees Celsius (third wafer temperature), for example. (Step E, that is, the first plasma CVD process) is executed. As an example of suitable conditions, the processing time is about 80 seconds, the gas flow rate monosilane / oxygen = about 39 sccm / about 65 sccm, the high frequency power top 67 / side 65 / bias 63 = 2500 watts / 6000 watts / 7500 watts, the electrostatic chuck 91 is Off, wafer cooling control system 81 is off, stable wafer temperature, for example, about 350 degrees Celsius (preferred wafer temperature range is about 320 to 370 degrees Celsius, provided that the second wafer temperature is the third wafer temperature. As a precondition that the temperature is higher than the temperature), a processing pressure of 0.53 Pascal to 0.66 Pascal and a film thickness of about 400 nm can be exemplified.

次に、ガスおよび高周波電力を切り換え、その他はほぼそのままで、ウエハ温度を摂氏200度(第6のウエハ温度、ここで、第6のウエハ温度はアルミニウム系配線金属膜の銅析出温度および第1の温度よりも低い)以下に向け、急冷させるCVD後処理工程161(ステップF)を実行する。好適な条件の一例として、処理時間40から80秒程度、ガス流量アルゴン/酸素=250sccm程度/310sccm程度、高周波電力トップ67/サイド65/バイアス63=オフ/500ワット/オフ(全部オフとしてもよいが、微弱でもプラズマを点灯させている方が、処理ステップが複雑にならず、処理時間を短時間化できる。)、静電チャック91はオン、ウエハ冷却制御系81はオン、ウエハ温度たとえば摂氏200度以下程度(たとえば、摂氏150度程度まで)へ向けて降下(なお、摂氏300から摂氏200度間の平均温度降下速度は、2度/秒以上、5度/秒以下が望ましい)、処理気圧0.66パスカルから0.75パスカル程度を例示することができる。   Next, the gas and the high-frequency power are switched, and the wafer temperature is set to 200 degrees Celsius (sixth wafer temperature, where the sixth wafer temperature is the copper deposition temperature of the aluminum wiring metal film and the first The post-CVD post-treatment process 161 (step F) is performed to cool to below the temperature of Examples of suitable conditions include a processing time of 40 to 80 seconds, a gas flow rate of argon / oxygen = about 250 sccm / about 310 sccm, high frequency power top 67 / side 65 / bias 63 = off / 500 watt / off (all may be off) However, if the plasma is turned on even if it is weak, the processing steps are not complicated and the processing time can be shortened.) The electrostatic chuck 91 is on, the wafer cooling control system 81 is on, and the wafer temperature is, for example, centigrade. Descent toward 200 degrees or less (for example, up to 150 degrees Celsius) (Note that the average temperature drop rate between 300 degrees Celsius and 200 degrees Celsius is preferably 2 degrees / second or more and 5 degrees / second or less), processing An atmospheric pressure of about 0.66 Pascal to 0.75 Pascal can be exemplified.

5.サマリ
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本願発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
5). Summary The invention made by the present inventor has been specifically described based on the embodiments. However, the invention of the present application is not limited thereto, and it goes without saying that various changes can be made without departing from the scope of the invention.

例えば、前記実施の形態においては、アプライド・マテリアルズ(Applied Materials)社のHDP−CVD装置”のCentura Ultima”等を使用した例について具体的に説明したが、本発明はそれに限定されるものではなく、ノベラス(Novellus)社の”Concept Three Speed”その他やそれ以外の半導体装置メーカの対応する装置を使用して実施してもよいことは言うまでもない。   For example, in the above-described embodiment, an example using the “Centura Ultimate” of an HDP-CVD apparatus manufactured by Applied Materials, Inc. is specifically described, but the present invention is not limited thereto. Of course, it may be carried out using "Concept Three Speed" of Novellus Co., Ltd. or other devices corresponding to other semiconductor device manufacturers.

また、前記実施の形態においては、配線層の全層がアルミニウム系通常配線であるものについて具体的に説明したが、本願発明はそれに限定されるものではなく、銅系又は銀系のダマシン配線とアルミニウム系通常配線を混合させて使用した配線構造におけるアルミニウム系通常配線部分にも同様に適用できることは言うまでもない。   Further, in the above-described embodiment, the wiring layer has been specifically described as being an aluminum-based normal wiring, but the present invention is not limited thereto, and a copper-based or silver-based damascene wiring and Needless to say, the present invention can be similarly applied to an aluminum-based normal wiring portion in a wiring structure using a mixture of aluminum-based normal wiring.

1 半導体ウエハ(P型単結晶シリコン・ウエハ)
1a 半導体ウエハのデバイス面(第1の主面)
1b 半導体ウエハの裏面(第2の主面)
2 第2層配線領域下層バリア・メタル膜
3 第2層多層配線金属膜
4 STI領域
5 第2層配線領域アルミニウム系配線金属膜
6 第2層配線領域上層バリア・メタル膜
7 第2層配線領域プラズマTEOS酸化シリコン系層間絶縁膜
8 ソース・ドレイン領域
9 ゲート電極構造
10 プリメタル絶縁膜および第1層アルミニウム系配線層を含む下層絶縁膜
11 第2層配線領域プラズマTEOS酸化シリコン系キャップ絶縁膜
12 CMP研摩量
13 第1層多層配線金属膜
14 第2層配線領域HDP−CVD酸化シリコン系層間絶縁膜
15 第1層配線領域タングステン・プラグ
16 第1層配線領域タングステン・プラグのバリア・メタル膜
17 ファイナル・パッシベーション膜
18 第2層配線用反射防止膜(CVD−SiON膜)
19 フォト・レジスト膜
20 プリ・メタル絶縁膜
21 側壁ポリマ膜
22 コンタクト・プラグ用バリア・メタル
24 第1層配線領域HDP−CVD酸化シリコン系層間絶縁膜
25 第1層配線領域プラズマTEOS酸化シリコン系層間絶縁膜
26 第1層配線領域プラズマTEOS酸化シリコン系キャップ絶縁膜
27 第2層配線領域タングステン・プラグ
28 第2層配線領域タングステン・プラグのバリア・メタル膜
32 第3層配線領域タングステン・プラグ
33 第3層多層配線金属膜
34 第3層配線領域タングステン・プラグのバリア・メタル膜
35 第3層配線領域HDP−CVD酸化シリコン系層間絶縁膜
36 第3層配線領域プラズマTEOS酸化シリコン系層間絶縁膜
37 第3層配線領域プラズマTEOS酸化シリコン系キャップ絶縁膜
38 第4層配線領域HDP−CVD酸化シリコン系層内絶縁膜
39 第4層配線領域プラズマTEOS酸化シリコン系層内絶縁膜
40 タングステン系コンタクト・プラグ
41 プラズマ
43 第4層多層配線金属膜
44 第1層配線用反射防止膜(CVD−SiON膜)
45 第3層配線用反射防止膜(CVD−SiON膜)
46 第4層配線用反射防止膜(CVD−SiON膜)
51 マルチ・チャンバ・ウエハ処理装置
52 ロードポート
53 フープ(密閉型ウエハ搬送容器)
54 局所清浄室
55 ロードロック室
56 真空搬送室
57a,57b,57c プラズマ処理室(プラズマCVDチャンバ)
58 真空搬送ロボット
59 ロード・アンロード用ロボット
61 チャンバ外壁
62 ウエハ・ステージ(下部電極)
63 ウエハ側バイアス用高周波電源
64 ウエハ側バイアス用コンデンサ
65 サイド・アンテナ用高周波電源
66 プラズマ励起用サイド・アンテナ
67 トップ・アンテナ用高周波電源
68 プラズマ励起用トップ・アンテナ
69 ガス導入ノズル
70 主排気ゲート・バルブ
71 ウエハ出し入れ用ゲート
72 主排気管
73 ターボ分子ポンプ
74 ドライ粗引きポンプ
75 予備排気管
76 予備排気バルブ
77 クリーニング用リモート・プラズマ励起室
78 クリーニング用ガス搬送管
79 ガス導入バッフル
81 ヘリウム冷却系制御部
82 ヘリウム・ガス源
83 ウエハ内部領域ヘリウム・ガス圧力制御系
84 ウエハエッジ領域ヘリウム・ガス圧力制御系
85 ウエハ内部領域ヘリウム・ガス供給バルブ
86 ウエハエッジ領域ヘリウム・ガス供給バルブ
87 ウエハ内部領域ヘリウム・ガス供給管
88 ウエハエッジ領域ヘリウム・ガス供給管
91 静電チャック
93 ウエハ・ステージとウエハ裏面間のウエハ内部領域
94 ウエハ・ステージとウエハ裏面間のウエハエッジ領域
101 下部層間絶縁膜形成工程(第1層配線層形成工程)
102 配線膜形成工程
103 反射防止膜形成工程
104 レジスト塗布工程
105 リソグラフィ工程
106 多層配線金属膜エッチング工程
107 アッシング工程
108 ポリマ除去工程
109 不動態化処理工程
111 HDP層間絶縁膜形成工程
112 プラズマTEOS層間絶縁膜形成工程
113 化学機械研摩工程
114 キャップ絶縁膜形成工程
120 多層配線金属膜エッチング後処理工程群
121 層間膜成膜周辺工程群
122 多層配線金属膜エッチング&層間膜成膜周辺工程群
151 プラズマ・アニール工程
151a プラズマ・クリーニング処理
151b プラズマ酸化処理
151c プラズマ中昇温処理
151d プラズマ高温アニール処理
161 CVD後処理工程
A プラズマ・クリーニング処理ステップ
B プラズマ酸化処理ステップ
C プラズマ中昇温処理ステップ
D プラズマ高温アニール処理
E プラズマCVDステップ
F CVD後処理ステップ
M1 第1層アルミニウム系配線層
M2 第2層アルミニウム系配線層
M3 第3層アルミニウム系配線層
M4 第4層アルミニウム系配線層
1 Semiconductor wafer (P-type single crystal silicon wafer)
1a Device surface of semiconductor wafer (first main surface)
1b Back surface of semiconductor wafer (second main surface)
2 Second layer wiring region lower layer barrier metal film 3 Second layer multilayer wiring metal film 4 STI region 5 Second layer wiring region aluminum-based wiring metal film 6 Second layer wiring region upper layer barrier metal film 7 Second layer wiring region Plasma TEOS silicon oxide based interlayer insulating film 8 Source / drain region 9 Gate electrode structure 10 Lower layer insulating film including premetal insulating film and first layer aluminum based wiring layer 11 Second layer wiring region Plasma TEOS silicon oxide based cap insulating film 12 CMP Abrasive amount 13 First layer multilayer wiring metal film 14 Second layer wiring region HDP-CVD silicon oxide interlayer insulating film 15 First layer wiring region tungsten plug 16 Barrier metal film of first layer wiring region tungsten plug 17 Final Passivation film 18 Antireflection film for second layer wiring (CVD-SiON film)
19 Photo resist film 20 Pre-metal insulating film 21 Side wall polymer film 22 Barrier metal for contact plug 24 First layer wiring region HDP-CVD silicon oxide based interlayer insulating film 25 First layer wiring region Plasma TEOS Silicon oxide based interlayer Insulating film 26 First layer wiring region plasma TEOS Silicon oxide cap insulating film 27 Second layer wiring region tungsten plug 28 Barrier metal film of second layer wiring region tungsten plug 32 Third layer wiring region tungsten plug 33 Three-layer multilayer wiring metal film 34 Third-layer wiring region Tungsten plug barrier metal film 35 Third-layer wiring region HDP-CVD silicon oxide-based interlayer insulating film 36 Third-layer wiring region Plasma TEOS Silicon-oxide-based interlayer insulating film 37 Third layer wiring region plasma TEOS silicon oxide Cap insulating film 38 Fourth-layer wiring region HDP-CVD Insulating silicon oxide-based layer insulating layer 39 Fourth-layered wiring region Plasma TEOS Insulating silicon-based insulating layer 40 Tungsten-based contact plug 41 Plasma 43 Fourth-layer multilayered wiring metal film 44 Antireflection film for first layer wiring (CVD-SiON film)
45 Antireflection film for third layer wiring (CVD-SiON film)
46 Antireflection film for 4th layer wiring (CVD-SiON film)
51 Multi-chamber wafer processing equipment 52 Load port 53 Hoop (sealed wafer transfer container)
54 Local cleaning chamber 55 Load lock chamber 56 Vacuum transfer chamber 57a, 57b, 57c Plasma processing chamber (plasma CVD chamber)
58 Vacuum transfer robot 59 Load / unload robot 61 Chamber outer wall 62 Wafer stage (lower electrode)
63 Wafer side bias high frequency power supply 64 Wafer side bias capacitor 65 Side antenna high frequency power supply 66 Plasma excitation side antenna 67 Top antenna high frequency power supply 68 Plasma excitation top antenna 69 Gas introduction nozzle 70 Main exhaust gate Valve 71 Wafer loading / unloading gate 72 Main exhaust pipe 73 Turbo molecular pump 74 Dry roughing pump 75 Preliminary exhaust pipe 76 Preliminary exhaust valve 77 Cleaning remote plasma excitation chamber 78 Cleaning gas transport pipe 79 Gas introduction baffle 81 Helium cooling system control Part 82 Helium / gas source 83 Wafer inner area helium / gas pressure control system 84 Wafer edge area helium / gas pressure control system 85 Wafer inner area helium / gas supply valve 86 Wafer edge area helicopter Gas supply valve 87 Wafer internal area helium gas supply pipe 88 Wafer edge area helium gas supply pipe 91 Electrostatic chuck 93 Wafer internal area between wafer stage and wafer back surface 94 Wafer edge area 101 between wafer stage and wafer back surface 101 Lower interlayer insulating film forming process (first wiring layer forming process)
102 wiring film forming process 103 antireflection film forming process 104 resist coating process 105 lithography process 106 multilayer wiring metal film etching process 107 ashing process 108 polymer removing process 109 passivation process 111 HDP interlayer insulating film forming process 112 plasma TEOS interlayer insulating Film forming process 113 Chemical mechanical polishing process 114 Cap insulating film forming process 120 Multilayer wiring metal film post-etching process group 121 Interlayer film forming peripheral process group 122 Multilayer wiring metal film etching & interlayer film forming peripheral process group 151 Plasma annealing Process 151a Plasma cleaning process 151b Plasma oxidation process 151c Temperature rising process in plasma 151d Plasma high temperature annealing process 161 Post-CVD process A Plasma cleaning process step B Plasma Treatment step C Plasma temperature rise treatment step D Plasma high temperature annealing treatment E Plasma CVD step F CVD post-treatment step M1 First layer aluminum-based wiring layer M2 Second layer aluminum-based wiring layer M3 Third layer aluminum-based wiring layer M4 First 4-layer aluminum wiring layer

Claims (19)

以下の工程を含む半導体集積回路装置の製造方法:
(a)第1のウエハ温度において、ウエハの第1の主面上に、アルミニウム系配線金属膜を含む多層配線金属膜を成膜する工程;
(b)前記ウエハの前記第1の主面上の前記アルミニウム系配線金属膜を含む前記多層配線金属膜をパターニングする工程;
(c)前記工程(a)の後、第1のプラズマCVDチャンバ内のウエハ・ステージ上に、前記ウエハをセットする工程;
(d)前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの前記第1の主面に対して、不活性ガスを主要な成分の一つとして含む第1の雰囲気下、第1のウエハ温度よりも高い第2のウエハ温度において、第1のプラズマ表面処理を実行する工程;
(e)前記工程(d)の後、前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの前記第1の主面に対して、第2のウエハ温度よりも低い第3のウエハ温度において、層間絶縁膜の成膜処理を第1のプラズマCVD処理により、実行する工程。
A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) forming a multilayer wiring metal film including an aluminum-based wiring metal film on the first main surface of the wafer at a first wafer temperature;
(B) patterning the multilayer wiring metal film including the aluminum-based wiring metal film on the first main surface of the wafer;
(C) after the step (a), setting the wafer on a wafer stage in a first plasma CVD chamber;
(D) A first atmosphere containing an inert gas as one of main components with respect to the first main surface of the wafer set on the wafer stage in the first plasma CVD chamber. Performing a first plasma surface treatment at a second wafer temperature higher than the first wafer temperature;
(E) After the step (d), the temperature of the first main surface of the wafer set on the wafer stage in the first plasma CVD chamber is lower than the second wafer temperature. A step of performing an interlayer insulating film forming process by a first plasma CVD process at a third wafer temperature.
前記1項の半導体集積回路装置の製造方法において、前記第1の雰囲気は、酸素ガスを主要な成分の一つとして含む。     In the method for manufacturing a semiconductor integrated circuit device according to the item 1, the first atmosphere includes oxygen gas as one of main components. 前記2項の半導体集積回路装置の製造方法において、更に以下の工程を含む:
(f)前記工程(c)の後であって、前記工程(d)の前に、前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの前記第1の主面に対して、不活性ガスを主要な成分の一つとして含む第2の雰囲気下、前記第1のウエハ温度よりも低い第4のウエハ温度において、第2のプラズマ表面処理を実行する工程。
The method for manufacturing a semiconductor integrated circuit device according to the item 2, further includes the following steps:
(F) The first main surface of the wafer set on the wafer stage in the first plasma CVD chamber after the step (c) and before the step (d) On the other hand, a step of performing the second plasma surface treatment in a second atmosphere containing an inert gas as one of main components at a fourth wafer temperature lower than the first wafer temperature.
前記3項の半導体集積回路装置の製造方法において、前記第2の雰囲気は、実質的に酸素を含まない。     In the method of manufacturing a semiconductor integrated circuit device according to the item 3, the second atmosphere does not substantially contain oxygen. 前記3項の半導体集積回路装置の製造方法において、更に以下の工程を含む:
(g)前記工程(f)の後であって、前記工程(d)の前に、前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの前記第1の主面に対して、不活性ガスおよび酸素ガスを主要な成分の一つとして含む第3の雰囲気下、前記第1のウエハ温度よりも低い第5のウエハ温度において、第3のプラズマ表面処理を実行する工程。
The method for manufacturing a semiconductor integrated circuit device according to the item 3, further includes the following steps:
(G) After the step (f) and before the step (d), the first main surface of the wafer set on the wafer stage in the first plasma CVD chamber On the other hand, the third plasma surface treatment is performed in a third atmosphere containing an inert gas and an oxygen gas as one of the main components at a fifth wafer temperature lower than the first wafer temperature. Process.
前記5項の半導体集積回路装置の製造方法において、前記第4のウエハ温度と前記第5のウエハ温度は、ほぼ同一である。     In the method of manufacturing a semiconductor integrated circuit device according to the item 5, the fourth wafer temperature and the fifth wafer temperature are substantially the same. 前記5項の半導体集積回路装置の製造方法において、更に以下の工程を含む:
(h)前記工程(e)の後に、前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの温度を、前記第3のウエハ温度から、前記第1のウエハ温度よりも低い第6のウエハ温度以下に降下させる工程。
The method for manufacturing a semiconductor integrated circuit device according to the item 5, further includes the following steps:
(H) After the step (e), the temperature of the wafer set on the wafer stage in the first plasma CVD chamber is changed from the third wafer temperature to the first wafer temperature. Lowering the temperature to a lower sixth wafer temperature or lower.
前記7項の半導体集積回路装置の製造方法において、前記第6のウエハ温度は、摂氏200度である。     In the method of manufacturing a semiconductor integrated circuit device according to the item 7, the sixth wafer temperature is 200 degrees Celsius. 前記8項の半導体集積回路装置の製造方法において、前記工程(h)における摂氏300度と摂氏200度の間における平均温度降下速度は、2度/秒以上、5度/秒以下である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 8, the average temperature drop rate between 300 degrees Celsius and 200 degrees Celsius in the step (h) is 2 degrees / second or more and 5 degrees / second or less. 前記9項の半導体集積回路装置の製造方法において、前記工程(h)において、プラズマは点灯状態にある。     In the method of manufacturing a semiconductor integrated circuit device according to the item 9, the plasma is in a lighting state in the step (h). 前記7項の半導体集積回路装置の製造方法において、更に以下の工程を含む:
(i)前記工程(g)の後であって、前記工程(d)の前に、前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの前記第1の主面に対して、不活性ガスを主要な成分の一つとして含む第4の雰囲気下、前記ウエハの温度を、前記第5のウエハ温度から前記第2のウエハ温度へ昇温しながら、第4のプラズマ表面処理を実行する工程
The method for manufacturing a semiconductor integrated circuit device according to the item 7, further includes the following steps:
(I) After the step (g) and before the step (d), the first main surface of the wafer set on the wafer stage in the first plasma CVD chamber In contrast, in a fourth atmosphere containing an inert gas as one of the main components, the temperature of the wafer is raised from the fifth wafer temperature to the second wafer temperature. Process for performing plasma surface treatment
前記11項の半導体集積回路装置の製造方法において、前記第4の雰囲気は、酸素ガスを主要な成分の一つとして含む。     12. In the method of manufacturing a semiconductor integrated circuit device according to the item 11, the fourth atmosphere includes oxygen gas as one of main components. 前記1項の半導体集積回路装置の製造方法において、前記層間絶縁膜は、HDP−CVDによる酸化シリコン系絶縁膜である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 1, the interlayer insulating film is a silicon oxide insulating film formed by HDP-CVD. 前記1項の半導体集積回路装置の製造方法において、更に以下の工程を含む:
(j)レジスト膜及びポリマ除去を含む前記工程(b)の後であって、前記工程(c)の前に、酸素ガスを主要な成分の一つとして含む第5の雰囲気下、第1のウエハ温度よりも低い第7のウエハ温度において、第5のプラズマ表面処理を実行する工程
The method for manufacturing a semiconductor integrated circuit device according to the item 1, further includes the following steps:
(J) After the step (b) including the removal of the resist film and the polymer, and before the step (c), the first atmosphere is included in a fifth atmosphere containing oxygen gas as one of main components. Executing a fifth plasma surface treatment at a seventh wafer temperature lower than the wafer temperature
前記14項の半導体集積回路装置の製造方法において、前記工程(j)は、前記第1のプラズマCVDチャンバ内では行われない。     In the method for manufacturing a semiconductor integrated circuit device according to the item 14, the step (j) is not performed in the first plasma CVD chamber. 前記11項の半導体集積回路装置の製造方法において、前記第2のウエハ温度は、前記摂氏350度以上、前記摂氏450度以下である。     12. In the method for manufacturing a semiconductor integrated circuit device according to the item 11, the second wafer temperature is not less than 350 degrees Celsius and not more than 450 degrees Celsius. 前記16項の半導体集積回路装置の製造方法において、前記第4のウエハ温度は、前記摂氏150度以上、前記摂氏270度以下である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 16, the fourth wafer temperature is not less than 150 degrees Celsius and not more than 270 degrees Celsius. 前記17項の半導体集積回路装置の製造方法において、前記第3のウエハ温度は、前記摂氏320度以上、前記摂氏370度以下である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 17, the third wafer temperature is not less than 320 degrees Celsius and not more than 370 degrees Celsius. 前記3項の半導体集積回路装置の製造方法において、更に以下の工程を含む:
(g)前記工程(f)の後であって、前記工程(d)の前に、前記第1のプラズマCVDチャンバ内の前記ウエハ・ステージ上にセットされた前記ウエハの前記第1の主面に対して、不活性ガスおよび窒素ガスを主要な成分の一つとして含む第3の雰囲気下、前記第1のウエハ温度よりも低い第5のウエハ温度において、第3のプラズマ表面処理を実行する工程。
The method for manufacturing a semiconductor integrated circuit device according to the item 3, further includes the following steps:
(G) After the step (f) and before the step (d), the first main surface of the wafer set on the wafer stage in the first plasma CVD chamber On the other hand, the third plasma surface treatment is performed in a third atmosphere containing inert gas and nitrogen gas as one of the main components, at a fifth wafer temperature lower than the first wafer temperature. Process.
JP2009177760A 2009-07-30 2009-07-30 Manufacturing method of semiconductor integrated circuit device Expired - Fee Related JP5594862B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009177760A JP5594862B2 (en) 2009-07-30 2009-07-30 Manufacturing method of semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009177760A JP5594862B2 (en) 2009-07-30 2009-07-30 Manufacturing method of semiconductor integrated circuit device

Publications (2)

Publication Number Publication Date
JP2011035048A true JP2011035048A (en) 2011-02-17
JP5594862B2 JP5594862B2 (en) 2014-09-24

Family

ID=43763856

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009177760A Expired - Fee Related JP5594862B2 (en) 2009-07-30 2009-07-30 Manufacturing method of semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP5594862B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013054802A1 (en) * 2011-10-14 2013-04-18 三菱重工業株式会社 Plasma treatment method

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04192424A (en) * 1990-11-26 1992-07-10 Mitsubishi Electric Corp Manufacture of semiconductor device
JPH0629264A (en) * 1992-07-09 1994-02-04 Toshiba Corp Surface treatment
JPH0831815A (en) * 1994-07-15 1996-02-02 Canon Sales Co Inc Method and device for forming film
JPH0945688A (en) * 1995-07-28 1997-02-14 Sony Corp Interconnection structure and its formation method
JP2003179034A (en) * 2001-12-12 2003-06-27 Hitachi Ltd Manufacturing method of semiconductor integrated circuit apparatus
JP2005085929A (en) * 2003-09-08 2005-03-31 Renesas Technology Corp Semiconductor integrated circuit device and method of manufacturing the same
JP2005236054A (en) * 2004-02-20 2005-09-02 Matsushita Electric Ind Co Ltd Manufacturing method for semiconductor device
WO2006011196A1 (en) * 2004-07-27 2006-02-02 Fujitsu Limited Semiconductor device and process for fabricating same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04192424A (en) * 1990-11-26 1992-07-10 Mitsubishi Electric Corp Manufacture of semiconductor device
JPH0629264A (en) * 1992-07-09 1994-02-04 Toshiba Corp Surface treatment
JPH0831815A (en) * 1994-07-15 1996-02-02 Canon Sales Co Inc Method and device for forming film
JPH0945688A (en) * 1995-07-28 1997-02-14 Sony Corp Interconnection structure and its formation method
JP2003179034A (en) * 2001-12-12 2003-06-27 Hitachi Ltd Manufacturing method of semiconductor integrated circuit apparatus
JP2005085929A (en) * 2003-09-08 2005-03-31 Renesas Technology Corp Semiconductor integrated circuit device and method of manufacturing the same
JP2005236054A (en) * 2004-02-20 2005-09-02 Matsushita Electric Ind Co Ltd Manufacturing method for semiconductor device
WO2006011196A1 (en) * 2004-07-27 2006-02-02 Fujitsu Limited Semiconductor device and process for fabricating same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013054802A1 (en) * 2011-10-14 2013-04-18 三菱重工業株式会社 Plasma treatment method

Also Published As

Publication number Publication date
JP5594862B2 (en) 2014-09-24

Similar Documents

Publication Publication Date Title
JP3914452B2 (en) Manufacturing method of semiconductor integrated circuit device
JP4454242B2 (en) Semiconductor device and manufacturing method thereof
US8017464B2 (en) Semiconductor integrated circuit device and a method for manufacturing a semiconductor integrated circuit device
KR100326499B1 (en) Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US6635586B2 (en) Method of forming a spin-on-glass insulation layer
JP2003332426A (en) Method for manufacturing semiconductor device and semiconductor device
US8236681B2 (en) Manufacturing method of semiconductor integrated circuit device
JP2005236285A (en) STRUCTURE AND METHOD FOR INTEGRATING ULTRA-LOW DIELECTRIC CONSTANT (k) DIELECTRIC HAVING IMPROVED RELIABILITY
JP2003188254A (en) Semiconductor device and manufacturing method therefor
US7964500B2 (en) Method of manufacturing semiconductor integrated circuit device
US20110127158A1 (en) Manufacturing method of semiconductor integrated circuit device
JPWO2007020684A1 (en) Semiconductor integrated circuit device and manufacturing method thereof
JP2006179948A (en) Semiconductor device and method of manufacturing device
JP2004253791A (en) Insulation film and semiconductor device using same
US20080061442A1 (en) Interconnect structures and methods for fabricating the same
JP5594862B2 (en) Manufacturing method of semiconductor integrated circuit device
JP4623949B2 (en) Manufacturing method of semiconductor integrated circuit device
JP3601988B2 (en) Method of forming insulating film
JP4535505B2 (en) Manufacturing method of semiconductor device
JP2004207604A (en) Semiconductor device and its manufacturing method
JP2003332340A (en) Manufacturing method of semiconductor device
JP2005167120A (en) Semiconductor device and manufacturing method thereof
US20050112877A1 (en) Method of manufacturing a semiconductor device
US7253121B2 (en) Method for forming IMD films
JP2009054744A (en) Method of manufacturing semiconductor integrated circuit device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120612

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131212

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140626

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140715

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140731

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140804

R150 Certificate of patent or registration of utility model

Ref document number: 5594862

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees