JP2009054744A - Method of manufacturing semiconductor integrated circuit device - Google Patents

Method of manufacturing semiconductor integrated circuit device Download PDF

Info

Publication number
JP2009054744A
JP2009054744A JP2007219264A JP2007219264A JP2009054744A JP 2009054744 A JP2009054744 A JP 2009054744A JP 2007219264 A JP2007219264 A JP 2007219264A JP 2007219264 A JP2007219264 A JP 2007219264A JP 2009054744 A JP2009054744 A JP 2009054744A
Authority
JP
Japan
Prior art keywords
wafer
manufacturing
integrated circuit
circuit device
semiconductor integrated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007219264A
Other languages
Japanese (ja)
Inventor
Takao Kamoshima
隆夫 鴨島
Yoshifumi Takada
佳史 高田
Yasuhisa Fujii
藤井  靖久
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2007219264A priority Critical patent/JP2009054744A/en
Publication of JP2009054744A publication Critical patent/JP2009054744A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To prevent a phenomenon that copper interconnection disappears in some wafers in a lot when polymer deposited at via bottom is removed by wet etching after a copper difffusion barrier insulating film at the via bottom has been removed. <P>SOLUTION: In the method, the polymer is kept in a non-oxidizing dry gas (N<SB>2</SB>gas or Ar) atmosphere (S93) while polymer deposited at the via bottom is being removed after the copper diffusion barrier insulating film at the via bottom has been removed. Thereby, the polymer is prevented from taking in oxygen and moisture in the atmosphere. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、半導体集積回路装置の製造技術に関し、特に、FOUP(Front Opening Unified Pod)等の密閉型ウエハ収納容器を用いて半導体ウエハを保管する工程を含む半導体集積回路装置の製造工程に適用して有効な技術に関するものである。   The present invention relates to a technology for manufacturing a semiconductor integrated circuit device, and more particularly to a manufacturing process of a semiconductor integrated circuit device including a step of storing a semiconductor wafer using a sealed wafer storage container such as FOUP (Front Opening Unified Pod). And effective technology.

日本特開平8−203993号公報(特許文献1)には、半導体ウエハを収納したウエハカセットを収容した、内部が不活性ガス雰囲気と共に密閉される密閉コンテナ(ウエハカセット搬送容器)と、密閉コンテナの内部への不活性ガスの供給および排気を行うガス供給装置とを備えた可搬式密閉コンテナのガス供給システムにおいて、経済的に一定量の置換ガスを常時流すことにより、密閉コンテナ内の半導体ウエハへの汚染を低減することのできる技術が開示されている。   Japanese Laid-Open Patent Publication No. 8-203993 (Patent Document 1) discloses a sealed container (wafer cassette carrying container) containing a wafer cassette containing semiconductor wafers and sealed with an inert gas atmosphere, and a sealed container. In a gas supply system of a portable closed container equipped with a gas supply device for supplying and exhausting an inert gas to the inside, by constantly flowing a certain amount of replacement gas economically to a semiconductor wafer in the sealed container A technique capable of reducing the contamination of the liquid is disclosed.

また、日本特開平7−66274号公報(特許文献2)には、半導体ウエハを収納したウエハカセットを収容する可搬式密閉容器(ウエハカセット搬送容器)において、窒素ボンベ等の不活性ガス源に接続することなく、密閉容器の内部雰囲気を不活性ガス雰囲気に置換することができ、ガスパージのために要していた費用や手間を大幅に低減できる技術が開示されている。   In Japanese Patent Laid-Open No. 7-66274 (Patent Document 2), a portable airtight container (wafer cassette transfer container) that contains a wafer cassette containing a semiconductor wafer is connected to an inert gas source such as a nitrogen cylinder. Therefore, a technique is disclosed in which the inside atmosphere of the sealed container can be replaced with an inert gas atmosphere, and the cost and labor required for the gas purge can be greatly reduced.

また、日本特開平7−66273号公報(特許文献3)には、半導体ウエハを収納したウエハカセットを収容する可搬式密閉容器(ウエハカセット搬送容器)において、窒素ボンベ等の不活性ガス源に接続することなく、密閉容器の内部雰囲気を不活性ガス雰囲気に調整することができ、再ガスパージのために要していた費用や手間を大幅に低減できる技
術が開示されている。
In Japanese Patent Laid-Open No. 7-66273 (Patent Document 3), a portable airtight container (wafer cassette transfer container) that contains a wafer cassette containing a semiconductor wafer is connected to an inert gas source such as a nitrogen cylinder. Therefore, a technique is disclosed in which the internal atmosphere of the sealed container can be adjusted to an inert gas atmosphere, and the cost and labor required for regas purging can be greatly reduced.

また、日本特開平5−74921号公報(特許文献4)には、半導体ウエハを収容し、気密維持型カプラが取り付けられた密閉容器構造のケース(ウエハカセット容器)において、プラズマエッチング処理が施された半導体ウエハを収容した際、気密維持型カプラを利用してケース内の収容空間から水分を除去し、かつ不活性ガスを陽圧にして充填しておくことによって、半導体ウエハの表面のアルミニウム合金膜の腐食を防ぐ技術が開示されている。   In Japanese Patent Laid-Open No. 5-74921 (Patent Document 4), a plasma etching process is performed in a case (wafer cassette container) having a sealed container structure in which a semiconductor wafer is accommodated and an airtight maintaining coupler is attached. When the semiconductor wafer is accommodated, the aluminum alloy on the surface of the semiconductor wafer is removed by removing moisture from the accommodating space in the case using an airtight maintaining coupler and filling the inert gas with a positive pressure. Techniques for preventing film corrosion are disclosed.

また、日本特開2003−168727号公報(特許文献5)には、半導体ウエハなどを搬送するコンテナ(フープ)やそのコンテナの蓋を製造し直すことなく、コンテナの内部の大気を窒素ガスなどに置換できる装置について開示されている。   In Japanese Patent Laid-Open No. 2003-168727 (Patent Document 5), the atmosphere inside the container is changed to nitrogen gas or the like without remanufacturing a container (hoop) for transporting a semiconductor wafer or the like and a lid of the container. An apparatus that can be replaced is disclosed.

また、日本特開平11−251397号公報(特許文献6)には、臭素を含む処理ガスで処理された被処理体(半導体ウエハ)を収容するカセットチャンバの内壁面が腐食し難い被処理体の搬出方法および搬送装置について開示されている。   Japanese Patent Application Laid-Open No. 11-251397 (Patent Document 6) discloses an object to be processed in which the inner wall surface of a cassette chamber that accommodates an object to be processed (semiconductor wafer) treated with a processing gas containing bromine is not easily corroded. An unloading method and a conveying device are disclosed.

また、日本特開平11−145245号公報(特許文献7)には、複数枚の基板(半導体ウエハ)が収容可能な基板収容器(フープ)を外部雰囲気から遮断して内部に収容する遮蔽容器を用い、この遮蔽陽気の遮蔽状態を開閉制御機構で解除して、開放された遮蔽容器の開口部と連通する搬送口を介して基板収容器に収容されている基板を基板処理部に搬送して処理を施す基板処理装置において、遮蔽容器の雰囲気を基板処理装置内部の雰囲から遮蔽することにより、基板処理装置内部の雰囲気による基板の汚染を防止する技術が開示されている。   Japanese Patent Application Laid-Open No. 11-145245 (Patent Document 7) discloses a shielding container for accommodating a substrate container (hoop) capable of accommodating a plurality of substrates (semiconductor wafers) from the outside atmosphere. Use the open / close control mechanism to release the shielding state of the shielding heat, and transport the substrate accommodated in the substrate container to the substrate processing unit through the transportation port communicating with the opening of the opened shielding container. In a substrate processing apparatus that performs processing, a technique for preventing contamination of a substrate by the atmosphere inside the substrate processing apparatus by shielding the atmosphere of the shielding container from the atmosphere inside the substrate processing apparatus is disclosed.

また、日本特表2003−515244号公報(特許文献8)又はその対応米国登録特許公報第6,406,553号(特許文献9)には、半導体ウエハを含むカセット(フープ)が入出力チャンバに置かれた後、そのカセットをラインおよび空気からシールし、次いで、窒素等のドライ不活性ガスを入出力チャンバの先端に分散することによって半導体ウエハの周りでカバーブランケットを形成し、空気で運ばれる粒子、湿気および有機気化物質等の汚染物質を置換して一掃することによって、半導体ウエハが処理ラインへ転送される前に、半導体ウエハから残余の汚染を除去する技術が開示されている。   In Japanese Patent Special Publication No. 2003-515244 (Patent Document 8) or corresponding US Registered Patent Publication No. 6,406,553 (Patent Document 9), a cassette (hoop) containing a semiconductor wafer is provided in the input / output chamber. After being placed, the cassette is sealed from the line and air, and then a cover blanket is formed around the semiconductor wafer by dispersing dry inert gas such as nitrogen at the tip of the I / O chamber and is carried by air Techniques have been disclosed for removing residual contamination from a semiconductor wafer before it is transferred to a processing line by replacing and cleaning out contaminants such as particles, moisture and organic vapors.

また、日本特開2003−45933号公報(特許文献10)およびその対応米国公開特許公報第2003−031537号(特許文献11)には、ウエハキャリア(フープ)の一面をなすキャリアドアを半導体ウエハ処理装置のロードポートドアによって開けた状態で、ウエハキャリアの内部を不活性ガスまたはドライエアを供給することでパージすることで、ウエハキャリア内の雰囲気置換を効率よく短時間で行う技術が開示されている。   In Japanese Patent Laid-Open No. 2003-45933 (Patent Document 10) and corresponding US Published Patent Publication No. 2003-031537 (Patent Document 11), a carrier door forming one surface of a wafer carrier (hoop) is used as a semiconductor wafer process. A technique for efficiently replacing the atmosphere in the wafer carrier in a short time by purging the inside of the wafer carrier by supplying an inert gas or dry air while being opened by the load port door of the apparatus is disclosed. .

更に日本特開2006−49683号公報(特許文献12)には、燐がドープドープされたポリシリコンの堆積処理の後にフープ内に処理が終わったウエハを収容して次の処理のために待機する場合に、ウエハ上の燐成分と雰囲気中の水分が反応して燐酸を形成しないように、フープ内に乾燥ガスを供給することが開示されている。   Furthermore, Japanese Patent Application Laid-Open No. 2006-49683 (Patent Document 12) discloses a case where a processed wafer is accommodated in a FOUP after a polysilicon-doped polysilicon deposition process and waits for the next process. In addition, it is disclosed that a dry gas is supplied into the hoop so that phosphorous components on the wafer and moisture in the atmosphere do not react to form phosphoric acid.

特開平8−203993号公報Japanese Patent Laid-Open No. 8-203993 特開平7−66274号公報JP-A-7-66274 特開平7−66273号公報JP 7-66273 A 特開平5−74921号公報Japanese Patent Application Laid-Open No. 5-75921 特開2003−168727号公報JP 2003-168727 A 特開平11−251397号公報Japanese Patent Laid-Open No. 11-251397 特開平11−145245号公報Japanese Patent Laid-Open No. 11-145245 特表2003−515244号公報Special table 2003-515244 gazette 米国登録特許公報第6,406,553号US Registered Patent Publication No. 6,406,553 特開平2003−45933号公報Japanese Patent Laid-Open No. 2003-45933 米国公開特許公報第2003−031537号US Published Patent Publication No. 2003-031537 特開2006−49683号公報JP 2006-49683 A

半導体製造ラインにおける半導体ウエハ(以下、単にウエハと記す)の保管または搬送には、たとえばウエハを挿入したり取り出したりするための開口ドアが前部に設けられたフープまたはFOUP(Front Opening Unified Pod)と呼ばれる密閉型ウエハ収納容器などが使用されている。FOUPは、ウエハを収納する保持部であるシェルと開閉扉部であるドアとで形成され、密閉空間中にウエハを保持することで、大気中の異物または化学的な汚染からウエハを防御することができる。たとえば、直径が300mmのウエハを用いた半導体製造ラインにおいては、FOUPを用いたミニエンバイロンメント技術が採用され、ウエハが処理される領域のみを清浄化することでランニングコストの低減が図られている。   For storing or transporting semiconductor wafers (hereinafter simply referred to as wafers) in a semiconductor production line, for example, a hoop or front opening unified pod (FOUP) provided with an opening door for inserting and removing the wafer is provided at the front. A hermetic wafer storage container or the like is used. The FOUP is formed by a shell that is a holding unit for storing a wafer and a door that is an opening / closing door unit, and holds the wafer in a sealed space, thereby protecting the wafer from foreign substances or chemical contamination in the atmosphere. Can do. For example, in a semiconductor production line using a wafer having a diameter of 300 mm, a mini-environment technology using FOUP is adopted, and the running cost is reduced by cleaning only the region where the wafer is processed. .

しかし、このような密閉型の搬送システムにおいても、以下のような問題があることが、本願発明者らによって明らかにされた。すなわち、銅埋め込み配線プロセス(シングル・ダマシン・プロセスまたはデュアル・ダマシン・プロセス)において、ビア底の銅拡散バリア絶縁膜エッチング工程の後、フープに収容する。その後、通常のクリーンルーム内雰囲気と等価な雰囲気(もちろん、フープ内の清浄度はクリーンルーム内よりずっと高い)で保管した後、ポリマー除去のためのウエット・エッチング処理を施す。そうすると、ビア底部の銅が大きくエッチングされて消失するというものである。   However, it has been clarified by the inventors of the present invention that there are the following problems even in such a closed type conveyance system. That is, in the copper buried wiring process (single damascene process or dual damascene process), the copper diffusion barrier insulating film etching process at the via bottom is accommodated in the hoop. Then, after storing in an atmosphere equivalent to the atmosphere in a normal clean room (of course, the cleanliness in the hoop is much higher than in the clean room), a wet etching process for polymer removal is performed. Then, the copper at the bottom of the via is greatly etched and disappears.

この現象は、以下のように解釈される。すなわち、図29に示すように、ビア底には、銅拡散バリア絶縁膜3のエッチング後には、ビア底に銅配線2があるが、ビア底周辺の銅配線2の上面、銅拡散バリア絶縁膜3の側面及び層間絶縁膜の側面には、エッチング中にできたポリマー11が形成されている。これがフープ内に保管中に、フープ内雰囲気中の酸素や水分を吸収して、それらが下部の銅配線と反応して、銅配線を変質させる(銅酸化層15)。その結果、酸化した銅配線は、ポリマー・エッチング時に同時にエッチングされるものと推定される。   This phenomenon is interpreted as follows. That is, as shown in FIG. 29, after etching the copper diffusion barrier insulating film 3 at the via bottom, the copper wiring 2 is present at the via bottom, but the upper surface of the copper wiring 2 around the via bottom, the copper diffusion barrier insulating film. The polymer 11 formed during the etching is formed on the side surface 3 and the side surface of the interlayer insulating film. While this is stored in the hoop, it absorbs oxygen and moisture in the atmosphere in the hoop, and these react with the lower copper wiring to alter the copper wiring (copper oxide layer 15). As a result, the oxidized copper wiring is presumed to be etched at the same time as the polymer etching.

本発明の目的は、信頼性の高い半導体集積回路装置の製造プロセスを図ることにある。   An object of the present invention is to achieve a manufacturing process of a highly reliable semiconductor integrated circuit device.

本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。   The following is a brief description of an outline of typical inventions disclosed in the present application.

すなわち、本願発明はビア底の銅拡散バリア絶縁膜をドライエッチングで除去した後、ビア底にたまったポリマーをウエット・エッチングで除去する間、非酸化性乾燥ガス雰囲気で保管することにより、ポリマーが雰囲気中の酸素や水分を取り込むことを防止するものである。   That is, in the present invention, after removing the copper diffusion barrier insulating film at the bottom of the via by dry etching, the polymer is stored in a non-oxidizing dry gas atmosphere while the polymer accumulated at the bottom of the via is removed by wet etching. It is intended to prevent the intake of oxygen and moisture in the atmosphere.

本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記のとおりである。   The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.

すなわち、ビア底の銅拡散バリア絶縁膜をドライエッチングで除去した後、ビア底にたまったポリマーをウエット・エッチングで除去する間、非酸化性乾燥ガス雰囲気で保管することにより、ポリマーをウエット・エッチングで除去する際に、下層の銅配線が消滅するのを防止することができる。   That is, after removing the copper diffusion barrier insulating film at the bottom of the via by dry etching, the polymer is wet etched by storing it in a non-oxidizing dry gas atmosphere while removing the polymer accumulated at the bottom of the via by wet etching. It is possible to prevent the lower layer copper wiring from disappearing when removing by.

〔実施の形態の概要〕
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。
[Outline of Embodiment]
First, an outline of a typical embodiment of the invention disclosed in the present application will be described.

1.以下の工程を含む半導体集積回路装置の製造方法:
(a)ドライエッチング装置のエッチング処理室内において、ウエハの第1の主面上の絶縁膜に形成され、配線溝およびビアを有する銅埋め込み配線構造の内、前記ビアの底面に存在する絶縁性銅拡散バリア膜をドライエッチングにより、除去する工程;
(b)前記工程(a)の後、前記ドライエッチング装置から前記ウエハを搬出する工程;
(c)搬出された前記ウエハを非酸化性乾燥ガス雰囲気中で保管する工程;
(d)保管された前記ウエハをウエット処理装置内に搬入する工程;
(e)前記ウエット処理装置内において、搬入された前記ウエハの前記第1の主面側に対して、前記ドライエッチング時に形成されたポリマーを除去する洗浄処理を実行する工程;
(f)前記工程(e)の後、前記ウエット処理装置から前記ウエハを搬出する工程;
(g)前記工程(f)の後、前記絶縁膜の表面と前記配線溝およびビアの内面に銅拡散バリア・メタル膜を形成させる工程。
1. A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) Insulating copper which is formed in an insulating film on the first main surface of the wafer in the etching process chamber of the dry etching apparatus and which exists on the bottom surface of the via in the copper embedded wiring structure having a wiring groove and a via. Removing the diffusion barrier film by dry etching;
(B) a step of unloading the wafer from the dry etching apparatus after the step (a);
(C) storing the unloaded wafer in a non-oxidizing dry gas atmosphere;
(D) carrying the stored wafer into a wet processing apparatus;
(E) performing a cleaning process for removing the polymer formed during the dry etching on the first main surface side of the loaded wafer in the wet processing apparatus;
(F) A step of unloading the wafer from the wet processing apparatus after the step (e);
(G) After the step (f), a step of forming a copper diffusion barrier metal film on the surface of the insulating film and the inner surfaces of the wiring grooves and vias.

2.前記1項の半導体集積回路装置の製造方法において、前記工程(b)は以下の下位工程を含む:
(b1)前記ドライエッチング装置とウエハ搬送容器を連結した状態で、前記ウエハを前記ドライエッチング装置から前記ウエハ搬送容器へ移送する工程;
(b2)前記ドライエッチング装置と前記ウエハ搬送容器とを切り離す工程。
2. In the method for manufacturing a semiconductor integrated circuit device according to the item 1, the step (b) includes the following sub-steps:
(B1) transferring the wafer from the dry etching apparatus to the wafer transfer container in a state where the dry etching apparatus and the wafer transfer container are connected;
(B2) A step of separating the dry etching apparatus and the wafer transfer container.

3.前記2項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器は密閉型である。   3. In the method of manufacturing a semiconductor integrated circuit device according to the item 2, the wafer transfer container is a sealed type.

4.前記3項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器はフープである。   4). In the method of manufacturing a semiconductor integrated circuit device according to the item 3, the wafer transfer container is a hoop.

5.前記1から4項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、待機領域内において行われる。   5). 5. In the method for manufacturing a semiconductor integrated circuit device according to any one of items 1 to 4, the storing of the step (c) is performed in a standby area.

6.前記1から4項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、ストッカ内において行われる。   6). 5. In the method for manufacturing a semiconductor integrated circuit device according to any one of items 1 to 4, the storage of the step (c) is performed in a stocker.

7.前記2から6項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、前記ウエハ搬送容器の第1の呼吸孔から前記非酸化性乾燥ガス雰囲気を供給することによって行われる。   7. 7. In the method of manufacturing a semiconductor integrated circuit device according to any one of 2 to 6, the storing in the step (c) supplies the non-oxidizing dry gas atmosphere from the first breathing hole of the wafer transfer container. Is done by.

8.前記2から6項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、前記ウエハ搬送容器の第1の呼吸孔から前記非酸化性乾燥ガス雰囲気を供給し、前記ウエハ搬送容器の第2の呼吸孔から前記非酸化性乾燥ガス雰囲気を排出することによって行われる。   8). In the method for manufacturing a semiconductor integrated circuit device according to any one of 2 to 6, the storage in the step (c) supplies the non-oxidizing dry gas atmosphere from the first breathing hole of the wafer transfer container, This is performed by discharging the non-oxidizing dry gas atmosphere from the second breathing hole of the wafer transfer container.

9.前記1から8項のいずれか一つの半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は不活性ガスを主成分とする。   9. 9. The method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 8, wherein the non-oxidizing dry gas atmosphere contains an inert gas as a main component.

10.前記1から8項のいずれか一つの半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は窒素ガスを主成分とする。   10. 9. The method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 8, wherein the non-oxidizing dry gas atmosphere contains nitrogen gas as a main component.

11.以下の工程を含む半導体集積回路装置の製造方法:
(a)ドライエッチング装置のエッチング処理室内において、ウエハの第1の主面上の絶縁膜に形成され、配線溝およびビアを有する銅埋め込み配線構造の内、前記ビアの底面に存在する絶縁性銅拡散バリア膜をドライエッチングにより、除去する工程;
(b)前記工程(a)の後、前記ドライエッチング装置から前記ウエハを搬出する工程;
(c)搬出された前記ウエハを非酸化性乾燥ガス雰囲気中で保管する工程;
(d)保管された前記ウエハをウエット処理装置内に搬入する工程;
(e)前記ウエット処理装置内において、搬入された前記ウエハの前記第1の主面側に対して、前記ドライエッチング時に形成されたポリマーを除去する洗浄処理を実行する工程;
(f)前記工程(e)の後、前記ウエット処理装置から前記ウエハを搬出する工程;
(g)前記工程(f)の後、前記絶縁膜の表面と前記配線溝およびビアの内面に銅拡散バリア・メタル膜を形成させる工程、
ここで、前記工程(a)は、以下の下位工程を含む:
(a1)前記エッチング処理室内において、フルオロ・カーボン系のエッチング・ガスを含むエッチング雰囲気中でドライエッチング処理を実行する工程;
(a2)前記工程(a1)の後、前記エッチング処理室内において、窒素を主要な成分の一つとする非酸化性雰囲気中でプラズマ処理を実行する工程。
11. A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) Insulating copper which is formed in an insulating film on the first main surface of the wafer in the etching process chamber of the dry etching apparatus and which exists on the bottom surface of the via in the copper embedded wiring structure having a wiring groove and a via. Removing the diffusion barrier film by dry etching;
(B) a step of unloading the wafer from the dry etching apparatus after the step (a);
(C) storing the unloaded wafer in a non-oxidizing dry gas atmosphere;
(D) carrying the stored wafer into a wet processing apparatus;
(E) performing a cleaning process for removing the polymer formed during the dry etching on the first main surface side of the loaded wafer in the wet processing apparatus;
(F) A step of unloading the wafer from the wet processing apparatus after the step (e);
(G) After the step (f), a step of forming a copper diffusion barrier metal film on the surface of the insulating film and the inner surface of the wiring groove and via;
Here, the step (a) includes the following substeps:
(A1) performing a dry etching process in an etching atmosphere containing a fluorocarbon-based etching gas in the etching process chamber;
(A2) A step of performing plasma treatment in a non-oxidizing atmosphere containing nitrogen as one of main components in the etching chamber after the step (a1).

12.前記11項の半導体集積回路装置の製造方法において、前記エッチング雰囲気は、酸化性反応種を生成する成分を実質的に含まない。   12 12. The manufacturing method of a semiconductor integrated circuit device according to the item 11, wherein the etching atmosphere does not substantially contain a component that generates an oxidizing reactive species.

13.前記11または12項の半導体集積回路装置の製造方法において、前記エッチング雰囲気は、トリ・フルオロ・メタンを含む。   13. In the method for manufacturing a semiconductor integrated circuit device according to the item 11 or 12, the etching atmosphere contains trifluoromethane.

14.前記11から13項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(b)は以下の下位工程を含む:
(b1)前記ドライエッチング装置とウエハ搬送容器を連結した状態で、前記ウエハを前記ドライエッチング装置から前記ウエハ搬送容器へ移送する工程;
(b2)前記ドライエッチング装置と前記ウエハ搬送容器とを切り離す工程。
14 14. The method for manufacturing a semiconductor integrated circuit device according to any one of 11 to 13, wherein the step (b) includes the following substeps:
(B1) transferring the wafer from the dry etching apparatus to the wafer transfer container in a state where the dry etching apparatus and the wafer transfer container are connected;
(B2) A step of separating the dry etching apparatus and the wafer transfer container.

15.前記14項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器は密閉型である。   15. 15. The method for manufacturing a semiconductor integrated circuit device according to item 14, wherein the wafer transfer container is a sealed type.

16.前記15項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器はフープである。   16. 16. The method for manufacturing a semiconductor integrated circuit device according to the item 15, wherein the wafer transfer container is a hoop.

17.前記11から16項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、ストッカ内において行われる。   17. 17. In the method for manufacturing a semiconductor integrated circuit device according to any one of 11 to 16, the storing of the step (c) is performed in a stocker.

18.前記14から17項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、前記ウエハ搬送容器の第1の呼吸孔から前記非酸化性乾燥ガス雰囲気を供給し、前記ウエハ搬送容器の第2の呼吸孔から前記非酸化性乾燥ガス雰囲気を排出することによって行われる。   18. In the method of manufacturing a semiconductor integrated circuit device according to any one of 14 to 17, the storage in the step (c) supplies the non-oxidizing dry gas atmosphere from a first breathing hole of the wafer transfer container, This is performed by discharging the non-oxidizing dry gas atmosphere from the second breathing hole of the wafer transfer container.

19.前記11から18項のいずれか一つの半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は不活性ガスを主成分とする。   19. 19. In the method for manufacturing a semiconductor integrated circuit device according to any one of 11 to 18, the non-oxidizing dry gas atmosphere contains an inert gas as a main component.

20.前記11から18項のいずれか一つの半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は窒素ガスを主成分とする。   20. 19. In the method of manufacturing a semiconductor integrated circuit device according to any one of 11 to 18, the non-oxidizing dry gas atmosphere contains nitrogen gas as a main component.

21.前記11から18項のいずれか一つの半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は酸素ガスを実質的に含まない。   21. 19. In the method for manufacturing a semiconductor integrated circuit device according to any one of items 11 to 18, the non-oxidizing dry gas atmosphere does not substantially contain oxygen gas.

次に、本願において開示される発明のその他の実施の形態について概要を説明する。   Next, an outline of another embodiment of the invention disclosed in the present application will be described.

22.以下の工程を含む半導体集積回路装置の製造方法:
(a)ドライエッチング装置のエッチング処理室内において、ウエハの第1の主面上の絶縁膜に形成され、配線溝およびビアを有する銅埋め込み配線構造の内、前記ビアの底面に存在する絶縁性銅拡散バリア膜をドライエッチングにより、除去する工程;
(b)前記工程(a)の後、前記ドライエッチング装置から前記ウエハを搬出する工程;
(c)搬出された前記ウエハをウエット処理装置内に搬入する工程;
(d)前記ウエット処理装置内において、搬入された前記ウエハの前記第1の主面側に対して、前記ドライエッチング時に形成されたポリマーを除去する洗浄処理を実行する工程;
(e)前記工程(d)の後、前記ウエット処理装置から前記ウエハを搬出する工程;
(f)前記工程(e)の後、前記絶縁膜の表面と前記配線溝およびビアの内面に銅拡散バリア・メタル膜を形成させる工程、
ここで、前記工程(a)は、以下の下位工程を含む:
(a1)前記エッチング処理室内において、フルオロ・カーボン系のエッチング・ガスを含み、酸化性反応種を生成する成分を実質的に含まないエッチング雰囲気中でドライエッチング処理を実行する工程;
(a2)前記工程(a1)の後、前記エッチング処理室内において、窒素を主要な成分の一つとする非酸化性雰囲気中でプラズマ処理を実行する工程。
22. A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) Insulating copper which is formed in an insulating film on the first main surface of the wafer in the etching process chamber of the dry etching apparatus and which exists on the bottom surface of the via in the copper embedded wiring structure having a wiring groove and a via. Removing the diffusion barrier film by dry etching;
(B) a step of unloading the wafer from the dry etching apparatus after the step (a);
(C) carrying the unloaded wafer into a wet processing apparatus;
(D) performing a cleaning process for removing the polymer formed during the dry etching on the first main surface side of the loaded wafer in the wet processing apparatus;
(E) a step of unloading the wafer from the wet processing apparatus after the step (d);
(F) After the step (e), a step of forming a copper diffusion barrier metal film on the surface of the insulating film and the inner surface of the wiring groove and via,
Here, the step (a) includes the following substeps:
(A1) performing a dry etching process in an etching atmosphere containing an etching gas containing a fluorocarbon-based etching gas and substantially free of oxidizing reactive species in the etching process chamber;
(A2) A step of performing plasma treatment in a non-oxidizing atmosphere containing nitrogen as one of main components in the etching chamber after the step (a1).

23.前記22項の半導体集積回路装置の製造方法において、前記エッチング雰囲気は、トリ・フルオロ・メタンを含む。   23. 23. In the method for manufacturing a semiconductor integrated circuit device according to the item 22, the etching atmosphere contains trifluoromethane.

24.以下の工程を含む半導体集積回路装置の製造方法:
(a)ドライエッチング装置のエッチング処理室内において、ウエハの第1の主面上の絶縁膜に形成され、配線溝およびビアを有する埋め込み配線構造の内、前記ビアの底面に存在する絶縁性配線金属拡散バリア膜をドライエッチングにより、除去する工程;
(b)前記工程(a)の後、前記ドライエッチング装置から前記ウエハを搬出する工程;
(c)搬出された前記ウエハを非酸化性乾燥ガス雰囲気中で保管する工程;
(d)保管された前記ウエハをウエット処理装置内に搬入する工程;
(e)前記ウエット処理装置内において、搬入された前記ウエハの前記第1の主面側に対して、前記ドライエッチング時に形成されたポリマーを除去する洗浄処理を実行する工程;
(f)前記工程(e)の後、前記ウエット処理装置から前記ウエハを搬出する工程;
(g)前記工程(f)の後、前記絶縁膜の表面と前記配線溝およびビアの内面に配線金属拡散バリア・メタル膜を形成させる工程。
24. A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) Insulating wiring metal which is formed in an insulating film on the first main surface of the wafer in the etching chamber of the dry etching apparatus and which exists on the bottom surface of the via in the embedded wiring structure having a wiring groove and a via. Removing the diffusion barrier film by dry etching;
(B) a step of unloading the wafer from the dry etching apparatus after the step (a);
(C) storing the unloaded wafer in a non-oxidizing dry gas atmosphere;
(D) carrying the stored wafer into a wet processing apparatus;
(E) performing a cleaning process for removing the polymer formed during the dry etching on the first main surface side of the loaded wafer in the wet processing apparatus;
(F) A step of unloading the wafer from the wet processing apparatus after the step (e);
(G) After the step (f), a step of forming a wiring metal diffusion barrier metal film on the surface of the insulating film and the inner surfaces of the wiring grooves and vias.

25.前記24項の半導体集積回路装置の製造方法において、前記工程(b)は以下の下位工程を含む:
(b1)前記ドライエッチング装置とウエハ搬送容器を連結した状態で、前記ウエハを前記ドライエッチング装置から前記ウエハ搬送容器へ移送する工程;
(b2)前記ドライエッチング装置と前記ウエハ搬送容器とを切り離す工程。
25. 25. In the method for manufacturing a semiconductor integrated circuit device according to the item 24, the step (b) includes the following sub-steps:
(B1) transferring the wafer from the dry etching apparatus to the wafer transfer container in a state where the dry etching apparatus and the wafer transfer container are connected;
(B2) A step of separating the dry etching apparatus and the wafer transfer container.

26.前記25項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器は密閉型である。   26. 26. In the method for manufacturing a semiconductor integrated circuit device according to the item 25, the wafer transfer container is a sealed type.

27.前記26項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器はフープである。   27. 27. In the method for manufacturing a semiconductor integrated circuit device according to the item 26, the wafer transfer container is a hoop.

28.前記24から27項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、待機領域内において行われる。   28. 28. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 24 to 27, the storage of the step (c) is performed in a standby area.

29.前記24から27項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、ストッカ内において行われる。   29. 28. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 24 to 27, the storage of the step (c) is performed in a stocker.

30.前記25から29項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、前記ウエハ搬送容器の第1の呼吸孔から前記非酸化性乾燥ガス雰囲気を供給することによって行われる。   30. 30. In the method of manufacturing a semiconductor integrated circuit device according to any one of Items 25 to 29, the storage in the step (c) supplies the non-oxidizing dry gas atmosphere from the first breathing hole of the wafer transfer container. Is done by.

31.前記25から29項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、前記ウエハ搬送容器の第1の呼吸孔から前記非酸化性乾燥ガス雰囲気を供給し、前記ウエハ搬送容器の第2の呼吸孔から前記非酸化性乾燥ガス雰囲気を排出することによって行われる。   31. 30. In the method of manufacturing a semiconductor integrated circuit device according to any one of 25 to 29, the storage in the step (c) supplies the non-oxidizing dry gas atmosphere from a first breathing hole of the wafer transfer container, This is performed by discharging the non-oxidizing dry gas atmosphere from the second breathing hole of the wafer transfer container.

32.前記24から31項のいずれか一つの半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は不活性ガスを主成分とする。   32. 32. In the method of manufacturing a semiconductor integrated circuit device according to any one of 24 to 31, the non-oxidizing dry gas atmosphere contains an inert gas as a main component.

33.前記24から31項のいずれか一つの半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は窒素ガスを主成分とする。   33. 32. In the method of manufacturing a semiconductor integrated circuit device according to any one of 24 to 31, the non-oxidizing dry gas atmosphere contains nitrogen gas as a main component.

34.以下の工程を含む半導体集積回路装置の製造方法:
(a)ドライエッチング装置のエッチング処理室内において、ウエハの第1の主面上の絶縁膜に形成され、配線溝およびビアを有する埋め込み配線構造の内、前記ビアの底面に存在する絶縁性配線金属拡散バリア膜をドライエッチングにより、除去する工程;
(b)前記工程(a)の後、前記ドライエッチング装置から前記ウエハを搬出する工程;
(c)搬出された前記ウエハを非酸化性乾燥ガス雰囲気中で保管する工程;
(d)保管された前記ウエハをウエット処理装置内に搬入する工程;
(e)前記ウエット処理装置内において、搬入された前記ウエハの前記第1の主面側に対して、前記ドライエッチング時に形成されたポリマーを除去する洗浄処理を実行する工程;
(f)前記工程(e)の後、前記ウエット処理装置から前記ウエハを搬出する工程;
(g)前記工程(f)の後、前記絶縁膜の表面と前記配線溝およびビアの内面に配線金属拡散バリア・メタル膜を形成させる工程、
ここで、前記工程(a)は、以下の下位工程を含む:
(a1)前記エッチング処理室内において、フルオロ・カーボン系のエッチング・ガスを含むエッチング雰囲気中でドライエッチング処理を実行する工程;
(a2)前記工程(a1)の後、前記エッチング処理室内において、窒素を主要な成分の一つとする非酸化性雰囲気中でプラズマ処理を実行する工程。
34. A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) Insulating wiring metal which is formed in an insulating film on the first main surface of the wafer in the etching chamber of the dry etching apparatus and which exists on the bottom surface of the via in the embedded wiring structure having a wiring groove and a via. Removing the diffusion barrier film by dry etching;
(B) a step of unloading the wafer from the dry etching apparatus after the step (a);
(C) storing the unloaded wafer in a non-oxidizing dry gas atmosphere;
(D) carrying the stored wafer into a wet processing apparatus;
(E) performing a cleaning process for removing the polymer formed during the dry etching on the first main surface side of the loaded wafer in the wet processing apparatus;
(F) A step of unloading the wafer from the wet processing apparatus after the step (e);
(G) After the step (f), a step of forming a wiring metal diffusion barrier metal film on the surface of the insulating film and the inner surfaces of the wiring grooves and vias;
Here, the step (a) includes the following substeps:
(A1) performing a dry etching process in an etching atmosphere containing a fluorocarbon-based etching gas in the etching process chamber;
(A2) A step of performing plasma treatment in a non-oxidizing atmosphere containing nitrogen as one of main components in the etching chamber after the step (a1).

35.前記34項の半導体集積回路装置の製造方法において、前記エッチング雰囲気は、酸化性反応種を生成する成分を実質的に含まない。   35. In the method for manufacturing a semiconductor integrated circuit device according to the item 34, the etching atmosphere does not substantially contain a component that generates an oxidizing reactive species.

36.前記34または35項の半導体集積回路装置の製造方法において、前記エッチング雰囲気は、トリ・フルオロ・メタンを含む。   36. 36. In the method for manufacturing a semiconductor integrated circuit device according to the item 34 or 35, the etching atmosphere contains trifluoromethane.

37.前記34から36項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(b)は以下の下位工程を含む:
(b1)前記ドライエッチング装置とウエハ搬送容器を連結した状態で、前記ウエハを前記ドライエッチング装置から前記ウエハ搬送容器へ移送する工程;
(b2)前記ドライエッチング装置と前記ウエハ搬送容器とを切り離す工程。
37. 37. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 34 to 36, the step (b) includes the following substeps:
(B1) transferring the wafer from the dry etching apparatus to the wafer transfer container in a state where the dry etching apparatus and the wafer transfer container are connected;
(B2) A step of separating the dry etching apparatus and the wafer transfer container.

38.前記37項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器は密閉型である。   38. 38. In the method for manufacturing a semiconductor integrated circuit device according to the item 37, the wafer transfer container is a sealed type.

39.前記38項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器はフープである。   39. 38. In the method for manufacturing a semiconductor integrated circuit device according to the item 38, the wafer transfer container is a hoop.

40.前記34から39項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、ストッカ内において行われる。   40. 40. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 34 to 39, the storing of the step (c) is performed in a stocker.

41.前記37から40項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、前記ウエハ搬送容器の第1の呼吸孔から前記非酸化性乾燥ガス雰囲気を供給し、前記ウエハ搬送容器の第2の呼吸孔から前記非酸化性乾燥ガス雰囲気を排出することによって行われる。   41. In the method for manufacturing a semiconductor integrated circuit device according to any one of 37 to 40, the storage in the step (c) supplies the non-oxidizing dry gas atmosphere from a first breathing hole of the wafer transfer container, This is performed by discharging the non-oxidizing dry gas atmosphere from the second breathing hole of the wafer transfer container.

42.前記34から41項のいずれか一つの半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は不活性ガスを主成分とする。   42. 42. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 34 to 41, the non-oxidizing dry gas atmosphere contains an inert gas as a main component.

43.前記11から41項のいずれか一つの半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は窒素ガスを主成分とする。   43. 42. In the method for manufacturing a semiconductor integrated circuit device according to any one of 11 to 41, the non-oxidizing dry gas atmosphere contains nitrogen gas as a main component.

44.前記11から41項のいずれか一つの半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は酸素ガスを実質的に含まない。   44. 42. In the method for manufacturing a semiconductor integrated circuit device according to any one of 11 to 41, the non-oxidizing dry gas atmosphere does not substantially contain oxygen gas.

45.以下の工程を含む半導体集積回路装置の製造方法:
(a)ドライエッチング装置のエッチング処理室内において、ウエハの第1の主面上の絶縁膜に形成され、配線溝およびビアを有する銅埋め込み配線構造の内、前記ビアの底面に存在する絶縁性銅拡散バリア膜をドライエッチングにより、除去する工程;
(b)前記工程(a)の後、前記ドライエッチング装置から前記ウエハを搬出する工程;
(c)搬出された前記ウエハを非酸化性乾燥ガス雰囲気中で保管する工程;
(d)保管された前記ウエハをウエット処理装置内に搬入する工程;
(e)前記ウエット処理装置内において、搬入された前記ウエハの前記第1の主面側に対して、前記ドライエッチング時に形成されたポリマーを除去する洗浄処理を実行する工程;
(f)前記工程(e)の後、前記ウエット処理装置から前記ウエハを搬出する工程;
(g)前記工程(f)の後、前記絶縁膜の表面と前記配線溝およびビアの内面に銅拡散バリア・メタル膜を形成させる工程、
ここで、前記工程(a)は、以下の下位工程を含む:
(a1)前記エッチング処理室内において、フルオロ・カーボン系のエッチング・ガスおよび窒素ガスを主要な成分として含むエッチング雰囲気中でドライエッチング処理を実行する工程。
45. A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) Insulating copper which is formed in an insulating film on the first main surface of the wafer in the etching process chamber of the dry etching apparatus and which exists on the bottom surface of the via in the copper embedded wiring structure having a wiring groove and a via. Removing the diffusion barrier film by dry etching;
(B) a step of unloading the wafer from the dry etching apparatus after the step (a);
(C) storing the unloaded wafer in a non-oxidizing dry gas atmosphere;
(D) carrying the stored wafer into a wet processing apparatus;
(E) performing a cleaning process for removing the polymer formed during the dry etching on the first main surface side of the loaded wafer in the wet processing apparatus;
(F) A step of unloading the wafer from the wet processing apparatus after the step (e);
(G) After the step (f), a step of forming a copper diffusion barrier metal film on the surface of the insulating film and the inner surface of the wiring groove and via;
Here, the step (a) includes the following substeps:
(A1) A step of performing a dry etching process in an etching atmosphere containing a fluorocarbon-based etching gas and a nitrogen gas as main components in the etching process chamber.

46.前記45項の半導体集積回路装置の製造方法において、前記エッチング雰囲気は非酸化性雰囲気である。   46. 46. In the method for manufacturing a semiconductor integrated circuit device according to the item 45, the etching atmosphere is a non-oxidizing atmosphere.

47.前記45または46項の半導体集積回路装置の製造方法において、前記エッチング雰囲気は実質的に酸素ガスを含まない。   47. 47. In the method for manufacturing a semiconductor integrated circuit device according to the item 45 or 46, the etching atmosphere does not substantially contain oxygen gas.

48.前記45から47項のいずれか一つの半導体集積回路装置の製造方法において、前記エッチング雰囲気中の窒素ガス濃度は流量比で20%以上である。   48. 48. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 45 to 47, the nitrogen gas concentration in the etching atmosphere is 20% or more in a flow rate ratio.

49.前記45から47項のいずれか一つの半導体集積回路装置の製造方法において、前記エッチング雰囲気中の窒素ガス濃度は流量比で30%以上である。   49. 48. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 45 to 47, a nitrogen gas concentration in the etching atmosphere is 30% or more in a flow rate ratio.

50.前記45から49項のいずれか一つの半導体集積回路装置の製造方法において、前記エッチング雰囲気中の酸素ガス濃度は流量比で0.5%未満である。   50. 50. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 45 to 49, the oxygen gas concentration in the etching atmosphere is less than 0.5% in flow rate ratio.

51.前記45から49項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(a)は、更に以下の下位工程を含む:
(a2)前記工程(a1)の後、前記エッチング処理室内において、窒素を主要な成分の一つとする非酸化性雰囲気中でプラズマ処理を実行する工程。
51. 50. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 45 to 49, the step (a) further includes the following substeps:
(A2) A step of performing plasma treatment in a non-oxidizing atmosphere containing nitrogen as one of main components in the etching chamber after the step (a1).

52.前記45から51項のいずれか一つの半導体集積回路装置の製造方法において、前記エッチング雰囲気は、酸化性反応種を生成する成分を実質的に含まない。   52. 52. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 45 to 51, the etching atmosphere does not substantially include a component that generates an oxidizing reactive species.

53.前記45から52項のいずれか一つの半導体集積回路装置の製造方法において、前記エッチング雰囲気は、トリ・フルオロ・メタンを含む。   53. 53. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 45 to 52, the etching atmosphere includes trifluoromethane.

54.前記45から53項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(b)は以下の下位工程を含む:
(b1)前記ドライエッチング装置とウエハ搬送容器を連結した状態で、前記ウエハを前記ドライエッチング装置から前記ウエハ搬送容器へ移送する工程;
(b2)前記ドライエッチング装置と前記ウエハ搬送容器とを切り離す工程。
54. 54. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 45 to 53, the step (b) includes the following substeps:
(B1) transferring the wafer from the dry etching apparatus to the wafer transfer container in a state where the dry etching apparatus and the wafer transfer container are connected;
(B2) A step of separating the dry etching apparatus and the wafer transfer container.

55.前記54項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器は密閉型である。   55. 54. In the method of manufacturing a semiconductor integrated circuit device according to the item 54, the wafer transfer container is a sealed type.

56.前記55項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器はフープである。   56. 55. In the method of manufacturing a semiconductor integrated circuit device according to 55, the wafer transfer container is a hoop.

57.前記45から56項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、ストッカ内において行われる。   57. 57. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 45 to 56, the storage of the step (c) is performed in a stocker.

58.前記54から57項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(c)の保管は、前記ウエハ搬送容器の第1の呼吸孔から前記非酸化性乾燥ガス雰囲気を供給し、前記ウエハ搬送容器の第2の呼吸孔から前記非酸化性乾燥ガス雰囲気を排出することによって行われる。   58. 58. In the method of manufacturing a semiconductor integrated circuit device according to any one of 54 to 57, the storage in the step (c) supplies the non-oxidizing dry gas atmosphere from a first breathing hole of the wafer transfer container, This is performed by discharging the non-oxidizing dry gas atmosphere from the second breathing hole of the wafer transfer container.

59.前記45から58項のいずれか一つの半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は不活性ガスを主成分とする。   59. 59. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 45 to 58, the non-oxidizing dry gas atmosphere contains an inert gas as a main component.

60.前記45から58項のいずれか一つの半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は窒素ガスを主成分とする。   60. 59. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 45 to 58, the non-oxidizing dry gas atmosphere contains nitrogen gas as a main component.

〔本願における記載形式・基本的用語・用法の説明〕
1.本願において、実施の態様の記載は、必要に応じて、便宜上複数の部分又はセクションに分けて記載する場合もあるが、特にそうでない旨明示した場合を除き、これらは相互に独立別個のものではなく、単一の例の各部分、一方が他方の一部詳細または一部または全部の変形例等である。また、原則として、同様の部分は繰り返しを省略する。また、実施の態様における各構成要素は、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、必須のものではない。
[Description format, basic terms, usage in this application]
1. In the present application, the description of the embodiment may be divided into a plurality of parts or sections for convenience, if necessary, but these are not independent from each other unless otherwise specified. Rather, each part of a single example, one of which is a partial detail of the other or a part or all of a modification. Moreover, as a general rule, the same part is not repeated. In addition, each component in the embodiment is not indispensable unless specifically stated otherwise, unless it is theoretically limited to the number, and obviously not in context.

2.同様に実施の態様等の記載において、材料、組成等について、「AからなるX」等といっても、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、A以外の要素を主要な構成要素のひとつとするものを排除するものではない。たとえば、成分についていえば、「Aを主要な成分として含むX」等の意味である。たとえば、「シリコン部材」等といっても、純粋なシリコンに限定されるものではなく、SiGe合金やその他シリコンを主要な成分とする多元合金、その他の添加物等を含む部材も含むものであることはいうまでもない。   2. Similarly, in the description of the embodiment, etc., regarding the material, composition, etc., “X consisting of A” etc. is an element other than A unless specifically stated otherwise and clearly not in context. It is not excluded that one of the main components. For example, as for the component, it means “X containing A as a main component”. For example, “silicon member” is not limited to pure silicon, but also includes SiGe alloys, other multi-component alloys containing silicon as a main component, and members containing other additives. Needless to say.

3.半導体技術において、多用される絶縁膜は、主として有機材料を主要な構成要素とする有機系絶縁膜(ポリイミド膜、BCB膜などの外、有機系モノマーと無機系モノマーの共重合体を含む)と、主として無機材料を主要な構成要素とする無機系絶縁膜に分けられる。無機系絶縁膜の内、もっとも多用されているのは、シリコン含有無機系絶縁膜である。このシリコン含有無機系絶縁膜は、酸化シリコン膜(酸化シリコン・ベース絶縁膜)と非酸化シリコン膜(一般に酸素含有量が数at%以下、通常SiCNなどで0.5at%前後またはそれ以下)に大別される。非酸化シリコン膜の代表は窒化シリコン膜(SiNまたはSi)、シリコンカーバイド(SiC)、SiCN等の非シリカ・ガラス系シリコン含有無機系絶縁膜である(なお、SiN、SiC、SiCN等と表示されているが、一般にはかなりの量の水素を含有する。また、その他の微量含有物を排除するものではない)。さらに、化学量論的な化合物等だけでなく非化学量論的な化合物等も含むことは言うまでもない。 3. In semiconductor technology, an insulating film frequently used is an organic insulating film mainly containing an organic material (including a copolymer of an organic monomer and an inorganic monomer in addition to a polyimide film, a BCB film, etc.) and The inorganic insulating film is mainly composed of an inorganic material as a main component. Of the inorganic insulating films, the silicon-containing inorganic insulating film is most frequently used. This silicon-containing inorganic insulating film is divided into a silicon oxide film (silicon oxide base insulating film) and a non-oxide silicon film (generally oxygen content is several at% or less, usually about 0.5 at% or less for SiCN or the like). Broadly divided. Typical examples of the non-oxide silicon film are non-silica / glass-based silicon-containing inorganic insulating films such as silicon nitride film (SiN or Si 3 N 4 ), silicon carbide (SiC), SiCN (SiN, SiC, SiCN, etc.) But generally contains a significant amount of hydrogen and does not exclude other trace contents). Furthermore, it goes without saying that not only stoichiometric compounds and the like but also non-stoichiometric compounds and the like are included.

ここで「酸化シリコン膜」と言っても、比較的純粋な非ドープ酸化シリコン(Undoped Silicon Dioxide)だけでなく、FSG(Fluorosilicate Glass)、TEOSベース酸化シリコン(TEOS-based silicon oxide)、SiOC(Silicon Oxicarbide)またはカーボンドープ酸化シリコン(Carbon-doped Silicon oxide)またはOSG(Organosilicate glass)、PSG(Phosphorus Silicate Glass)、BPSG(Borophosphosilicate Glass)等の熱酸化膜、CVD酸化膜、無機シロキサン系のHSQ(Hydrogen Silsesquioxane)や有機シロキサン系のMSQ(Methyl Silsesquioxane)等のSOG(Spin ON Glass)、ナノ・クラスタリング・シリカ(Nano-Clustering Silica:NSC)等の塗布系酸化シリコン(塗布系シリカ・ガラス)、これらと同様な部材に空孔を導入したシリカ系Low-k絶縁膜(ポーラス系絶縁膜)、およびこれらを主要な構成要素とする他のシリコン系絶縁膜との複合膜等を含むことは言うまでもない。SiOCやSiCNで2番目以降の元素の順序は、一般に元素組成の多い順とされている。従って、酸化シリコンカーバイドSiCOはカーボンドープ酸化シリコンSiOCよりも酸素組成が少ない。   Here, the term “silicon oxide film” refers not only to relatively pure undoped silicon oxide (FS), but also to FSG (Fluorosilicate Glass), TEOS-based silicon oxide, and SiOC (Silicon). Oxicarbide) or carbon-doped silicon oxide (OSG) (Organosilicate glass), PSG (Phosphorus Silicate Glass), BPSG (Borophosphosilicate Glass) and other thermal oxide films, CVD oxide films, inorganic siloxane HSQ (Hydrogen Silsesquioxane) and organic siloxane MSQ (Methyl Silsesquioxane) and other SOG (Spin ON Glass), nano-clustering silica (Nano-Clustering Silica: NSC) and other coated silicon oxide (coated silica glass) Needless to say, it includes a silica-based low-k insulating film (porous insulating film) in which pores are introduced into similar members, and a composite film with other silicon-based insulating films having these as main components. Absent. The order of the second and subsequent elements in SiOC and SiCN is generally the order of the element composition. Therefore, silicon oxide carbide SiCO has a lower oxygen composition than carbon-doped silicon oxide SiOC.

なお、カーボンドープ酸化シリコン膜やMSQ膜は相当量の有機成分を含むが有機ポリマー系絶縁膜との対比上、無機系膜に分類される。   The carbon-doped silicon oxide film and the MSQ film contain a considerable amount of organic components, but are classified as inorganic films in comparison with the organic polymer insulating film.

4.同様に、図形、位置、属性等に関して、好適な例示をするが、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、厳密にそれに限定されるものではないことは言うまでもない。   4). Similarly, suitable examples of graphics, positions, attributes, and the like are given, but it is needless to say that the present invention is not strictly limited to those cases unless explicitly stated otherwise, and unless otherwise apparent from the context.

5.さらに、特定の数値、数量に言及したときも、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、その特定の数値を超える数値であってもよいし、その特定の数値未満の数値でもよい。   5). In addition, when a specific number or quantity is mentioned, a numerical value exceeding that specific number will be used unless specifically stated otherwise, unless theoretically limited to that number, or unless otherwise clearly indicated by the context. There may be a numerical value less than the specific numerical value.

6.「ウエハ」というときは、通常は半導体集積回路装置(半導体装置、電子装置も同じ)をその上に形成する単結晶シリコンウエハを指すが、エピタキシャルウエハ、SOIウエハ等の絶縁基板と半導体層等の複合ウエハ、その上に半導体装置または半導体集積回路装置を形成するためのガラス基板等も含むことは言うまでもない。また、状況により、これらの素子未形成基板のみでなく、ウエハ上への半導体装置または半導体集積回路装置形成プロセス途中のものの全体についても、同様にウエハという。   6). “Wafer” usually refers to a single crystal silicon wafer on which a semiconductor integrated circuit device (same as a semiconductor device or an electronic device) is formed, but an insulating substrate such as an epitaxial wafer or an SOI wafer and a semiconductor layer or the like. Needless to say, the composite wafer includes a glass substrate or the like for forming a semiconductor device or a semiconductor integrated circuit device thereon. In addition, depending on the situation, not only these non-element-formed substrates but also the entire semiconductor device or semiconductor integrated circuit device forming process on the wafer is also referred to as a wafer.

7.デバイス面とは、ウエハの主面であって、その面にリソグラフィにより、複数のチップ領域に対応するデバイスパターンが形成される面をいう。   7. The device surface is a main surface of a wafer on which a device pattern corresponding to a plurality of chip regions is formed by lithography.

8.レジストパターンとは、感光性樹脂膜(レジスト膜)をフォトリソグラフィの手法により、パターニングした膜パターンを言う。なお、このパターンには当該部分に関して全く開口のない単なるレジスト膜を含む。一般に感光性樹脂膜、フォトリソグラフィとは、光によるものを言うが、本願では、便宜上、特にそうでない旨限定したときを除き、電子線や紫外線より更に短波長の電磁波に感応するレジスト等を用いるパターン形成技術等も含むものとする。   8). The resist pattern refers to a film pattern obtained by patterning a photosensitive resin film (resist film) by a photolithography technique. This pattern includes a simple resist film having no opening at all for the portion. In general, the photosensitive resin film and photolithography are based on light, but in this application, for the sake of convenience, a resist sensitive to an electromagnetic wave having a wavelength shorter than that of an electron beam or ultraviolet light is used unless otherwise specified. Including pattern forming technology.

9.埋め込み配線構造とは、デュアルダマシン(Dual Damascene)方式やシングルダマシン(Single Damascene)方式に代表されるダマシン系埋め込み配線を指し(製作途中の一部の構成要素も含む)、層間および層内絶縁膜(キャップ層、絶縁性銅拡散バリア膜等を含む)中に作られた配線溝、ビア、およびそれらの中に埋め込まれた銅拡散バリアメタル膜、銅等の良導体金属(銅ダマシンの場合は、銅であるが、銀ダマシンでは銀となる)を主要な成分とする配線部材(配線金属)等から構成されている。   9. The embedded wiring structure refers to damascene embedded wiring represented by the dual damascene method and single damascene method (including some components in the process of production). Wiring trenches and vias made in (including cap layer, insulating copper diffusion barrier film, etc.), copper diffusion barrier metal film embedded in them, good conductor metal such as copper (in the case of copper damascene, It is composed of a wiring member (wiring metal) or the like whose main component is copper (which is silver in silver damascene).

なお、一般に両者を言い分ける必要のないときは、層間および層内絶縁膜を合わせて層間絶縁膜またはILD(Interlayer Dielectric Film)という。   In general, when there is no need to distinguish between the two, the interlayer and in-layer insulating films are collectively referred to as an interlayer insulating film or ILD (Interlayer Dielectric Film).

10.絶縁性銅拡散バリア膜(より一般的には絶縁性配線金属拡散バリア膜)とは、配線金属が不所望に拡散しないようなするために設けられた拡散バリア性を有する無機系絶縁膜である。一般に、窒化シリコン膜(SiN)、シリコンカーバイド(SiC)、SiCN等の非シリカ・ガラス系シリコン含有無機系絶縁膜が使用される。また、特殊なものとしてSiCO(SiCをベースとした酸化物で酸素含有量はほぼ20%弱である)がある。これに対してSiOC等の酸素含有量はほぼ20%以上のものが多い。   10. An insulating copper diffusion barrier film (more generally, an insulating wiring metal diffusion barrier film) is an inorganic insulating film having a diffusion barrier property provided to prevent the wiring metal from undesirably diffusing. . In general, a non-silica glass-based silicon-containing inorganic insulating film such as a silicon nitride film (SiN), silicon carbide (SiC), or SiCN is used. Further, as a special one, there is SiCO (an oxide based on SiC and having an oxygen content of almost 20%). On the other hand, the oxygen content such as SiOC is often about 20% or more.

11.銅拡散バリアメタル膜(より一般的には配線金属拡散バリアメタル膜)とは、配線金属が不所望に拡散しないようなするために設けられた拡散バリア性を有する金属膜、金属窒化膜、またはそれらの複合膜である。一般に高融点金属単体、又はその窒化物を主要な成分とする単一膜又は複合膜である。通常、スパッタリングまたはCVDにより形成される。配線金属金属が銅系部材で層間絶縁膜が酸化シリコン膜(SiO系絶縁膜)の場合は、タンタル、チタン、ルテニウム系の材料が用いられ、上層からCu/TaN/Ta/SiO、Cu/TiN/T/SiO、Cu/Ru/SiO(ルテニウムの場合は銅シード層が不要になるメリットがある)のような構造をとることが多い。   11. A copper diffusion barrier metal film (more generally, a wiring metal diffusion barrier metal film) is a metal film having a diffusion barrier property, a metal nitride film, or These composite membranes. Generally, it is a single film or a composite film containing a refractory metal alone or its nitride as a main component. Usually formed by sputtering or CVD. When the wiring metal metal is a copper-based member and the interlayer insulating film is a silicon oxide film (SiO-based insulating film), tantalum, titanium, ruthenium-based materials are used, and Cu / TaN / Ta / SiO, Cu / TiN are used from the upper layer. / T / SiO, Cu / Ru / SiO (in the case of ruthenium, there is a merit that a copper seed layer is not necessary) is often used.

12.不活性ガスとは、ヘリウム、アルゴン等の希ガスのみでなく、窒素ガス等を含むものである。もちろん、前記不活性ガスも通常半導体ラインで使用される程度に、十分に水分が除去されたものであることは言うまでもない。「乾燥ガス雰囲気」は、そのような通常半導体ラインで使用される程度に、十分に水分が除去されたものであることを示す。   12 The inert gas includes not only noble gases such as helium and argon but also nitrogen gas and the like. Of course, it goes without saying that the inert gas is also sufficiently removed of moisture to the extent that it is normally used in semiconductor lines. “Dry gas atmosphere” indicates that water has been sufficiently removed to such an extent that it is normally used in semiconductor lines.

13.反射防止膜(Anti Reflective Coating)、TARC(Top Anti Reflective Coating)またはBARC(Bottom Anti Reflective Coating)膜とは、UV光を吸収もしくは減衰させる特徴を持ち、露光時に発生する定在波やハレーションを減少させるためにレジスト膜の上部または下部に形成する膜を言う。塗布型有機材料による反射防止膜(感光性のないレジスト状の塗布材で水溶性のものもある)とCVDなどによる無機系反射防止膜がある。無機系反射防止膜としては、TiN膜やSiON(Silicon Oxynitride)膜(酸化シリコンに窒素を添加して屈折率を調整したもの)等が多用される。ここで、SiON膜は一般に、酸化シリコン膜に属する。   13. Anti-reflective coating, anti-reflective coating (TARC) or top anti-reflective coating (TARC) or bottom anti-reflective coating (BARC) has the feature of absorbing or attenuating UV light, reducing standing waves and halation generated during exposure. Therefore, a film formed on the upper or lower portion of the resist film. There are anti-reflective films made of coating-type organic materials (some resist-like non-photosensitive coating materials are water-soluble) and inorganic anti-reflective films made by CVD or the like. As the inorganic antireflection film, a TiN film, a SiON (Silicon Oxynitride) film (a film obtained by adjusting the refractive index by adding nitrogen to silicon oxide) or the like is frequently used. Here, the SiON film generally belongs to a silicon oxide film.

14.ベイとは、まとまって配置された複数の半導体製造装置からなる装置群を言い、各種半導体製造装置はベイ単位でクリーンルーム内に配置される場合が多い。   14 A bay refers to a group of devices made up of a plurality of semiconductor manufacturing devices arranged together, and various semiconductor manufacturing devices are often arranged in a clean room in units of bays.

15.フープ(FOUP)とは、ウエハを収納する保持部であるシェルと開閉扉部であるドアとで形成され、そのドアを側部に有する密閉型ウエハ収納容器をいい、密閉空間中にウエハを保持することで、大気中の異物または化学的な汚染からウエハを防御することができる。密閉といっても、正確には擬似密閉型というべきもので、内外の気圧の調整をするための一対の呼吸孔を持つ。しかし、呼吸孔にはフィルタ(ブリージングフィルタ)が取り付けられており、塵埃は侵入しないようになっている。   15. A FOUP is a sealed wafer storage container that is formed of a shell that is a holding portion for storing a wafer and a door that is an opening / closing door portion, and has the door on its side, and holds the wafer in a sealed space. By doing so, the wafer can be protected from foreign substances or chemical contamination in the atmosphere. Even if sealed, it should be a pseudo-sealed type, and it has a pair of breathing holes for adjusting the internal and external air pressure. However, a filter (breathing filter) is attached to the breathing hole so that dust does not enter.

16.SMIF(Standard Mechanical InterFace)ポッドとは、特に200φウエハ以前に用いられ、ウエハを収納する保持部であるシェルと開閉扉部であるドアとで形成され、そのドアを底部に有する密閉型ウエハ収納容器をいい、FOUP(300φウエハ以降に用いられている)同様に密閉空間中にウエハを保持することで、大気中の異物または化学的な汚染からウエハを防御することができる。これら二つをまとめて、「密閉型ウエハ搬送容器」という。   16. The SMIF (Standard Mechanical InterFace) pod is used in particular before a 200φ wafer, and is formed of a shell that is a holding portion for storing a wafer and a door that is an opening and closing door portion, and a sealed wafer storage container having the door at the bottom. Similarly to FOUP (used after 300φ wafer), the wafer can be protected from foreign substances or chemical contamination in the atmosphere by holding the wafer in the sealed space. These two are collectively referred to as a “sealed wafer transfer container”.

17.ストッカ(実施の形態では「ベイステーション」がこれに当たる)とは、ベイ間搬送とベイ内搬送との中継場所に配置され、FOUPまたはSMIFポッドなどのウエハ収納容器に収容されたウエハは、ここに一時的に待機または保管された後、ベイ内に搬送される。ここでは、広くウエハの待機場所を言う。ウエハが停止しているか、動いているかにはかかわらない。   17. The stocker (the “bay station” corresponds to this in the embodiment) is a place where the interbay transfer and the transfer within the bay are relayed, and the wafers stored in a wafer storage container such as a FOUP or SMIF pod are here. After being temporarily waiting or stored, it is transported into the bay. Here, the wafer standby place is widely referred to. It doesn't matter whether the wafer is stopped or moving.

18.RGV(Rail Guided Vehicle)とは、たとえばFOUPまたはSMIFポッドなどのウエハ収納容器のベイ内搬送に用いられる搬送車であり、軌道レールなどの軌道上を走行する有軌道搬送車を言う。無軌道上を走行するAVG(Automatic Guided Vehicle)に比べて安定した走行をさせることが可能であるため、走行の制御が容易である。   18. An RGV (Rail Guided Vehicle) is a transport vehicle used for transporting a wafer storage container such as a FOUP or SMIF pod in a bay, and means a tracked transport vehicle that travels on a track such as a track rail. Compared to an AVG (Automatic Guided Vehicle) that travels on a trackless track, it is possible to perform a stable travel, and therefore, travel control is easy.

19.AGVとは、たとえばRGV同様にFOUPまたはSMIFポッドなどのウエハ収納容器のベイ内搬送に用いられる搬送車であり、軌道レールなどを必要とせず、床に張られたガイドテープなどを追従して走行する無軌道搬送車を言う。   19. AGV is a transport vehicle used for transporting wafer storage containers such as FOUPs or SMIF pods in the bay like RGV, and does not require track rails and follows a guide tape stretched on the floor. Say a trackless transport vehicle.

20.OHT(Over-head Hoist transport)とは、たとえばFOUPまたはSMIFポッドなどのウエハ収納容器のベイ間搬送に用いられる搬送車であり、天井に敷設された軌道レールなどの軌道に沿って走行する有軌道搬送車を言う。   20. An OHT (Over-head Hoist transport) is a transport vehicle used for transporting wafer storage containers such as a FOUP or SMIF pod between bays and travels along a track such as a track rail laid on the ceiling. Say a transport vehicle.

さらに、以下の実施の形態において、その構成要素(要素ステップ等も含む)は、特に明示した場合および原理的に明らかに必須であると考えられる場合等を除き、必ずしも必須のものではないことは言うまでもない。   Further, in the following embodiments, the constituent elements (including element steps and the like) are not necessarily indispensable unless otherwise specified and apparently essential in principle. Needless to say.

同様に、以下の実施の形態において、構成要素等の形状、位置関係等に言及するときは、特に明示した場合および原理的に明らかにそうでないと考えられる場合等を除き、実質的にその形状等に近似または類似するもの等を含むものとする。このことは、上記数値および範囲についても同様である。   Similarly, in the following embodiments, when referring to the shapes, positional relationships, etc. of the components, etc., the shapes are substantially the same unless otherwise specified, or otherwise apparent in principle. And the like are included. The same applies to the above numerical values and ranges.

〔実施の形態の詳細〕
実施の形態について更に詳述する。各図中において、同一または同様の部分は同一または類似の記号または参照番号で示し、説明は原則として繰り返さない。
[Details of the embodiment]
The embodiment will be further described in detail. In the drawings, the same or similar parts are denoted by the same or similar symbols or reference numerals, and description thereof will not be repeated in principle.

(実施の形態)
1.本実施の形態に使用する半導体ウエハ工程の構成および処理フロー等の説明(主に図1から20)
このセクションでは、本実施の形態の実施に使用するウエハ・ラインの構成およびその中での被処理ウエハの動きを中心に説明する。
(Embodiment)
1. Description of semiconductor wafer process configuration and processing flow used in this embodiment (mainly FIGS. 1 to 20)
In this section, the description will focus on the configuration of the wafer line used to implement this embodiment and the movement of the wafer to be processed therein.

図1は、本実施の形態1の半導体製造ラインのウエハ搬送システムを示す全体平面図である。   FIG. 1 is an overall plan view showing a wafer transfer system of the semiconductor manufacturing line according to the first embodiment.

半導体(回路または素子)製造に用いられる熱処理装置(熱酸化装置、プラズマ窒化装置等を含む)、イオン注入装置、エッチング装置(ドライ・エッチング装置、ウエット・エッチング装置等を含む)、成膜装置(CVD装置、スパッタリング装置、メッキ装置等を含む)、CMP装置(ポストCMP洗浄装置等を含む)、洗浄装置(ベーパー洗浄装置、ウエット洗浄装置、ウエット・ポリマー除去装置等を含む)、フォトレジスト処理装置(フォトレジスト塗布装置、露光装置等を含む)などの各種製造装置(半導体製造装置)PEは、複数のベイ(装置群)に分けられてクリーンルームCR内に配置されている。そして、クリーンルームCR内のウエハ搬送システムは、この配置に対応し、ベイ間搬送、ベイ内搬送およびそれらを中継するベイステーション(ストッカ(保管庫))BS(待機領域)によって構成されている。   Heat treatment apparatus (including thermal oxidation apparatus, plasma nitridation apparatus, etc.), ion implantation apparatus, etching apparatus (including dry etching apparatus, wet etching apparatus, etc.), film forming apparatus (used for semiconductor (circuit or element) manufacturing) CVD apparatus, sputtering apparatus, plating apparatus, etc.), CMP apparatus (including post-CMP cleaning apparatus, etc.), cleaning apparatus (including vapor cleaning apparatus, wet cleaning apparatus, wet polymer removal apparatus, etc.), photoresist processing apparatus Various manufacturing apparatuses (semiconductor manufacturing apparatuses) PE such as a photoresist coating apparatus and an exposure apparatus are divided into a plurality of bays (apparatus groups) and arranged in the clean room CR. The wafer transfer system in the clean room CR corresponds to this arrangement, and includes a bay station (stocker) BS (standby area) that transfers between bays, transfers in the bay, and relays them.

ベイ間搬送は、クリーンルームCR内の天井に設置した軌道RL1を介してウエハを搬送するOHS等によって行われる。一方、ベイ内搬送は、クリーンルームCRの床に敷設した軌道レールRL2上を走行するRGVfcによって行われる。   The transfer between the bays is performed by OHS or the like that transfers a wafer via a track RL1 installed on the ceiling in the clean room CR. On the other hand, the in-bay transport is performed by RGVfc traveling on the track rail RL2 laid on the floor of the clean room CR.

図2は、本実施の形態1のFOUPの外観構成の一例を示す斜視図であり、図3は、そのFOUPが製造装置PEのロードポート上に配置された状態を示す断面図であり、図4は、そのFOUPの底面を示す平面図である。   FIG. 2 is a perspective view showing an example of the external configuration of the FOUP according to the first embodiment, and FIG. 3 is a cross-sectional view showing a state in which the FOUP is arranged on the load port of the manufacturing apparatus PE. 4 is a plan view showing the bottom surface of the FOUP.

FOUP(フープまたは密閉型容器)cucは、ウエハの保持部であるシェルSHLと開閉扉部であるドアDR(フープ側ドア)とを有する。シェルSHLの上部には、FOUPcucをロボットにより自動搬送する際に掴むトップフランジTFGが設けられており、シェルSHLの側部には、マニュアルハンドMHおよびサイドレールSRが備わっている。マニュアルハンドMHは、たとえばFOUPcucを手動により持ち上げる際に用いられ、サイドレールSRは、たとえばFOUPcucをロボットによりすくい上げる際に用いられる。また、シェルSHLの底部にはブリージングフィルタBRZが設けられている。このブリージングフィルタBRZは、FOUPcuc(シェルSHL)の内部と外部との間の気圧差を解消するために設けられたフィルタであり、FOUPcuc(シェルSHL)内への塵埃の浸入を防ぎつつFOUPcuc(シェルSHL)の内部の気圧を調整する。FOUPcuc(シェルSHL)の内部と外部との間の気圧差を解消することにより、たとえばドアDRを開けた際にその気圧差に起因する気流が発生してしまうことを防ぐことができるので、FOUPcuc(シェルSHL)内への塵埃の巻き込みを防ぎ、塵埃がウエハWFRに付着してしまうことを防ぐことができる。さらにドアDRの外側には、FOUPcucの位置を決めるためのレジストレーションピン穴RPH、およびロボットによってドアDRを開けるためのラッチキー穴LKHが備わっている。   The FOUP (hoop or sealed container) cuc has a shell SHL as a wafer holding part and a door DR (hoop side door) as an opening / closing door part. A top flange TFG that is gripped when the FOUPcuc is automatically conveyed by the robot is provided on the upper part of the shell SHL, and a manual hand MH and a side rail SR are provided on the side of the shell SHL. The manual hand MH is used, for example, when lifting the FOUPcuc manually, and the side rail SR is used, for example, when scooping the FOUPucc by a robot. A breathing filter BRZ is provided at the bottom of the shell SHL. The breathing filter BRZ is a filter provided to eliminate a pressure difference between the inside and the outside of the FOUPcuc (shell SHL). The breathing filter BRZ prevents the intrusion of dust into the FOUPcuc (shell SHL). The pressure inside the SHL) is adjusted. By eliminating the pressure difference between the inside and outside of the FOUPcuc (shell SHL), for example, when the door DR is opened, it is possible to prevent the generation of an air flow due to the pressure difference. It is possible to prevent dust from getting into (shell SHL), and to prevent dust from adhering to wafer WFR. Further, a registration pin hole RPH for determining the position of the FOUPcuc and a latch key hole LKH for opening the door DR by a robot are provided outside the door DR.

製造装置PEのロードポートLPは、製造装置PE側にFIMS(Standard Mechanical Interface)ドアFDR(装置側ドア)と、このFIMSドアFDRの周囲に設けられたシール材(図示は省略)とで構成されるFIMS面を持っており、FOUPcucを前進させることによって、FOUPcucのドアDRとFIMSドアFDRとを合わせることができる。次いでラッチキーLKをドア3に設けられたラッチキー穴LKHに挿入し、回転させることにより、ドアDRに備わるクランピング機構CRPが外れて、ドアDRがFIMSドアFDRに固定される。   The load port LP of the manufacturing apparatus PE includes a FIMS (Standard Mechanical Interface) door FDR (apparatus side door) on the manufacturing apparatus PE side and a seal material (not shown) provided around the FIMS door FDR. The FOUPcuc door DR and the FIMS door FDR can be matched by advancing the FOUPcuc. Next, the latch key LK is inserted into the latch key hole LKH provided in the door 3 and rotated, whereby the clamping mechanism CRP provided in the door DR is detached, and the door DR is fixed to the FIMS door FDR.

半導体装置またはIC(Integrated Circuit)が作り込まれる所定枚数のウエハWFRが収納されたFOUPcucは、たとえば製造ライン内に設置されたベイステーションBSから製造装置PEへと運ばれる。さらにウエハWFRは、FOUPcucの内部に入れられて製造装置PEの間を移動する。しかしながら、ウエハWFRの径が、たとえば300mmのような大口径である場合、ウエハWFRを収納したFOUPcucは約8kg以上の重量となるため、半導体製造ライン内を人手により搬送することは安全上難しい。そこで、図1に示したようなRGVfcおよびOHTなどを用いてFOUPcucが自動搬送される。   A FOUP cuc in which a predetermined number of wafers WFR into which a semiconductor device or an IC (Integrated Circuit) is built is stored, for example, from a bay station BS installed in the manufacturing line to the manufacturing apparatus PE. Further, the wafer WFR is placed inside the FOUPcuc and moves between the manufacturing apparatuses PE. However, when the diameter of the wafer WFR is a large diameter such as 300 mm, for example, the FOUP cuc containing the wafer WFR has a weight of about 8 kg or more, and therefore it is difficult to transport the semiconductor manufacturing line manually. Therefore, FOUPcuc is automatically transported using RGVfc and OHT as shown in FIG.

図1においては、FOUPのベイ内搬送をRGVfcによって行う場合について示したが、図5に示すようにOHThtrを用いてベイ内搬送を行ってもよい。この場合、OHThtrでは、OHThtrに備わるホイスト(Hoist)機構HMを用いて半導体製造装置21のロードポートLP上にFOUPcucが降ろされる。ロードポートLPには、図6に示すように(図3も参照)、複数(たとえば3つ)のキネマティックピンKTP(位置決めピン)が形成されている。一方、FOUP1のシェルSHLの底部には、一対の斜面を有し、キネマティックピンKTPと係合するV字型の溝(以下、V溝と記す)GVが複数(たとえば3つ)形成されている。図7に示すように、V溝GVにキネマティックピンKTPを収めることにより、FOUPcucのロードポートLP上での位置を固定することができる。ロードポートLP上にFOUPcucの位置を固定した後、ホイスト機構HMが外れてFOUPcucがロードポートLP上の移載場所に残される。   Although FIG. 1 shows the case where the FOUP in-bay conveyance is performed by RGVfc, as shown in FIG. 5, the in-bay conveyance may be performed using OHThtr. In this case, in OHThtr, FOUPcuc is lowered onto the load port LP of the semiconductor manufacturing apparatus 21 using a hoist mechanism HM provided in the OHThtr. As shown in FIG. 6 (see also FIG. 3), a plurality of (for example, three) kinematic pins KTP (positioning pins) are formed in the load port LP. On the other hand, a plurality of (for example, three) G-shaped grooves (hereinafter referred to as V-grooves) GV having a pair of inclined surfaces and engaging with the kinematic pins KTP are formed on the bottom of the shell SHL of the FOUP 1. Yes. As shown in FIG. 7, the position of the FOUPcuc on the load port LP can be fixed by accommodating the kinematic pin KTP in the V groove GV. After fixing the position of the FOUPcuc on the load port LP, the hoist mechanism HM is detached and the FOUPcuc is left at the transfer location on the load port LP.

図8は、FOUPcucのドアDRの内側構成の一例を示す斜視図である。ドアDRの内側には、密閉性を保つためのシール材(パッキン)SM、リテーナRTNおよびクランピング機構CM1が備わっている。ゴム材からなるシール材SMはFOUPcucの密閉性を保つために設けられている。またリテーナRTNはFOUPcucに収納されたウエハWFRを押さえるために設けられており、成形プラスチックから成る可撓性の歯から形成されている。クランピング機構CM1は、ドアDRをシェルSHLに固定するために設けられており、ラッチキー穴LKHを介して動作する。すなわち、ドアDRはシェルSHLに設けられたドアフランジ(図示は省略)の内側に係合するものであり、ドアDRの外周部から出たり入ったりすることでドアフランジの溝と係合するラッチ(クランピング機構)を有している。   FIG. 8 is a perspective view showing an example of the inner configuration of the door DR of the FOUPcuc. Inside the door DR, a sealing material (packing) SM, a retainer RTN, and a clamping mechanism CM1 for maintaining hermeticity are provided. The sealing material SM made of a rubber material is provided in order to maintain the hermeticity of the FOUPcuc. The retainer RTN is provided to hold the wafer WFR accommodated in the FOUPcuc, and is formed of flexible teeth made of molded plastic. The clamping mechanism CM1 is provided to fix the door DR to the shell SHL, and operates via the latch key hole LKH. That is, the door DR engages with the inside of a door flange (not shown) provided in the shell SHL, and latches to engage with the groove of the door flange by entering and exiting from the outer periphery of the door DR. (Clamping mechanism).

図9に示すように、FOUPcucに収納されたウエハWFRは、ウエハティースWTと呼ばれる梁に1枚づつ載せることができて、複数のウエハWFRが、ウエハティースWTの間隔、たとえば10mm程度を空けて縦方向に配列されている。   As shown in FIG. 9, the wafers WFR accommodated in the FOUPcuc can be placed one by one on a beam called wafer teeth WT, and a plurality of wafers WFR are spaced apart from each other by a distance of, for example, about 10 mm. They are arranged in the vertical direction.

図10は、図1中に示した製造装置PEの説明図である(更に詳細は図21及び図32に説明する)。図10に示すように、製造装置PEは、ファンフィルターユニットFFUを備えたミニエンバイロンメント(Mini Environment)ME、ロード・ロック(Load/Lock)LLおよびロードポートLPなどを示している。ファンフィルターユニットFFUとは、ULPA(Ultra Low Penetration Air-filter)フィルタなどと小型送風機とを一体化した空気清浄装置を言い、ミニエンバイロメントMEとは、半導体製品を汚染源から隔離するための囲いでとりかこまれた局所的清浄環境を言う。また筐体面SFによってミニエンバイロメントMEは外部から分離されており、ミニエンバイロメントMEの内部の清浄度は、たとえばClass1に保たれている。ここで、Class1とは、1ft3(1ft=30.48cm)の空気中に含まれる粒径0.1μm以上の塵埃の数が1個以下である清浄度を言う。なお、ミニエンバイロメントMEの外部の清浄度は、たとえばClass1000である。 FIG. 10 is an explanatory view of the manufacturing apparatus PE shown in FIG. 1 (more details will be described with reference to FIGS. 21 and 32). As shown in FIG. 10, the manufacturing apparatus PE shows a mini environment ME, a load / lock LL, a load port LP, and the like that include a fan filter unit FFU. The fan filter unit FFU is an air purifier that integrates a ULPA (Ultra Low Penetration Air-filter) filter and a small blower. The mini-environment ME is an enclosure for isolating semiconductor products from contamination sources. It refers to a locally clean environment. Further, the mini-environment ME is separated from the outside by the casing surface SF, and the cleanliness inside the mini-environment ME is maintained at, for example, Class1. Here, Class 1 refers to cleanliness in which the number of dust particles having a particle diameter of 0.1 μm or more contained in 1 ft 3 (1 ft = 30.48 cm) air is 1 or less. The cleanliness outside the mini-environment ME is, for example, Class 1000.

図10に示すように、ロードポートLP上にFOUPcucの位置が固定されると、FOUPcucは筐体面SFに向かって前進する。次いで、ロードポートドア開閉機構LDOを駆動させて、ドアDRをシェルSHLから取り外し、製造装置PEの下部へ移動させる。ドアDRが外れた状態で、製造装置PEに備わるウエハ搬送ロボットHRによってウエハWFRはシェルSHLの開口部から取り出され、ロードロック室LLを通して製造装置PE(たとえば図21のプラズマ処理装置101またはポリマー除去装置102)の処理室(たとえば図21のプラズマ処理装置101の処理室111またはポリマー除去装置102の処理室112)へ運ばれて、所定の製造処理(ウエハ処理)がウエハWFRに施される。製造処理の終了後、ウエハ搬送ロボットHRによってウエハWFRは再びシェルSHL(フープcuc)へ戻される。   As shown in FIG. 10, when the position of the FOUPucc is fixed on the load port LP, the FOUPucc advances toward the housing surface SF. Next, the load port door opening / closing mechanism LDO is driven to remove the door DR from the shell SHL and move it to the lower part of the manufacturing apparatus PE. With the door DR removed, the wafer WFR is taken out from the opening of the shell SHL by the wafer transfer robot HR provided in the manufacturing apparatus PE, and passes through the load lock chamber LL to produce the manufacturing apparatus PE (for example, the plasma processing apparatus 101 of FIG. The wafer 102 is transferred to a processing chamber (for example, the processing chamber 111 of the plasma processing apparatus 101 in FIG. 21 or the processing chamber 112 of the polymer removing apparatus 102 in FIG. 21), and a predetermined manufacturing process (wafer processing) is performed on the wafer WFR. After completion of the manufacturing process, the wafer WFR is returned again to the shell SHL (hoop cuc) by the wafer transfer robot HR.

図11〜図13に示すように、ベイステーションBSにてFOUPcucを保管中には、たとえばFOUPcucの底面の2個所に設けられたブリージングフィルタBRZの一方(第1の呼吸孔、ガス導入口)にパイプPPを取り付け、そのパイプPPから非酸化性乾燥ガスをFOUPcuc内へ流し込み、他方のブリージングフィルタ(第2の呼吸孔、ガス排出口)BRZからFOUPcuc内の雰囲気を排気する。ここで、図11はベイステーションBSでのFOUPcucの保管手段を示す説明図であり、図12はベイステーションBSにて保管中のFOUPcucの断面図であり、図13はベイステーションBSにて保管中のFOUPcucの平面(底面)図である。たとえば、パイプPPは、FOUPcucの自重によってFOUPcucの底面(ブリージングフィルタBRZ)に取り付けられ、FOUPcuc内に非酸化性乾燥ガスの流れを形成する構造となっている(図14参照)。また、FOUPcuc内において、FOUPcuc内へ非酸化性乾燥ガスを導入するブリージングフィルタBRZ上に偏向板CFBを設けることによって非酸化性乾燥ガスの流れを変えたり(図15参照)、FOUPcuc内へ非酸化性乾燥ガスを導入するブリージングフィルタBRZ上にノズルNZLを設けたりすることによって(図16参照)、非酸化性乾燥ガスがFOUPcuc中をより広範に流れるようにしてもよい。また、FOUPcucの在荷を検知して開くバルブをパイプPPに取り付けてもよい。FOUPcuc内から排気された非酸化性乾燥ガスは、その非酸化性乾燥ガスを排出するブリージングフィルタ(第2の呼吸孔、ガス排出口)BRZの近くに設けられた真空排気手段によってベイステーションBS外へ排出される。   As shown in FIGS. 11 to 13, during storage of the FOUPcuc at the bay station BS, for example, one of the breathing filters BRZ provided at two locations on the bottom surface of the FOUPcuc (first breathing hole, gas inlet) A pipe PP is attached, a non-oxidizing dry gas is allowed to flow into the FOUPcuc from the pipe PP, and the atmosphere in the FOUPucc is exhausted from the other breathing filter (second breathing hole, gas exhaust port) BRZ. Here, FIG. 11 is an explanatory view showing the storage means of the FOUPcuc at the bay station BS, FIG. 12 is a cross-sectional view of the FOUPcuc being stored at the bay station BS, and FIG. 13 is being stored at the bay station BS. It is a top (bottom) figure of FOUPcuc. For example, the pipe PP is attached to the bottom surface (breathing filter BRZ) of the FOUPcuc by its own weight and forms a flow of non-oxidizing dry gas in the FOUPcuc (see FIG. 14). Further, in the FOUPcuc, the flow of the nonoxidizing drying gas is changed by providing the deflector plate CFB on the breathing filter BRZ for introducing the nonoxidizing drying gas into the FOUPcuc (see FIG. 15), or the nonoxidizing into the FOUPcuc The non-oxidizing drying gas may flow more widely in the FOUPcuc by providing the nozzle NZL on the breathing filter BRZ for introducing the oxidizing drying gas (see FIG. 16). In addition, a valve that opens upon detecting the presence of FOUPcuc may be attached to the pipe PP. The non-oxidizing dry gas exhausted from inside the FOUPcuc is removed from the bay station BS by the vacuum exhaust means provided near the breathing filter (second breathing hole, gas exhaust port) BRZ for discharging the non-oxidizing dry gas. Is discharged.

本実施形態では、非酸化性乾燥ガスとして、不活性ガス(たとえば、たとえばN2ガスまたはAr(アルゴンガス)など)を例示することができる。また、FOUPcuc内への非酸化性乾燥ガスの流量は、FOUPcuc内に存在する塵埃を巻き上げない程度とし、本実施の形態1では、FOUPcuc内の容積が約30lである場合に、非酸化性乾燥ガスの流量を1SLM(Standard Liter per Minute)〜20SLM程度とすることを例示できる。ここで、図19は、上記非酸化性乾燥ガスをN2ガスとした場合におけるFOUPcuc内へ流れ込んだN2ガスの量とFOUPcuc内のO2濃度との関係を示したものであり、FOUPcuc内へ流し込むN2ガスの流量を20SLM、10SLM、5SLMおよび0.6SLMの4通りとした場合について示している。図19に示すように、FOUPcuc内のO2濃度は、FOUPcuc内へ流れ込んだN2ガスの量の増加と共に低下する。また、図20は、上記非酸化性乾燥ガスをN2ガスとした場合におけるFOUPcuc内へ流れ込んだN2ガスの量とFOUPcuc内雰囲気の露点との関係を示したものであり、FOUPcuc内へ流し込むN2ガスの流量を10SLM、5SLM、3SLMおよび0.5SLMの4通りとした場合について示している。図20に示すように、FOUPcuc内雰囲気の露点は、FOUPcuc内へ流れ込んだN2ガスの量の増加と共に低下する。すなわち、FOUPcuc内へ流れ込んだN2ガスの量の増加と共にFOUPcuc内雰囲気中の水分は減少する。 In the present embodiment, an inert gas (for example, N 2 gas or Ar (argon gas), for example) can be exemplified as the non-oxidizing drying gas. Further, the flow rate of the non-oxidizing drying gas into the FOUP cuc is set so as not to wind up dust existing in the FOUP cuc. In the first embodiment, when the volume in the FOUP cuc is about 30 l, the non-oxidizing drying gas It can be exemplified that the gas flow rate is about 1 SLM (Standard Liter per Minute) to 20 SLM. Here, FIG. 19 shows the relationship between the amount of N 2 gas flowing into the FOUP cuc and the O 2 concentration in the FOUP cuc when the non-oxidizing drying gas is N 2 gas. 4 shows the case where the flow rate of N 2 gas flowing into the tank is four types of 20 SLM, 10 SLM, 5 SLM, and 0.6 SLM. As shown in FIG. 19, the O 2 concentration in the FOUP cuc decreases as the amount of N 2 gas flowing into the FOUP cuc increases. FIG. 20 shows the relationship between the amount of N 2 gas flowing into the FOUPcuc and the dew point of the atmosphere inside the FOUPcuc when the non-oxidizing drying gas is N 2 gas, and flows into the FOUPcuc. It shows a case where the flow rate of N 2 gas is 4 types of 10 SLM, 5 SLM, 3 SLM, and 0.5 SLM. As shown in FIG. 20, the dew point of the atmosphere in the FOUPcuc decreases as the amount of N 2 gas flowing into the FOUPcuc increases. That is, the moisture in the atmosphere in the FOUPcuc decreases as the amount of N 2 gas flowing into the FOUPcuc increases.

2.本実施の形態におけるプロセスフローの概要の説明(主に図21および22)
このセクションでは、先のセクション1で説明したウエハ・ラインの中での本実施の形態におけるプロセスフローの概要を背景となる問題と関連付けて説明する。
2. Outline of process flow in this embodiment (mainly FIGS. 21 and 22)
In this section, the outline of the process flow in the present embodiment in the wafer line described in the preceding section 1 will be described in relation to the background problem.

図21は本実施の形態による半導体集積回路装置の製造方法の主要部を示すプロセス・ブロック・フローである(4層目配線M4を例にとり説明する。図25参照)。また、図22はこれに対応するデバイス断面フォローである。ここでは、ハードマスクを使用しないビア・ファースト・プロセスを例にとり説明する。   FIG. 21 is a process block flow showing the main part of the manufacturing method of the semiconductor integrated circuit device according to the present embodiment (the fourth layer wiring M4 will be described as an example, see FIG. 25). FIG. 22 is a device cross-sectional follow corresponding to this. Here, a via first process that does not use a hard mask will be described as an example.

図21および22に基づいて、本実施の形態による半導体集積回路装置の製造方法におけるプロセスフローの概要を説明する。図22に示すように、3層目配線は3層目の層間絶縁膜1に設けられた配線溝内に埋め込まれた銅配線2等から構成されており、その上に絶縁バリア膜(絶縁性銅拡散バリア膜)としてのSiCN膜3(非酸化シリコン系シリコン含有無機絶縁膜)が形成されている。このSiCN膜3上に、4層目の層間絶縁膜としての酸化シリコン膜4がCVD等により形成される。ここで、ビア・ファースト法ではフォトレジスト膜21等をマスクに、フルオロ・カーボン・ガス、アルゴンガス等のエッチング雰囲気中で、ビア5がドライエッチング(ビア・エッチング)により開口される。ここで、ビアのレジストパターン21を除去する。ビアフィル剤10を塗布して、ビアを埋める。不要なビアフィル10をエッチバックする。その後、新たなフォトレジスト22を塗布・パターニングする。次に図22に示すように、新たなフォトレジスト膜22等をマスクに、たとえばフルオロ・カーボン・ガス、アルゴンガス等のエッチング雰囲気中で、トレンチ6(配線溝)がドライエッチング(トレンチ・エッチング)により開口される。ここで、フォトレジスト22とビアフィル剤10を除去する。   Based on FIGS. 21 and 22, an outline of a process flow in the method of manufacturing a semiconductor integrated circuit device according to the present embodiment will be described. As shown in FIG. 22, the third layer wiring is composed of a copper wiring 2 or the like embedded in a wiring groove provided in the third layer interlayer insulating film 1, and an insulating barrier film (insulating property) is formed thereon. An SiCN film 3 (non-silicon oxide silicon-containing inorganic insulating film) is formed as a copper diffusion barrier film. On this SiCN film 3, a silicon oxide film 4 as a fourth interlayer insulating film is formed by CVD or the like. Here, in the via first method, the via 5 is opened by dry etching (via etching) in an etching atmosphere such as fluorocarbon gas or argon gas using the photoresist film 21 or the like as a mask. Here, the via resist pattern 21 is removed. Via fill agent 10 is applied to fill the via. Etch back unnecessary via fill 10. Thereafter, a new photoresist 22 is applied and patterned. Next, as shown in FIG. 22, with the new photoresist film 22 or the like as a mask, the trench 6 (wiring groove) is dry etched (trench etching) in an etching atmosphere such as fluorocarbon gas or argon gas. Is opened. Here, the photoresist 22 and the via fill agent 10 are removed.

次に、図22に示すように、ビア底のSiCN膜3を選択的に除去するために、酸化シリコン膜に対して十分に選択比が得られる条件で(酸化シリコン膜は若干エッチングされる)フルオロ・カーボン・ガス、アルゴンガス等のエッチング雰囲気中において、ドライエッチング(ライナー・エッチング)が行われる(図21の絶縁性銅拡散バリア膜エッチング工程91)。ここで、このライナー・エッチングは図21に示すように、ドライエッチング装置101の処理室111において行われる。   Next, as shown in FIG. 22, in order to selectively remove the SiCN film 3 at the bottom of the via, under a condition that a sufficient selection ratio is obtained with respect to the silicon oxide film (the silicon oxide film is slightly etched). Dry etching (liner etching) is performed in an etching atmosphere such as fluorocarbon gas or argon gas (insulating copper diffusion barrier film etching step 91 in FIG. 21). Here, this liner etching is performed in the processing chamber 111 of the dry etching apparatus 101 as shown in FIG.

次に、被処理ウエハWFRに対して、前記処理室111において、雰囲気を交換して窒素を主要な成分とする非酸化性ガス雰囲気中で窒素プラズマ処理が行われる(図21の窒素プラズマ処理92)。この処理は必須ではないが、エッチング後のビア内面等の表面状態を安定させるほか、ポリマーを減少させる効果もある。   Next, nitrogen plasma processing is performed on the wafer WFR to be processed in the processing chamber 111 in a non-oxidizing gas atmosphere in which the atmosphere is changed and nitrogen is a main component (nitrogen plasma processing 92 in FIG. 21). ). This treatment is not essential, but it has the effect of reducing the polymer in addition to stabilizing the surface state of the via inner surface after etching.

その後、図21に示すように、被処理ウエハWFRはフープcucに戻される。そして、フープcucは適切な位置のストッカBSで待機する。その際、フープcuc内のウエハWFRは窒素ガスを主要な成分とするパージガス(非酸化性乾燥ガス)中で保管される(図21の非酸化性乾燥ガス雰囲気中保管工程93)。   Thereafter, as shown in FIG. 21, the processing target wafer WFR is returned to the hoop cuc. Then, the hoop cuc stands by at the stocker BS at an appropriate position. At that time, the wafer WFR in the hoop cuc is stored in a purge gas (non-oxidizing dry gas) containing nitrogen gas as a main component (non-oxidizing dry gas atmosphere storage step 93 in FIG. 21).

図21に示すように、窒素保管の後、フープcucはポリマー除去洗浄装置102へ移動して、それと連結される。すなわち、ライナー・エッチング装置(そのミニ・エンバイロメントを含む。以下同じ)からウエハ搬送容器が分離されてから、ポリマー除去装置にウエハ搬送容器が連結される以前の間に、非酸化性乾燥ガス雰囲気中(または非酸化性ガス雰囲気中)で保管する期間を設ける。また、同雰囲気が流動雰囲気であることが望ましい。すなわち、雰囲気が連続的に置換されるか間歇的に置換されている(これを一般に「パージする」という)ことが重要である。また、ウエハ搬送容器は途中で別のものに入れ替わってもよい。すなわち、ロットの再編成を行ってもよい。   As shown in FIG. 21, after storing the nitrogen, the hoop cuc moves to the polymer removal cleaning device 102 and is connected thereto. That is, after the wafer transfer container is separated from the liner etching apparatus (including the mini-environment, the same applies hereinafter), before the wafer transfer container is connected to the polymer removal apparatus, the non-oxidizing dry gas atmosphere Provide a storage period in the middle (or in a non-oxidizing gas atmosphere). The atmosphere is preferably a fluid atmosphere. That is, it is important that the atmosphere is continuously or intermittently replaced (this is generally referred to as “purging”). Further, the wafer transfer container may be replaced with another one on the way. That is, lot reorganization may be performed.

次に、図22に示すように、エッチング時にビア5内に堆積したポリマーの除去が、たとえばフッ化アンモニウム系の薬液を用いてウエット処理により実行される(図21のポリマー除去洗浄工程94)。このポリマー除去処理は図21に示すように、ポリマー除去洗浄装置102のウエット処理室112で行われる。   Next, as shown in FIG. 22, removal of the polymer deposited in the via 5 at the time of etching is performed by wet processing using, for example, an ammonium fluoride chemical solution (polymer removal cleaning step 94 in FIG. 21). This polymer removal process is performed in the wet treatment chamber 112 of the polymer removal washing apparatus 102 as shown in FIG.

その後、図22に示すように、形成されたビア5およびトレンチ6にバリアメタルをスパッタリングまたはCVDにより形成(図21の銅拡散バリアメタル膜形成工程95)し、その上に必要があるときは銅シード層をたとえばスパッタリング等により形成する(バリア・シード成膜)。   Thereafter, as shown in FIG. 22, a barrier metal is formed in the formed via 5 and trench 6 by sputtering or CVD (copper diffusion barrier metal film forming step 95 in FIG. 21), and if necessary, copper is formed on the barrier metal. A seed layer is formed by, for example, sputtering (barrier / seed film formation).

続いて、銅メッキとアニールによりビア5およびトレンチ6を銅で埋め込む(銅メッキ&アニール)。その後、メタルCMPで不要なメタル部材を除去する(銅CMP)ことで、埋め込み配線構造47の4層目配線を一応完成する。   Subsequently, the via 5 and the trench 6 are filled with copper by copper plating and annealing (copper plating and annealing). Thereafter, unnecessary metal members are removed by metal CMP (copper CMP), whereby the fourth-layer wiring of the embedded wiring structure 47 is completed.

3.本実施の形態における非酸化性乾燥ガス中保管とビア底銅消失不良の説明(主に図28から33)
このセクションでは、本実施の形態のプロセスとビア底銅消失不良の原因回避との関係を説明する。
3. Non-oxidizing dry gas storage and via bottom copper loss failure in this embodiment (mainly from FIGS. 28 to 33)
In this section, the relationship between the process of the present embodiment and avoidance of the cause of via bottom copper loss will be described.

図28は窒素保管をしない場合のポリマー除去完了時点でのウエハの歩留まりとフープcuc内でのウエハWFRの位置関係(スロット番号は一番下が1である。ここでは、1ロットが12枚の例を示す)を表すデータプロット図である。ここでわかるように、最上スロットをのぞき、上に行くほど歩留まりが低下することがわかる。従って、このモードの不良をスロット依存性不良という。   FIG. 28 shows the wafer yield and the positional relationship of the wafer WFR in the hoop cuc when the removal of the polymer is completed without storing nitrogen (the slot number is 1 at the bottom. Here, one lot consists of 12 sheets). It is a data plot figure showing an example). As can be seen here, it can be seen that the yield decreases as the slot goes up, except for the top slot. Therefore, this mode failure is called slot dependency failure.

図29はスロット依存性不良とポリマー除去前の待機時間依存性を示すデータプロット図である。これから、待機時間が2時間を越えると急速に不良率が立ち上がることがわかる。   FIG. 29 is a data plot diagram showing slot dependency failure and waiting time dependency before polymer removal. From this, it can be seen that when the standby time exceeds 2 hours, the defect rate rises rapidly.

図30は、これらのデータに基づいて、立てた不良メカニズムのモデルである。図30(a)に示すように、ライナー・エッチング直後はビア内にポリマー11が厚く堆積している。ここで、(フープの中ではあるが)大気中で保管すると、空気中の水分や酸素のような酸化種がポリマー11中に取り込まれる。このとき、ウエハWFRに残留しているフッ素が触媒の働きをして、銅の酸化を促進して、図30(b)に示すように銅の酸化物15を生成する。この銅の酸化物15が図30(c)に示すように、ポリマー除去の際に溶け出すものと考えられる。   FIG. 30 is a model of a failure mechanism that is established based on these data. As shown in FIG. 30A, the polymer 11 is thickly deposited in the via immediately after the liner etching. Here, when stored in the atmosphere (although in the hoop), oxidizing species such as moisture and oxygen in the air are taken into the polymer 11. At this time, the fluorine remaining on the wafer WFR acts as a catalyst to promote the oxidation of copper to produce a copper oxide 15 as shown in FIG. It is considered that the copper oxide 15 is dissolved when the polymer is removed as shown in FIG. 30 (c).

このことは図32および33から更に明確になる。図32は、ライナー・ドライエッチング装置101とフープcucの結合状態を示す側断面図である。ここで、ウエハの番号はスロット番号と一致している。ライナーエッチングは通常スロット番号1から始まりスロット番号12で終わる。したがって、下層(スロット番号が小さい)のウエハWFR1、WFR2、WFR3は処理後フープcuc内で比較的長い時間放置される。その間に、局所クリーンルーム(ミニ・エンバイロメント)ME内のダウンフロー(またはその分岐流)によって、ウエハWFRに残留したフッ素成分は相当量排除されると考えられる。一方、最上層以外の上層(スロット番号が大きい)のウエハWFR11(上方中間位置ウエハ)はライナーエッチング後、フープcucに戻された後、すぐに局所クリーンルームMEから切り離され、フープcuc内で待機することとなる。したがって、局所クリーンルームME内のダウンフローによって十分に清浄化される前に、フープcuc内に閉じ込められることとなる。最上層のウエハWFR12はどうかというと、一般にデバイス面は上を向いているので、上方のスペースが広い分、残留したフッ素成分は容易に散逸する。すなわち、図33に示すように、下層や最上層のウエハWFR1、WFR2、WFR3、WFR12では、フープに閉じ込められた時点では、残留したフッ素成分はほとんどないか、または容易にフープcuc内で拡散する。一方、最上層以外の上層のウエハWFR11は、相当の残留フッ素成分がある上に、ウエハ間隔(図33のWFRnとWFRn+1の間隔)が狭いので、十分な空気中への拡散が期待できない。したがって、これらの上方中間位置ウエハに不良が多発するものと推測できる。   This becomes clearer from FIGS. 32 and 33. FIG. 32 is a side cross-sectional view showing a combined state of the liner / dry etching apparatus 101 and the hoop cuc. Here, the wafer number matches the slot number. Liner etching usually begins with slot number 1 and ends with slot number 12. Accordingly, the lower layer wafers WFR1, WFR2, and WFR3 (with a smaller slot number) are left in the post-processing hoop cuc for a relatively long time. In the meantime, it is considered that a considerable amount of the fluorine component remaining on the wafer WFR is eliminated by the downflow (or its branch flow) in the local clean room (mini-environment) ME. On the other hand, the wafer WFR11 (upper intermediate position wafer) of the upper layer other than the uppermost layer (the upper intermediate position wafer) is returned to the hoop cuc after the liner etching, and immediately separated from the local clean room ME and waits in the hoop cuc. It will be. Therefore, it is confined in the hoop cuc before being sufficiently cleaned by the downflow in the local clean room ME. As for the uppermost wafer WFR12, since the device surface generally faces upward, the remaining fluorine component easily dissipates due to the large space above. That is, as shown in FIG. 33, in the lower and uppermost wafers WFR1, WFR2, WFR3, and WFR12, when they are trapped in the hoop, there is almost no residual fluorine component, or they easily diffuse in the hoop cuc. . On the other hand, the upper wafer WFR11 other than the uppermost layer has a considerable residual fluorine component and has a narrow wafer interval (interval between WFRn and WFRn + 1 in FIG. 33), so that sufficient diffusion into the air cannot be expected. . Therefore, it can be estimated that defects frequently occur in these upper intermediate position wafers.

一方、本実施の形態による窒素保管を適用したときの図28に対応するデータプロット図が図31である。これより、窒素保管を適用することにより、不良モードがほとんど消滅しているのがわかる。   On the other hand, FIG. 31 is a data plot diagram corresponding to FIG. 28 when nitrogen storage according to the present embodiment is applied. From this, it is understood that the failure mode is almost disappeared by applying nitrogen storage.

これらの事実より、以下の処方箋が明確となる。以下の内、組み合わせ可能な全部を実施してもよいし、一部のみを実施してもよいことは言うまでもない。
(1)ライナーエッチング後からそのポリマー除去間でのウエハ搬送容器内での外気中待機時間を2時間よりできるだけ短い時間となるようにし、できるだけ非酸化性乾燥ガス雰囲気中でパージしながら保管する(流動する非酸化性乾燥ガス雰囲気中で保管)。これにより、保管中の銅の酸化を防止する(セクション1参照)。ここで、「非酸化性」とは、主に酸素や水分等の含有量が実質的に酸化反応が進行しない程度に少ないことを示す。
(2)ライナー・エッチング・ガスはなるべく有機系ポリマーの堆積の少ないものとする。すなわち、水素が少なく、フッ素の多いガス組成を多くする(セクション4参照)。このことによって、酸化の原因となるポリマー量を削減する。
(3)ライナー・エッチング中の銅の酸化をできるだけ抑えて、無機系ポリマー(銅の酸化物等)量を削減する。すなわち、酸素の添加量をできるだけ削減するか、「0」にする(セクション4参照)。窒素等を添加して銅表面に窒化膜を形成して酸化を防止する(セクション4参照)。この窒化膜は大変薄いので電気特性的には問題がない。
(4)ライナー・エッチング直後に窒素プラズマ処理等により、銅表面に窒化膜を形成してその後の保管中の酸化を防止する(セクション5参照)。
From these facts, the following prescription becomes clear. Of course, all of the following combinations that can be combined may be implemented, or only a part may be implemented.
(1) The waiting time in the outside air in the wafer transfer container between the liner removal and the removal of the polymer is set to be as short as possible within 2 hours, and stored while purging in a non-oxidizing dry gas atmosphere as much as possible ( Store in flowing non-oxidizing dry gas atmosphere). This prevents copper oxidation during storage (see section 1). Here, “non-oxidizing” means that the content of mainly oxygen, moisture, etc. is so small that the oxidation reaction does not substantially proceed.
(2) The liner, etching gas should minimize the accumulation of organic polymer. That is, increase the gas composition with less hydrogen and more fluorine (see Section 4). This reduces the amount of polymer that causes oxidation.
(3) Reduce the amount of inorganic polymer (copper oxide, etc.) by suppressing copper oxidation during liner etching as much as possible. That is, the amount of oxygen added is reduced as much as possible or is set to “0” (see section 4). Nitrogen or the like is added to form a nitride film on the copper surface to prevent oxidation (see section 4). Since this nitride film is very thin, there is no problem in terms of electrical characteristics.
(4) Immediately after liner etching, a nitride film is formed on the copper surface by nitrogen plasma treatment or the like to prevent subsequent oxidation during storage (see section 5).

4.本実施の形態における各種ライナー・エッチング処理雰囲気の説明(主に図22)
セクション2(図22等)において説明したライナー・エッチングの種々の詳細条件については、以下の例から適宜選択して選択することができる。以下では、ライナー膜3がSiCN膜である場合を例にとり、複数の例を挙げて説明する。いずれの方法を用いても、ダイ・フルオロ・メタンと酸素添加のケミストリを用いるのに比較して、ポリマー量を減少させることができる。もちろん、従来から実績のあるCH2F2/O2/Ar等のガス系を用いて処理することもできる。また、以下の処理時間は、膜厚にもよるが、一般に30秒から90秒程度となると考えられる。なお、ここでは、エッチングガスとして、フルオロ・カーボン系を中心に例示したが、その他のフッ素含有エッチングガスの使用を排除するものではない。
4). Explanation of various liner / etching treatment atmospheres in this embodiment (mainly FIG. 22)
Various detailed conditions of the liner etching described in the section 2 (FIG. 22 and the like) can be selected as appropriate from the following examples. Hereinafter, a case where the liner film 3 is a SiCN film will be described as an example, and a plurality of examples will be described. Either method can reduce the amount of polymer compared to using difluoromethane and oxygenated chemistry. Of course, it can also be processed using a gas system such as CH 2 F 2 / O 2 / Ar that has been proven in the past. Further, although the following processing time depends on the film thickness, it is generally considered to be about 30 to 90 seconds. Here, although the fluoro-carbon system is exemplified as the etching gas here, the use of other fluorine-containing etching gases is not excluded.

(1)酸素添加ケミストリ
詳細条件は以下のとおりである。たとえば、
エッチング装置:300φウエハ用平行平板型で下部電極上にウエハを置いて処理;
上部電極の高周波周波数:60MHz(電力1500W);
下部電極の高周波周波数:2MHz(電力225W);
処理圧力:3.3Pa;
ウエハ温度:摂氏20度;
ガス流量:CHF3/O2/Ar=20/12/800sccmである。
(1) Oxygenation chemistry Detailed conditions are as follows. For example,
Etching device: parallel plate type for 300φ wafer, processing by placing the wafer on the lower electrode;
High frequency of upper electrode: 60MHz (power 1500W);
High frequency of the lower electrode: 2 MHz (power 225 W);
Processing pressure: 3.3 Pa;
Wafer temperature: 20 degrees Celsius;
Gas flow rate: CHF 3 / O 2 / Ar = 20/12/800 sccm.

この条件は、エッチング特性が安定な酸素添加ケミストリを基礎として、エッチングガスをダイ・フルオロ・メタンからトリ・フルオロ・メタンに変えることで、エッチングガスのフッ素を増やし、有機系のポリマー量を削減したものである。この場合、酸素組成は流量比で1から5%程度が望ましい。他のパラメータの大小により、この範囲以外を用いることを排除するものではない。   This condition was based on oxygen-added chemistry with stable etching characteristics, and the etching gas was changed from di-fluoro-methane to tri-fluoro-methane, thereby increasing the etching gas fluorine and reducing the amount of organic polymer. Is. In this case, the oxygen composition is preferably about 1 to 5% in flow rate ratio. It is not excluded to use other than this range depending on the size of other parameters.

(2)トリ・フルオロ・メタン・ケミストリ
詳細条件は以下のとおりである。たとえば、
エッチング装置:300φウエハ用平行平板型で下部電極上にウエハを置いて処理;
上部電極の高周波周波数:60MHz(電力200W);
下部電極の高周波周波数:2MHz(電力150W);
処理圧力:10.7Pa;
ウエハ温度:摂氏15度;
ガス流量:CF4/CHF3/N2=110/20/300sccmである。
(2) Tri-fluoro-methane chemistry Detailed conditions are as follows. For example,
Etching device: parallel plate type for 300φ wafer, processing by placing the wafer on the lower electrode;
High frequency of upper electrode: 60MHz (power 200W);
High frequency of the lower electrode: 2 MHz (power 150 W);
Processing pressure: 10.7 Pa;
Wafer temperature: 15 degrees Celsius;
Gas flow rate: CF 4 / CHF 3 / N 2 = 110/20/300 sccm.

この条件は、エッチングガスをダイ・フルオロ・メタンからトリ・フルオロ・メタンに変え、且つ、酸素を実質的に排除(望ましくはほぼ「0」で、多くとも流量比で0.5%未満)するとともに、希釈ガスであるアルゴンガスを窒素ガスに置き換えたものである。窒素組成は流量比で30%以上が望ましいが、他の条件により20%以上でもよい。窒素の流量比の上限は、エッチングがストの組み合わせにより、ほとんど100%に近い値になることもあるが、通常90%から95%程度と考えられる。なお、アルゴンの一部を窒素に置き換えてもよい。また、他の酸化種を実質的に生成しない添加ガス等を排除するものではない。また、非酸化性の添加ガスを添加してもよいことは言うまでもない。以上は以下の(3)でも同じ。   This condition changes the etching gas from di-fluoro-methane to tri-fluoro-methane and substantially eliminates oxygen (preferably approximately “0”, at most less than 0.5% by flow ratio). At the same time, the argon gas, which is a dilution gas, is replaced with nitrogen gas. The nitrogen composition is preferably 30% or more in terms of flow ratio, but may be 20% or more depending on other conditions. The upper limit of the flow rate ratio of nitrogen may be close to 100% depending on the combination of etching and is usually considered to be about 90% to 95%. Note that a part of argon may be replaced with nitrogen. Further, it does not exclude additive gases that do not substantially generate other oxidizing species. Needless to say, a non-oxidizing additive gas may be added. The same applies to the following (3).

この窒素の効果は、圧力調整または希釈によるエッチングの安定化のほか、窒素ラジカルにより銅そのたビアの表面の安定化にあると考えられる。   The effect of this nitrogen is considered to be not only the stabilization of etching by adjusting the pressure or dilution, but also the stabilization of the surface of copper and vias by nitrogen radicals.

(3)四フッ化炭素ケミストリ
詳細条件は以下のとおりである。たとえば、
エッチング装置:300φウエハ用平行平板型で下部電極上にウエハを置いて処理;
上部電極の高周波周波数:60MHz(電力300W);
下部電極の高周波周波数:2MHz(電力300W);
処理圧力:4Pa;
ウエハ温度:摂氏15度;
ガス流量:CF4/N2=175/100sccmである。
(3) Carbon tetrafluoride chemistry Detailed conditions are as follows. For example,
Etching device: parallel plate type for 300φ wafer, processing by placing the wafer on the lower electrode;
High frequency of upper electrode: 60 MHz (power 300 W);
High frequency of the lower electrode: 2 MHz (power 300 W);
Processing pressure: 4 Pa;
Wafer temperature: 15 degrees Celsius;
Gas flow rate: CF 4 / N 2 = 175/100 sccm.

この条件は上記(2)とほぼ同じであるが、トリ・フルオロ・メタンを排除することで、有機系ポリマーの堆積を更に低減することができる。そのことによって、ライナーエッチング後に放置した場合の、銅腐食を低減することができるものと考えられる。   This condition is almost the same as (2) above, but organic polymer deposition can be further reduced by eliminating trifluoromethane. It is considered that this can reduce copper corrosion when left after the liner etching.

(4)本セクションのサマリ
前記(2)、(3)のエッチング条件ではセクション5の窒素プラズマ処理と同様の処理が同時並行的に行えると見ることもできるので、これらのプロセスでは、別途の窒素プラズマ処理を省略できる可能性が高い。なお、前記(1)のエッチング条件でも、窒素保管を十分に徹底すれば問題は回避される可能性が高い。
(4) Summary of this section Since the etching conditions of (2) and (3) can be considered to be able to perform the same processing as the nitrogen plasma processing of section 5 simultaneously, in these processes, additional nitrogen is used. There is a high possibility that the plasma treatment can be omitted. Even under the etching condition (1), the problem is highly likely to be avoided if nitrogen storage is thoroughly carried out.

5.本実施の形態における窒素プラズマ処理の説明(主に図21および22)
セクション2において図21に基づいて説明した窒素プラズマ処理92の詳細を説明する。この処理はライナー・ドライエッチング91と同一の処理室111(図21)で連続的に行うことが望ましいが、それに限定されないことは言うまでもない。同一処理室で連続的に処理した場合は、処理時間の短縮効果が大きい。
5). Description of nitrogen plasma treatment in the present embodiment (mainly FIGS. 21 and 22)
Details of the nitrogen plasma treatment 92 described in Section 2 with reference to FIG. 21 will be described. This process is desirably performed continuously in the same processing chamber 111 (FIG. 21) as the liner dry etching 91, but it is needless to say that the process is not limited thereto. When processing continuously in the same processing chamber, the effect of shortening the processing time is great.

詳細条件は以下のとおりである。たとえば、
エッチング装置:300φウエハ用平行平板型で下部電極上にウエハを置いて処理;
上部電極の高周波周波数:60MHz(電力2500W);
下部電極の高周波周波数:2MHz(電力0W);
処理圧力:5.3Pa;
ウエハ温度:摂氏15度;
ガス流量:N2=400sccmである。
Detailed conditions are as follows. For example,
Etching device: parallel plate type for 300φ wafer, processing by placing the wafer on the lower electrode;
High frequency of upper electrode: 60MHz (power 2500W);
High frequency of lower electrode: 2MHz (power 0W);
Processing pressure: 5.3 Pa;
Wafer temperature: 15 degrees Celsius;
Gas flow rate: N 2 = 400 sccm.

なお、雰囲気は窒素を主要な構成成分として含み、非酸化性であることが望ましい。この窒素の効果は、窒素ラジカルによる銅の表面の窒化により非常に薄い銅窒化膜が対酸化マスクとなることによると考えられる。   The atmosphere preferably contains nitrogen as a main constituent and is non-oxidizing. The effect of nitrogen is considered to be due to a very thin copper nitride film serving as an oxidation mask due to nitridation of the copper surface by nitrogen radicals.

処理時間は一般に10秒から20秒程度が適切と考えられる。もちろん、各種のパラメータの相違により、これ以外の処理時間となることを妨げるものではない。   In general, the processing time is considered to be about 10 to 20 seconds. Of course, the difference in various parameters does not preclude other processing times.

6.本実施の形態における各種ダマシン・プロセスフローの説明(主に図23および24)
セクション2で図22に基づいて説明したダマシン・プロセスフローの各種の例を説明する。配線層は各層が同様の製造方法を用いるので、ここでは、ここまでの説明と同様に4層目を例に取り説明する。
6). Explanation of various damascene process flows in this embodiment (mainly FIGS. 23 and 24)
Various examples of the damascene process flow described in Section 2 with reference to FIG. 22 will be described. Since each wiring layer uses the same manufacturing method, the fourth layer will be described by taking the fourth layer as an example, as described above.

(1)中間ストッパを利用するプロセス(主に図23、詳細構造等は図26および27参照)
図23はハードマスクおよび中間ストッパー膜を使用したデュアル・ダマシン・プロセスの一例である。なお、各膜の詳細については、セクション7に詳述する。図23に示すように、3層目配線はSiCN膜3をプラズマCVD法により堆積することで、完成する。このSiCN膜3上に層間絶縁膜14をプラズマCVD法により形成する。その後、一旦CMP処理により層間絶縁膜14を平坦化する。その上に、中間ストッパー膜8としてのSiCN膜をプラズマCVD法により形成する。更に、層内絶縁膜24をプラズマCVD法により形成する。その上にSiNマスク層9をプラズマCVD法により形成する。このSiNマスク層9をパターニングして、あらかじめ、トレンチ用ハードマスクを作っておく。次にフォトレジスト膜21をマスクとして、たとえばフルオロ・カーボン・ガス、アルゴンガスおよび酸素ガス等のエッチング雰囲気中で、ビア5がドライエッチング(ビア・エッチング)により開口される。ここで、ビアのレジストパターン21を除去する。次に、先に作りつけておいたハードマスク9を使って、たとえばフルオロ・カーボン・ガス、アルゴンガスおよび酸素ガス等のエッチング雰囲気中で、ドライエッチング(トレンチ・エッチング)することでトレンチ6が開口される。
(1) Process using an intermediate stopper (refer mainly to FIG. 23 and FIGS. 26 and 27 for the detailed structure)
FIG. 23 shows an example of a dual damascene process using a hard mask and an intermediate stopper film. Details of each film will be described in detail in Section 7. As shown in FIG. 23, the third-layer wiring is completed by depositing the SiCN film 3 by the plasma CVD method. An interlayer insulating film 14 is formed on this SiCN film 3 by plasma CVD. Thereafter, the interlayer insulating film 14 is flattened by a CMP process. A SiCN film as an intermediate stopper film 8 is formed thereon by a plasma CVD method. Further, the in-layer insulating film 24 is formed by a plasma CVD method. A SiN mask layer 9 is formed thereon by plasma CVD. The SiN mask layer 9 is patterned to make a trench hard mask in advance. Next, using the photoresist film 21 as a mask, the via 5 is opened by dry etching (via etching) in an etching atmosphere such as fluorocarbon gas, argon gas and oxygen gas. Here, the via resist pattern 21 is removed. Next, the trench 6 is opened by dry etching (trench etching) in an etching atmosphere such as fluorocarbon gas, argon gas, and oxygen gas using the hard mask 9 that has been previously prepared. Is done.

ここで、セクション4で説明したフルオロ・カーボンを含むエッチング・ガスを用いたドライエッチング(ライナー・エッチング)によりライナー膜3の除去が行われる。このとき同時にハードマスク9も除去される。必要があれば、続けてセクション5で説明した窒素プラズマ処理等が行われる。   Here, the liner film 3 is removed by dry etching (liner etching) using the etching gas containing fluorocarbon described in Section 4. At the same time, the hard mask 9 is also removed. If necessary, the nitrogen plasma treatment described in Section 5 is subsequently performed.

その後、スパッタリング法(またはCVD法)により、バリアメタル膜が形成される。その上に、必要により銅シード層がスパッタリング法(またはCVD法)により形成される。その後、銅メッキが電気めっき等により行われ、銅のアニール工程等を経て、不要な銅を取り除いて銅配線7をトレンチおよびビア内にこの部分(4層目と3層目の一部)の銅埋め込み配線構造47が一応完成する。   Thereafter, a barrier metal film is formed by sputtering (or CVD). On top of that, a copper seed layer is formed by sputtering (or CVD) if necessary. Thereafter, copper plating is performed by electroplating or the like, and after an copper annealing process or the like, unnecessary copper is removed and the copper wiring 7 is placed in the trenches and vias (parts of the fourth and third layers). The copper buried wiring structure 47 is completed once.

(2)ビア・フィルを利用するプロセス
図24はハードマスクを使用するが中間ストッパー膜を使用しないデュアル・ダマシン・プロセスの一例である。図24に示すように、3層目配線はSiCN膜3をプラズマCVD法により堆積することで、完成する。このSiCN膜3上に層間絶縁膜としてのプラズマTEOS膜14を形成する。ここで、一旦CMP処理により層間絶縁膜14を平坦化する。その後、層内絶縁膜としてのFSG膜をプラズマCVD法により堆積する。更にその上に、ハードマスク用の反射防止膜SiON膜9をプラズマCVD法により堆積する。このSiON膜9をパターニングして、ビア・エッチング用のハードマスクとする。たとえばフルオロ・カーボン・ガス、アルゴンガスおよび酸素ガス等のエッチング雰囲気中で、ビア5がドライエッチング(ビア・エッチング)により開口される。ここで、ビアフィル剤10を塗布し、ビアを埋める。不要なビアフィル10をエッチバックする。SiON膜9を再度パターニングして、トレンチ用ハードマスクとする。このトレンチ用ハードマスクとして用いて、たとえばフルオロ・カーボン・ガス、アルゴンガスおよび酸素ガス等のエッチング雰囲気中で、ドライエッチング(トレンチ・エッチング)することでトレンチ6が開口される。
(2) Process Using Via Fill FIG. 24 is an example of a dual damascene process that uses a hard mask but does not use an intermediate stopper film. As shown in FIG. 24, the third-layer wiring is completed by depositing the SiCN film 3 by the plasma CVD method. A plasma TEOS film 14 as an interlayer insulating film is formed on the SiCN film 3. Here, the interlayer insulating film 14 is flattened by a CMP process. Thereafter, an FSG film as an in-layer insulating film is deposited by plasma CVD. Further thereon, a hard mask antireflection film SiON film 9 is deposited by plasma CVD. The SiON film 9 is patterned to form a hard mask for via etching. For example, the via 5 is opened by dry etching (via etching) in an etching atmosphere such as fluorocarbon gas, argon gas, and oxygen gas. Here, the via fill agent 10 is applied to fill the via. Etch back unnecessary via fill 10. The SiON film 9 is patterned again to form a trench hard mask. Using this trench hard mask, the trench 6 is opened by dry etching (trench etching) in an etching atmosphere of, for example, fluorocarbon gas, argon gas and oxygen gas.

ここで、セクション4で説明したフルオロ・カーボンを含むエッチング・ガスを用いたドライエッチング(ライナー・エッチング)によりライナー膜3の除去が行われる。このとき同時にハードマスク9も除去される。必要があれば、続けてセクション5で説明した窒素プラズマ処理等が行われる。     Here, the liner film 3 is removed by dry etching (liner etching) using the etching gas containing fluorocarbon described in Section 4. At the same time, the hard mask 9 is also removed. If necessary, the nitrogen plasma treatment described in Section 5 is subsequently performed.

その後、スパッタリング法(またはCVD法)により、バリアメタル膜が形成される。その上に、必要により銅シード層がスパッタリング法(またはCVD法)により形成される。その後、銅メッキが電気めっき等により行われ、銅のアニール工程等を経て、不要な銅を取り除いて銅配線7をトレンチおよびビア内にこの部分(4層目と3層目の一部)の銅埋め込み配線構造47が一応完成する。   Thereafter, a barrier metal film is formed by sputtering (or CVD). On top of that, a copper seed layer is formed by sputtering (or CVD) if necessary. Thereafter, copper plating is performed by electroplating or the like, and after an copper annealing process or the like, unnecessary copper is removed and the copper wiring 7 is placed in the trenches and vias (parts of the fourth and third layers). The copper buried wiring structure 47 is completed once.

7.本実施の形態の製造プロセスによるデバイス構造の説明(主に図25から27)
図25は、本実施の形態の製造プロセスによって製造したデバイス構造の概要を示す模式断面図である。基板・デバイス領域31は主にシリコン基板領域35、コンタクト用タングステン・プラグ領域36および1層目銅配線M1から構成されている。素子分離はSTI(Shallow Trench Isolation)型であり、基板・デバイス領域31には絶縁ゲート型MOSFET(CMOS FET集積回路を構成する)が形成されている。
7). Explanation of device structure by manufacturing process of this embodiment (mainly FIGS. 25 to 27)
FIG. 25 is a schematic cross-sectional view showing an outline of a device structure manufactured by the manufacturing process of the present embodiment. The substrate / device region 31 mainly includes a silicon substrate region 35, a contact tungsten plug region 36, and a first-layer copper wiring M1. The element isolation is an STI (Shallow Trench Isolation) type, and an insulating gate type MOSFET (composing a CMOS FET integrated circuit) is formed in the substrate / device region 31.

その上に、ファイン・ピッチ・デュアル・ダマシン配線領域32があり、主に2層目銅配線M2から6層目銅配線M6から構成されている。更に倍ピッチ・ダマシン配線領域33がその上に形成されており、主に倍ピッチ・シングル・ダマシン配線M7と倍ピッチ・デュアル・ダマシン配線M8から構成されている。これらの1層目銅配線M1から8層目銅配線M8(各層の銅埋め込み配線構造)が銅埋め込み配線構造47の全体を構成している。   On top of that, there is a fine pitch dual damascene wiring region 32, which is mainly composed of the second-layer copper wiring M2 to the sixth-layer copper wiring M6. Further, a double pitch damascene wiring region 33 is formed thereon, and is mainly composed of a double pitch single damascene wiring M7 and a double pitch dual damascene wiring M8. These first-layer copper wiring M1 to eighth-layer copper wiring M8 (copper embedded wiring structure of each layer) constitute the entire copper embedded wiring structure 47.

更にその上には、ファイナル・パッシベーション領域34があり、主に上層タングステン・プラグ領域37とアルミニウム・パッド領域38から構成されている。アルミニウム・パッド領域38の構造はたとえば上からTi/TiN/Ti/AlまたはAl合金/TiN(厚さnm:10/50/10/2000/75)である。ファイナル・パッシベーション領域34を構成する絶縁膜は、たとえば上からポリイミド膜/プラズマ・窒化シリコン膜/TEOS酸化シリコン膜(厚さnm:4000/600/200)である。   Furthermore, there is a final passivation region 34, which is mainly composed of an upper tungsten plug region 37 and an aluminum pad region 38. The structure of the aluminum pad region 38 is, for example, Ti / TiN / Ti / Al or Al alloy / TiN (thickness nm: 10/50/10/2000/75) from the top. The insulating film constituting the final passivation region 34 is, for example, polyimide film / plasma / silicon nitride film / TEOS silicon oxide film (thickness nm: 4000/600/200) from the top.

次に図23のプロセスに対応する各層の銅埋め込み配線構造の詳細を説明する。図26に基づいて8層目銅配線M8を例にとり、倍ピッチ銅埋め込み配線構造の詳細を説明する。図26に示すように、7層目銅埋め込み配線構造は層間絶縁膜14としてのFSG膜(たとえば厚さ300nm)上に中間ストッパ膜13(一般にライナー膜3(たとえば厚さ75nm)と同様の材料で構成されている。たとえば厚さ50nm)がCVD法により形成されている。その上に、層内絶縁膜1aとしてのFSG膜(たとえば厚さ325nm)があり、その上層にはCMPプロセスとの整合のためにキャップ層1bとしてのTEOS膜(たとえば厚さ75nm)が形成されている。更に、ライナー膜3としてのSiCN膜がプラズマCVD法により形成されている。ライナー膜3上には8層目埋め込み配線構造の層間絶縁膜14aとしてのFSG膜(たとえば厚さ300nm)がプラズマCVD法により形成されている。その上に中間キャップ層14bとしてのTEOS膜(たとえば厚さ150nm)がプラズマCVD法により形成されている。その上に7層目と同様に中間ストッパ膜8としてのSiCN膜(たとえば厚さ50nm)がプラズマCVD法により形成されている。中間ストッパ膜8上には層内絶縁膜24aとしてのFSG膜(たとえば厚さ325nm)がプラズマCVD法により形成されている。更に7層目と同様にキャップ層24bとしてのTEOS膜(たとえば厚さ75nm)がプラズマCVD法により形成されている。これらの8層目埋め込み配線構造を構成する絶縁膜中に形成されたビアおよび配線溝中にバリアメタル膜12を介して銅配線7が埋め込まれている。   Next, details of the copper buried wiring structure of each layer corresponding to the process of FIG. 23 will be described. The details of the double pitch copper embedded wiring structure will be described with reference to FIG. 26, taking the eighth layer copper wiring M8 as an example. As shown in FIG. 26, the seventh-layer copper buried wiring structure has the same material as the intermediate stopper film 13 (generally the liner film 3 (eg, 75 nm thick)) on the FSG film (eg, 300 nm thick) as the interlayer insulating film 14. (For example, a thickness of 50 nm) is formed by a CVD method. On top of that, there is an FSG film (for example, thickness 325 nm) as the in-layer insulating film 1a, and a TEOS film (for example, thickness 75nm) as the cap layer 1b is formed on the upper layer for matching with the CMP process. ing. Further, a SiCN film as the liner film 3 is formed by a plasma CVD method. On the liner film 3, an FSG film (for example, a thickness of 300 nm) as an interlayer insulating film 14a having an eighth-layer buried wiring structure is formed by a plasma CVD method. A TEOS film (for example, a thickness of 150 nm) as an intermediate cap layer 14b is formed thereon by a plasma CVD method. An SiCN film (for example, a thickness of 50 nm) as an intermediate stopper film 8 is formed thereon by the plasma CVD method as in the seventh layer. On the intermediate stopper film 8, an FSG film (for example, a thickness of 325 nm) is formed as an in-layer insulating film 24a by a plasma CVD method. Further, like the seventh layer, a TEOS film (for example, a thickness of 75 nm) is formed as a cap layer 24b by the plasma CVD method. Copper wirings 7 are embedded through barrier metal films 12 in vias and wiring grooves formed in the insulating films constituting these eighth-layer embedded wiring structures.

次に図27に基づいて4層目銅配線M4を例にとり、ファイン・ピッチ銅埋め込み配線構造の詳細を説明する。図27に示すように、3層目銅埋め込み配線構造は層間絶縁膜14としてのFSG膜(たとえば厚さ300nm)上に中間ストッパ膜13(一般にライナー膜3(たとえば厚さ75nm)と同様の材料で構成されている。たとえば厚さ50nm)がCVD法により形成されている。その上に、層内絶縁膜1aとしてのFSG膜(たとえば厚さ325nm)があり、その上層にはCMPプロセスとの整合のためにキャップ層1bとしてのTEOS膜(たとえば厚さ75nm)が形成されている。更に、ライナー膜3としてのSiCN膜がプラズマCVD法により形成されている。ライナー膜3上には4層目埋め込み配線構造の層間絶縁膜14aとしてのFSG膜(たとえば厚さ300nm)がプラズマCVD法により形成されている。その上に中間キャップ層14bとしてのTEOS膜(たとえば厚さ150nm)がプラズマCVD法により形成されている。その上に3層目と同様に中間ストッパ膜8としてのSiCN膜(たとえば厚さ50nm)がプラズマCVD法により形成されている。中間ストッパ膜8上には層内絶縁膜24aとしてのFSG膜(たとえば厚さ325nm)がプラズマCVD法により形成されている。更に3層目と同様にキャップ層24bとしてのTEOS膜(たとえば厚さ75nm)がプラズマCVD法により形成されている。これらの4層目埋め込み配線構造を構成する絶縁膜中に形成されたビアおよび配線溝中にバリアメタル膜12を介して銅配線7が埋め込まれている。   Next, the fine pitch copper embedded wiring structure will be described in detail with reference to FIG. 27, using the fourth layer copper wiring M4 as an example. As shown in FIG. 27, the third-layer copper buried wiring structure has the same material as the intermediate stopper film 13 (generally the liner film 3 (eg, 75 nm thick)) on the FSG film (eg, 300 nm thick) as the interlayer insulating film 14. (For example, a thickness of 50 nm) is formed by a CVD method. On top of that, there is an FSG film (for example, thickness 325 nm) as the in-layer insulating film 1a, and a TEOS film (for example, thickness 75nm) as the cap layer 1b is formed on the upper layer for matching with the CMP process. ing. Further, a SiCN film as the liner film 3 is formed by a plasma CVD method. On the liner film 3, an FSG film (for example, a thickness of 300 nm) as an interlayer insulating film 14a having a fourth-layer buried wiring structure is formed by a plasma CVD method. A TEOS film (for example, a thickness of 150 nm) as an intermediate cap layer 14b is formed thereon by a plasma CVD method. An SiCN film (for example, a thickness of 50 nm) as an intermediate stopper film 8 is formed thereon by the plasma CVD method as in the third layer. On the intermediate stopper film 8, an FSG film (for example, a thickness of 325 nm) is formed as an in-layer insulating film 24a by a plasma CVD method. Further, similarly to the third layer, a TEOS film (for example, a thickness of 75 nm) as a cap layer 24b is formed by the plasma CVD method. Copper wirings 7 are embedded through barrier metal films 12 in vias and wiring grooves formed in the insulating films constituting these fourth-layer embedded wiring structures.

8.サマリ
以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。
8). Summary As described above, the invention made by the present inventor has been specifically described based on the embodiment. However, the present invention is not limited to the embodiment, and various modifications can be made without departing from the scope of the invention. Needless to say.

たとえば、前記実施の形態においては、ベイ内搬送はRGVまたはOHTによって行う場合について説明したが、AGVによって行ってもよい。   For example, in the above-described embodiment, the case where the in-bay conveyance is performed by RGV or OHT has been described, but AGV may be performed.

また、前記実施の形態では、FOUPを用いたウエハの収容および搬送について説明したが、FOUPの代わりにSMIFポッドなどの密閉型容器を用いてもよく、その場合においてもベイステーションでの保管中には密閉型容器中への非酸化性乾燥ガスの流し込みを行う。   Further, in the above-described embodiment, the wafer storage and transfer using the FOUP has been described. However, a sealed container such as a SMIF pod may be used instead of the FOUP, and even in this case, during storage in the bay station. Pours non-oxidizing dry gas into a sealed container.

また、前記実施の形態においては、低誘電率層間絶縁膜としてFSGを用いた例を中心に説明したが、その他のシリカ系Low-k絶縁膜を用いたものでもよいことは言うまでもない。   In the above-described embodiment, an example using FSG as the low dielectric constant interlayer insulating film has been mainly described, but it goes without saying that other silica-based low-k insulating films may be used.

本発明の一実施の形態である半導体集積回路装置の製造方法にて適用する半導体製造ラインのウエハ搬送システムを示す全体平面図である。1 is an overall plan view showing a wafer transfer system of a semiconductor production line applied in a method for producing a semiconductor integrated circuit device according to an embodiment of the present invention. 本発明の一実施の形態で用いるFOUPの外観構成の一例を示す斜視図である。It is a perspective view which shows an example of the external appearance structure of FOUP used by one embodiment of this invention. 本発明の一実施の形態で用いる半導体製造装置のロードポート上に配置されたFOUPの断面図である。It is sectional drawing of FOUP arrange | positioned on the load port of the semiconductor manufacturing apparatus used by one embodiment of this invention. 本発明の一実施の形態で用いるFOUPの底面の一例を示す平面図である。It is a top view which shows an example of the bottom face of FOUP used by one embodiment of this invention. 本発明の一実施の形態であるOHTを用いたFOUPの自動搬送システムの概略図である。It is the schematic of the FOUP automatic conveyance system using OHT which is one embodiment of this invention. 本発明の一実施の形態で用いる半導体製造装置のロードポート上におけるFOUPの位置決め方法を示す説明図である。It is explanatory drawing which shows the positioning method of FOUP on the load port of the semiconductor manufacturing apparatus used by one embodiment of this invention. 本発明の一実施の形態で用いる半導体製造装置のロードポート上におけるFOUPの位置決め方法を示す説明図である。It is explanatory drawing which shows the positioning method of FOUP on the load port of the semiconductor manufacturing apparatus used by one embodiment of this invention. 本発明の一実施の形態で用いるFOUPのドアの内側構造の一例を示す斜視図である。It is a perspective view which shows an example of the inner side structure of the door of FOUP used by one embodiment of this invention. 本発明の一実施の形態で用いるFOUP内における半導体ウエハとウエハティースとの位置関係の一例を示す断面図である。It is sectional drawing which shows an example of the positional relationship of the semiconductor wafer and wafer teeth in FOUP used by one embodiment of this invention. 本発明の一実施の形態で用いる半導体製造装置のロードポート上におけるFOUPと半導体製造装置の結合状態を示す説明図である。It is explanatory drawing which shows the coupling | bonding state of FOUP and a semiconductor manufacturing apparatus on the load port of the semiconductor manufacturing apparatus used by one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造工程中におけるベイステーション(ストッカ)でのFOUPの保管手段を示す説明図である。It is explanatory drawing which shows the storage means of FOUP in the bay station (stocker) in the manufacturing process of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態で用いるFOUPのベイステーション(ストッカ)での保管中の断面図である。It is sectional drawing in the middle of the storage in the bay station (stocker) of FOUP used by one embodiment of this invention. 本発明の一実施の形態で用いるFOUPのベイステーション(ストッカ)での保管中の平面(底面)図である。It is a top (bottom) figure during storage in the bay station (stocker) of FOUP used by one embodiment of the present invention. 本発明の一実施の形態で用いるFOUPに設けられたブリージングフィルタへのパイプの取り付け方を示す説明図である。It is explanatory drawing which shows the attachment method of the pipe to the breathing filter provided in FOUP used by one embodiment of this invention. 本発明の一実施の形態で用いるFOUPに設けられたブリージングフィルタへのパイプの取り付け方を示す説明図である。It is explanatory drawing which shows the attachment method of the pipe to the breathing filter provided in FOUP used by one embodiment of this invention. 本発明の一実施の形態で用いるFOUPに設けられたブリージングフィルタへのパイプの取り付け方を示す説明図である。It is explanatory drawing which shows the attachment method of the pipe to the breathing filter provided in FOUP used by one embodiment of this invention. 本発明の一実施の形態で用いるFOUPのベイステーション(ストッカ)での保管中の断面図である。It is sectional drawing in the middle of the storage in the bay station (stocker) of FOUP used by one embodiment of this invention. 本発明の一実施の形態で用いるFOUPのベイステーション(ストッカ)での保管中の平面(底面)図である。It is a top (bottom) figure during storage in the bay station (stocker) of FOUP used by one embodiment of the present invention. 本発明の一実施の形態である半導体集積回路装置の製造工程中におけるFOUP内へのN2ガスの流量とFOUP内のO2の濃度との関係を示す説明図である。Is an explanatory view showing the relationship between the concentration of O 2 in the flow rate and the FOUP N 2 gas into the FOUP in the manufacturing process of a is a semiconductor integrated circuit device an embodiment of the present invention. 本発明の一実施の形態である半導体集積回路装置の製造工程中におけるFOUP内へのN2ガスの流量とFOUP内の露点との関係を示す説明図である。It is an explanatory view showing the relationship between the dew point of the N 2 gas flow rate and the FOUP into the FOUP in the manufacturing process of an embodiment a semiconductor integrated circuit device in the form of the present invention. 本発明の一実施の形態である半導体集積回路装置の製造方法の要部を説明するための工程ブロックフロー図である。It is a process block flow diagram for explaining a main part of a manufacturing method of a semiconductor integrated circuit device which is an embodiment of the present invention. 本発明の一実施の形態である半導体集積回路装置の製造方法の要部を説明するためのデバイス模式断面フロー図である。FIG. 3 is a device schematic cross-sectional flow diagram for explaining a main part of the method of manufacturing a semiconductor integrated circuit device which is an embodiment of the present invention. 本発明の一実施の形態である半導体集積回路装置の製造方法の要部を説明するためのデバイス断面フロー図(中間ストッパーのあるプロセス)である。It is a device cross section flowchart (process with an intermediate stopper) for demonstrating the principal part of the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法の要部を説明するためのデバイス断面フロー図(中間ストッパーのないプロセス)である。1 is a device cross-sectional flow diagram (a process without an intermediate stopper) for explaining a main part of a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present invention; 本発明の一実施の形態である半導体集積回路装置の製造方法によるデバイス全体断面構造を示すデバイス縦構造断面図である。1 is a device longitudinal cross-sectional view illustrating a cross-sectional structure of an entire device by a method for manufacturing a semiconductor integrated circuit device according to an embodiment of the present invention. 本発明の一実施の形態である半導体集積回路装置の製造方法によるデバイス全体断面構造の内の倍ピッチ部分の詳細を示す詳細部分断面図である。FIG. 5 is a detailed partial cross-sectional view showing details of a double pitch portion in the entire device cross-sectional structure by the method for manufacturing a semiconductor integrated circuit device according to one embodiment of the present invention; 本発明の一実施の形態である半導体集積回路装置の製造方法によるデバイス全体断面構造の内のファイン・ピッチ部分の詳細を示す詳細部分断面図である。It is a detailed fragmentary sectional view which shows the detail of the fine pitch part in the whole device sectional structure by the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 不良発生ウエハの歩留まりとフープ内の位置関係を示すデータ・プロット図である。It is a data plot diagram showing the yield of defective wafers and the positional relationship in the hoop. 不良発生ウエハを含むロットの率とポリマー除去待ち時間の関係を示すデータ・プロット図である。It is a data plot figure which shows the relationship between the rate of the lot containing a defect generation | occurrence | production wafer, and polymer removal waiting time. 不良発生のメカニズムを説明するプロセス推定断面図である。It is process estimation sectional drawing explaining the mechanism of defect generation | occurrence | production. 本発明の一実施の形態である半導体集積回路装置の製造方法によって処理したウエハの歩留まりとフープ内の位置関係を示すデータ・プロット図である。FIG. 6 is a data plot diagram showing the yield of wafers processed by the method for manufacturing a semiconductor integrated circuit device according to one embodiment of the present invention and the positional relationship in the hoop. 本発明の一実施の形態である半導体集積回路装置の製造方法における製造装置とフープの結合状態を示す模式側断面図である。It is a schematic sectional side view which shows the coupling | bonding state of the manufacturing apparatus and hoop in the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 不良のスロット依存性のメカニズムを説明するフープ収容時のウエハの推定模式断面図である。It is an estimated schematic cross-sectional view of a wafer when a hoop is accommodated for explaining a mechanism of defective slot dependency.

符号の説明Explanation of symbols

3 絶縁性銅拡散バリア膜
5 ビア
6 配線溝
12 銅拡散バリア・メタル膜
47 銅埋め込み配線構造
101 ドライ・エッチング装置
102 ウエット処理装置
111 エッチング処理室
WFR ウエハ
DESCRIPTION OF SYMBOLS 3 Insulating copper diffusion barrier film 5 Via 6 Wiring groove 12 Copper diffusion barrier metal film 47 Copper embedding wiring structure 101 Dry etching apparatus 102 Wet processing apparatus 111 Etching process chamber WFR Wafer

Claims (20)

以下の工程を含む半導体集積回路装置の製造方法:
(a)ドライエッチング装置のエッチング処理室内において、ウエハの第1の主面上の絶縁膜に形成され、配線溝およびビアを有する銅埋め込み配線構造の内、前記ビアの底面に存在する絶縁性銅拡散バリア膜をドライエッチングにより、除去する工程;
(b)前記工程(a)の後、前記ドライエッチング装置から前記ウエハを搬出する工程;
(c)搬出された前記ウエハを非酸化性乾燥ガス雰囲気中で保管する工程;
(d)保管された前記ウエハをウエット処理装置内に搬入する工程;
(e)前記ウエット処理装置内において、搬入された前記ウエハの前記第1の主面側に対して、前記ドライエッチング時に形成されたポリマーを除去する洗浄処理を実行する工程;
(f)前記工程(e)の後、前記ウエット処理装置から前記ウエハを搬出する工程;
(g)前記工程(f)の後、前記絶縁膜の表面と前記配線溝およびビアの内面に銅拡散バリア・メタル膜を形成させる工程。
A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) Insulating copper which is formed in an insulating film on the first main surface of the wafer in the etching process chamber of the dry etching apparatus and which exists on the bottom surface of the via in the copper embedded wiring structure having a wiring groove and a via. Removing the diffusion barrier film by dry etching;
(B) a step of unloading the wafer from the dry etching apparatus after the step (a);
(C) storing the unloaded wafer in a non-oxidizing dry gas atmosphere;
(D) carrying the stored wafer into a wet processing apparatus;
(E) performing a cleaning process for removing the polymer formed during the dry etching on the first main surface side of the loaded wafer in the wet processing apparatus;
(F) A step of unloading the wafer from the wet processing apparatus after the step (e);
(G) After the step (f), a step of forming a copper diffusion barrier metal film on the surface of the insulating film and the inner surfaces of the wiring grooves and vias.
前記1項の半導体集積回路装置の製造方法において、前記工程(b)は以下の下位工程を含む:
(b1)前記ドライエッチング装置とウエハ搬送容器を連結した状態で、前記ウエハを前記ドライエッチング装置から前記ウエハ搬送容器へ移送する工程;
(b2)前記ドライエッチング装置と前記ウエハ搬送容器とを切り離す工程。
In the method for manufacturing a semiconductor integrated circuit device according to the item 1, the step (b) includes the following sub-steps:
(B1) transferring the wafer from the dry etching apparatus to the wafer transfer container in a state where the dry etching apparatus and the wafer transfer container are connected;
(B2) A step of separating the dry etching apparatus and the wafer transfer container.
前記2項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器は密閉型である。   In the method of manufacturing a semiconductor integrated circuit device according to the item 2, the wafer transfer container is a sealed type. 前記3項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器はフープである。   In the method of manufacturing a semiconductor integrated circuit device according to the item 3, the wafer transfer container is a hoop. 前記4項の半導体集積回路装置の製造方法において、前記工程(c)の保管は、待機領域内において行われる。   In the method of manufacturing a semiconductor integrated circuit device according to the item 4, the storage of the step (c) is performed in a standby area. 前記4項の半導体集積回路装置の製造方法において、前記工程(c)の保管は、ストッカ内において行われる。   In the method for manufacturing a semiconductor integrated circuit device according to the item 4, the storage of the step (c) is performed in a stocker. 前記6項の半導体集積回路装置の製造方法において、前記工程(c)の保管は、前記ウエハ搬送容器の第1の呼吸孔から前記非酸化性乾燥ガス雰囲気を供給することによって行われる。   In the method of manufacturing a semiconductor integrated circuit device according to the item 6, the storage in the step (c) is performed by supplying the non-oxidizing dry gas atmosphere from the first breathing hole of the wafer transfer container. 前記6項の半導体集積回路装置の製造方法において、前記工程(c)の保管は、前記ウエハ搬送容器の第1の呼吸孔から前記非酸化性乾燥ガス雰囲気を供給し、前記ウエハ搬送容器の第2の呼吸孔から前記非酸化性乾燥ガス雰囲気を排出することによって行われる。   In the method of manufacturing a semiconductor integrated circuit device according to the item 6, the storage in the step (c) is performed by supplying the non-oxidizing dry gas atmosphere from the first breathing hole of the wafer transfer container, This is performed by discharging the non-oxidizing dry gas atmosphere from the two breathing holes. 前記8項の半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は不活性ガスを主成分とする。   In the method for manufacturing a semiconductor integrated circuit device according to the item 8, the non-oxidizing dry gas atmosphere contains an inert gas as a main component. 前記8項の半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は窒素ガスを主成分とする。   9. The method for manufacturing a semiconductor integrated circuit device according to the item 8, wherein the non-oxidizing dry gas atmosphere contains nitrogen gas as a main component. 以下の工程を含む半導体集積回路装置の製造方法:
(a)ドライエッチング装置のエッチング処理室内において、ウエハの第1の主面上の絶縁膜に形成され、配線溝およびビアを有する銅埋め込み配線構造の内、前記ビアの底面に存在する絶縁性銅拡散バリア膜をドライエッチングにより、除去する工程;
(b)前記工程(a)の後、前記ドライエッチング装置から前記ウエハを搬出する工程;
(c)搬出された前記ウエハを非酸化性乾燥ガス雰囲気中で保管する工程;
(d)保管された前記ウエハをウエット処理装置内に搬入する工程;
(e)前記ウエット処理装置内において、搬入された前記ウエハの前記第1の主面側に対して、前記ドライエッチング時に形成されたポリマーを除去する洗浄処理を実行する工程;
(f)前記工程(e)の後、前記ウエット処理装置から前記ウエハを搬出する工程;
(g)前記工程(f)の後、前記絶縁膜の表面と前記配線溝およびビアの内面に銅拡散バリア・メタル膜を形成させる工程、
ここで、前記工程(a)は、以下の下位工程を含む:
(a1)前記エッチング処理室内において、フルオロ・カーボン系のエッチング・ガスを含むエッチング雰囲気中でドライエッチング処理を実行する工程;
(a2)前記工程(a1)の後、前記エッチング処理室内において、窒素を主要な成分の一つとする非酸化性雰囲気中でプラズマ処理を実行する工程。
A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) Insulating copper which is formed in an insulating film on the first main surface of the wafer in the etching process chamber of the dry etching apparatus and which exists on the bottom surface of the via in the copper embedded wiring structure having a wiring groove and a via. Removing the diffusion barrier film by dry etching;
(B) a step of unloading the wafer from the dry etching apparatus after the step (a);
(C) storing the unloaded wafer in a non-oxidizing dry gas atmosphere;
(D) carrying the stored wafer into a wet processing apparatus;
(E) performing a cleaning process for removing the polymer formed during the dry etching on the first main surface side of the loaded wafer in the wet processing apparatus;
(F) A step of unloading the wafer from the wet processing apparatus after the step (e);
(G) After the step (f), a step of forming a copper diffusion barrier metal film on the surface of the insulating film and the inner surface of the wiring groove and via;
Here, the step (a) includes the following substeps:
(A1) performing a dry etching process in an etching atmosphere containing a fluorocarbon-based etching gas in the etching process chamber;
(A2) A step of performing plasma treatment in a non-oxidizing atmosphere containing nitrogen as one of main components in the etching chamber after the step (a1).
前記11項の半導体集積回路装置の製造方法において、前記エッチング雰囲気は、酸化性反応種を生成する成分を実質的に含まない。   12. The manufacturing method of a semiconductor integrated circuit device according to the item 11, wherein the etching atmosphere does not substantially contain a component that generates an oxidizing reactive species. 前記11項の半導体集積回路装置の製造方法において、前記エッチング雰囲気は、トリ・フルオロ・メタンを含む。   12. The method for manufacturing a semiconductor integrated circuit device according to the item 11, wherein the etching atmosphere contains trifluoromethane. 前記11項の半導体集積回路装置の製造方法において、前記工程(b)は以下の下位工程を含む:
(b1)前記ドライエッチング装置とウエハ搬送容器を連結した状態で、前記ウエハを前記ドライエッチング装置から前記ウエハ搬送容器へ移送する工程;
(b2)前記ドライエッチング装置と前記ウエハ搬送容器とを切り離す工程。
12. In the method for manufacturing a semiconductor integrated circuit device according to the item 11, the step (b) includes the following substeps:
(B1) transferring the wafer from the dry etching apparatus to the wafer transfer container in a state where the dry etching apparatus and the wafer transfer container are connected;
(B2) A step of separating the dry etching apparatus and the wafer transfer container.
前記14項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器は密閉型である。   15. The method for manufacturing a semiconductor integrated circuit device according to item 14, wherein the wafer transfer container is a sealed type. 前記15項の半導体集積回路装置の製造方法において、前記ウエハ搬送容器はフープである。   16. The method for manufacturing a semiconductor integrated circuit device according to the item 15, wherein the wafer transfer container is a hoop. 前記16項の半導体集積回路装置の製造方法において、前記工程(c)の保管は、ストッカ内において行われる。   In the method for manufacturing a semiconductor integrated circuit device according to the item 16, the storage of the step (c) is performed in a stocker. 前記17項の半導体集積回路装置の製造方法において、前記工程(c)の保管は、前記ウエハ搬送容器の第1の呼吸孔から前記非酸化性乾燥ガス雰囲気を供給し、前記ウエハ搬送容器の第2の呼吸孔から前記非酸化性乾燥ガス雰囲気を排出することによって行われる。   18. The manufacturing method of a semiconductor integrated circuit device according to the item 17, wherein the storage in the step (c) is performed by supplying the non-oxidizing dry gas atmosphere from the first breathing hole of the wafer transfer container, This is performed by discharging the non-oxidizing dry gas atmosphere from the two breathing holes. 前記18項の半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は不活性ガスを主成分とする。   In the method for manufacturing a semiconductor integrated circuit device according to the item 18, the non-oxidizing dry gas atmosphere contains an inert gas as a main component. 前記18項の半導体集積回路装置の製造方法において、前記非酸化性乾燥ガス雰囲気は窒素ガスを主成分とする。   In the method for manufacturing a semiconductor integrated circuit device according to the item 18, the non-oxidizing dry gas atmosphere contains nitrogen gas as a main component.
JP2007219264A 2007-08-27 2007-08-27 Method of manufacturing semiconductor integrated circuit device Withdrawn JP2009054744A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007219264A JP2009054744A (en) 2007-08-27 2007-08-27 Method of manufacturing semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007219264A JP2009054744A (en) 2007-08-27 2007-08-27 Method of manufacturing semiconductor integrated circuit device

Publications (1)

Publication Number Publication Date
JP2009054744A true JP2009054744A (en) 2009-03-12

Family

ID=40505575

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007219264A Withdrawn JP2009054744A (en) 2007-08-27 2007-08-27 Method of manufacturing semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP2009054744A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013065654A (en) * 2011-09-16 2013-04-11 Asahi Glass Co Ltd Apparatus for manufacturing reflective mask for euvl and mask blank for euvl
WO2014014907A1 (en) * 2012-07-16 2014-01-23 Mattson Technology, Inc. Method for high aspect ratio photoresist removal in pure reducing plasma

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013065654A (en) * 2011-09-16 2013-04-11 Asahi Glass Co Ltd Apparatus for manufacturing reflective mask for euvl and mask blank for euvl
WO2014014907A1 (en) * 2012-07-16 2014-01-23 Mattson Technology, Inc. Method for high aspect ratio photoresist removal in pure reducing plasma

Similar Documents

Publication Publication Date Title
US7435686B2 (en) Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
JP4554011B2 (en) Manufacturing method of semiconductor integrated circuit device
USRE38674E1 (en) Process for forming a thin oxide layer
JP4302231B2 (en) Method for forming a copper interconnect structure
KR100870616B1 (en) Methods of Forming Trench Isolation Regions
TWI430397B (en) A manufacturing method of a semiconductor device, a manufacturing apparatus for a semiconductor device, and a substrate processing device
US7378350B2 (en) Formation of low resistance via contacts in interconnect structures
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
US20210143001A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-transitory Computer-readable Recording Medium
US20080045030A1 (en) Substrate processing method, substrate processing system and storage medium
JP2003045933A (en) Load port, substrate processing unit, and method for replacing atmosphere
JP2004235516A (en) Purging method in wafer housing jig, load port, and method for manufacturing semiconductor device
JP4384519B2 (en) Wafer processing apparatus and method
US20060278612A1 (en) Manufacturing method of semiconductor integrated circuit device
US11107699B2 (en) Semiconductor manufacturing process
JP4043705B2 (en) Semiconductor device manufacturing method, wafer processing apparatus, and wafer storage box
US7235479B2 (en) Organic solvents having ozone dissolved therein for semiconductor processing utilizing sacrificial materials
US6759342B2 (en) Method of avoiding dielectric arcing
US20230275048A1 (en) Semiconductor device and method of forming the same
JP2009054744A (en) Method of manufacturing semiconductor integrated circuit device
JP4684866B2 (en) Manufacturing method of semiconductor device
US20070254491A1 (en) Protective layer for a low k dielectric film and methods of forming the same
JP2010056261A (en) Method of manufacturing semiconductor device
JP2010165943A (en) Method of manufacturing semiconductor device, and wafer processing system
TWI539523B (en) Semiconductor device manufacturing method and recording medium

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100527

A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20101102