JP2011029303A - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
JP2011029303A
JP2011029303A JP2009171882A JP2009171882A JP2011029303A JP 2011029303 A JP2011029303 A JP 2011029303A JP 2009171882 A JP2009171882 A JP 2009171882A JP 2009171882 A JP2009171882 A JP 2009171882A JP 2011029303 A JP2011029303 A JP 2011029303A
Authority
JP
Japan
Prior art keywords
film
semiconductor device
metal
electrode
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2009171882A
Other languages
Japanese (ja)
Inventor
Jun Suzuki
純 鈴木
Takeshi Suzuki
健 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Original Assignee
Panasonic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp filed Critical Panasonic Corp
Priority to JP2009171882A priority Critical patent/JP2011029303A/en
Priority to PCT/JP2010/000718 priority patent/WO2011010407A1/en
Publication of JP2011029303A publication Critical patent/JP2011029303A/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

<P>PROBLEM TO BE SOLVED: To provide a new strain technology capable of effectively applying a compressive stress to a channel region of a p-channel transistor and a tensile stress to the channel region of n-channel transistor, even with a miniaturized transistor. <P>SOLUTION: A gate electrode of the p-channel transistor 105 has a p-channel metal electrode 110 having an internal tensile stress. The gate electrode of the n-channel transistor 106 has an n-channel metal electrode 116 having an internal compressive stress. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、半導体装置に関し、詳細には、高誘電率ゲート絶縁膜を有するゲート電極構造を備えた半導体装置及びその製造方法に関する。   The present invention relates to a semiconductor device, and more particularly to a semiconductor device having a gate electrode structure having a high dielectric constant gate insulating film and a method for manufacturing the same.

相補型MOS(CMOS:complementary metal oxide semiconductor )デバイスでは、NMOSとPMOSの2種類のトランジスタが用いられている。ここで、NMOSトランジスタでは電子の移動によって電流のオン/オフの制御が行われ、PMOSトランジスタでは正孔の移動によって電流のオン/オフの制御が行われる。   In complementary metal oxide semiconductor (CMOS) devices, two types of transistors, NMOS and PMOS, are used. Here, on / off control of current is performed by movement of electrons in the NMOS transistor, and on / off control of current is performed by movement of holes in the PMOS transistor.

トランジスタのオン時にチャネルに流れるオン電流の大きさIdmaxは次式のように表現される。   The magnitude Idmax of the on-current flowing through the channel when the transistor is on is expressed as follows.

Idmax=(1/2)・μ・(W/L)・Cox・(Vg −Vth)2 ・・・(式1)
ここで、μはチャネルとなる反転層におけるキャリアの移動度、Wはトランジスタのゲート幅、Lはトランジスタのゲート長さ、Coxはゲート絶縁膜の容量(以下、ゲート容量と称する)、Vg はゲート電圧、Vthは閾値電圧である。
Idmax = (1/2) · μ · (W / L) · Cox · (Vg−Vth) 2 (Equation 1)
Here, μ is the carrier mobility in the inversion layer serving as the channel, W is the gate width of the transistor, L is the gate length of the transistor, Cox is the capacitance of the gate insulating film (hereinafter referred to as gate capacitance), and Vg is the gate. The voltage, Vth, is a threshold voltage.

前記(式1)から、より高速化するためには、つまり、より大きなオン電流を得るためには、μ、W、Cox又は(Vg −Vth)を増大させるか、又はLを縮小させることが必要であることがわかる。従来、半導体装置の高速化はLの縮小、つまり、トランジスタ形状の微細化によって進められてきた。しかし、近年、リソグラフィー技術の進歩が止まりつつあり、微細化によってトランジスタのオン電流を向上させるのではなく、μ又はCoxを増大させる技術が進化している。   From (Formula 1), in order to increase the speed, that is, to obtain a larger on-current, μ, W, Cox or (Vg−Vth) is increased or L is decreased. It turns out that it is necessary. Conventionally, speeding up of a semiconductor device has been promoted by reducing L, that is, by miniaturizing a transistor shape. However, in recent years, the progress of lithography technology has stopped, and a technique for increasing μ or Cox has evolved instead of improving the on-current of a transistor by miniaturization.

Coxは、後記(式2)で表され、Coxを増大させるためには、ゲート絶縁膜の比誘電率εr を増大させるか、又はゲート絶縁膜の物理膜厚Toxを縮小させることが必要である。すなわち、以上に述べた要因のうちゲート絶縁膜に関わる要因は、比誘電率εr の増大、及びゲート絶縁膜の物理膜厚Toxの縮小である。そこで、従来、オン電流向上を目指して、ゲート絶縁膜の物理膜厚(酸化膜厚)Toxの極薄化等が試みられてきた。   Cox is expressed by the following formula (Formula 2). In order to increase Cox, it is necessary to increase the relative dielectric constant εr of the gate insulating film or to reduce the physical film thickness Tox of the gate insulating film. . That is, among the factors described above, the factors relating to the gate insulating film are an increase in the relative dielectric constant εr and a reduction in the physical film thickness Tox of the gate insulating film. Therefore, conventionally, attempts have been made to make the physical film thickness (oxide film thickness) Tox of the gate insulating film extremely thin in order to improve the on-current.

Cox=ε0 ・εr ・(S/Tox)・・・(式2)
尚、(式2)において、ε0 は真空の誘電率であり、Sはゲート面積である。
Cox = ε0 · εr · (S / Tox) (Formula 2)
In (Equation 2), ε0 is the dielectric constant in vacuum, and S is the gate area.

従来、CMOSデバイスのゲート絶縁膜としては一般的にシリコン酸化膜(比誘電率は3.9程度)が用いられてきた。しかし、トランジスタの微細化に伴い、シリコン酸化膜からなるゲート絶縁膜が薄膜化されると、リーク電流が増大し、高い消費電力及び待機電力を持つデバイスとなる。そこで、4.0以上の比誘電率を持つゲート絶縁膜(つまり高誘電率ゲート絶縁膜)を用いることによって、実際の膜厚をシリコン酸化膜よりも厚くしながらも、実効的な膜厚(EOT:equivalent oxide thickness)を薄膜化すること、つまりHigh-kゲート絶縁膜技術の開発が進んでいる。   Conventionally, a silicon oxide film (having a relative dielectric constant of about 3.9) has been generally used as a gate insulating film of a CMOS device. However, when the gate insulating film made of a silicon oxide film is thinned with the miniaturization of the transistor, the leakage current increases, and the device has high power consumption and standby power. Therefore, by using a gate insulating film having a relative dielectric constant of 4.0 or higher (that is, a high dielectric constant gate insulating film), the effective film thickness ( The development of EOT (equivalent oxide thickness) thin film, that is, high-k gate insulating film technology is progressing.

しかしながら、従来のポリシリコンゲート電極とHigh-kゲート絶縁膜とを組み合わせただけでは、ゲート電極の空乏化と呼ばれる現象により、High-kゲート絶縁膜とポリシリコンゲート電極との間に空乏層容量が形成されてしまい、その結果、EOTが薄いというHigh-kゲート絶縁膜の利点が失われてしまう。すなわち、ゲート電極の空乏化を防ぐためには、High-kゲート絶縁膜と金属ゲート電極との組み合わせが必須であり、High-kゲート絶縁膜/金属ゲート電極の積層体による適正な閾値電圧(Vt)の制御がCMOSデバイスを構築する上で重要な課題となっている。   However, if the conventional polysilicon gate electrode and the high-k gate insulating film are combined, the depletion layer capacitance between the high-k gate insulating film and the polysilicon gate electrode is caused by a phenomenon called depletion of the gate electrode. As a result, the advantage of the high-k gate insulating film that the EOT is thin is lost. That is, in order to prevent the depletion of the gate electrode, a combination of the high-k gate insulating film and the metal gate electrode is essential, and an appropriate threshold voltage (Vt by the stacked body of the high-k gate insulating film / metal gate electrode is required. ) Control is an important issue in constructing a CMOS device.

従来のシリコン酸化膜ゲート絶縁膜/ポリシリコンゲート電極では、ポリシリコン中にホウ素やリンなどの不純物をイオン注入し、熱処理によって活性化することにより、ポリシリコンの仕事関数をノンドープ状態の4.65eVから、例えばホウ素をイオン注入した場合には5.15eVまで向上させることができ、それによってNMOS及びPMOSのそれぞれのVtの制御が可能となった。しかし、High-kゲート絶縁膜を用いると、High-kゲート絶縁膜中に存在する高密度のトラップによりフェルミレベルが固定されてしまうというフェルミレベルピニング現象が起こるため、イオン注入によるドーピングレベルでは仕事関数を変化させることができなくなった。すなわち、イオン注入による閾値電圧の制御は不可能となった。また、金属ゲート電極とポリシリコンゲート電極とを組み合わせたMIPS(Metal-Inserted-Poly-Si Stack)と呼ばれる構造においても、イオン注入による仕事関数の調整は難しい。従って、High-kゲート絶縁膜/金属ゲート電極においては、ゲート電極に用いられる金属の仕事関数がVt制御に対して支配的になる。   In a conventional silicon oxide gate insulating film / polysilicon gate electrode, impurities such as boron and phosphorus are ion-implanted into polysilicon and activated by heat treatment, whereby the polysilicon work function is 4.65 eV in a non-doped state. Thus, for example, when boron is ion-implanted, the voltage can be increased to 5.15 eV, thereby enabling control of Vt of each of NMOS and PMOS. However, if a high-k gate insulating film is used, a Fermi level pinning phenomenon occurs in which the Fermi level is fixed by a high-density trap existing in the high-k gate insulating film. The function can no longer be changed. That is, the threshold voltage cannot be controlled by ion implantation. Further, even in a structure called MIPS (Metal-Inserted-Poly-Si Stack) in which a metal gate electrode and a polysilicon gate electrode are combined, it is difficult to adjust the work function by ion implantation. Therefore, in the high-k gate insulating film / metal gate electrode, the work function of the metal used for the gate electrode becomes dominant over the Vt control.

High-kゲート絶縁膜/金属ゲート電極における仕事関数の研究においては、金属ゲート電極材料として、チタン、タングステン、タンタル又はモリブデンの窒化物が用いられている。特に、DRAM(dynamic random access memory)電極材料として従来から用いられてきたチタンやタングステンの窒化物が、ドライエッチングやウェットエッチングなどの加工特性の点で金属ゲート電極材料として扱いやすく、実用化が進められている。   In the study of the work function in the high-k gate insulating film / metal gate electrode, a nitride of titanium, tungsten, tantalum or molybdenum is used as the metal gate electrode material. In particular, nitrides of titanium and tungsten that have been used as DRAM (dynamic random access memory) electrode materials are easy to handle as metal gate electrode materials in terms of processing characteristics such as dry etching and wet etching. It has been.

ところで、前記(式1)中のμ(移動度)を増大させるために、近年、チャネル領域に歪を加えてトランジスタを高速化させる技術が報告されている。当該技術においては、まず、トランジスタのソース・ドレイン領域に歪みを生成するための歪み発生層を形成する。例えば、PMOSトランジスタにおいては、シリコン(Si)原子よりも格子間隔が大きいゲルマニウム(Ge)をソース・ドレイン領域に導入して歪み発生層を形成する方法が報告されている(例えば特許文献1参照)。ゲルマニウムを導入することにより、チャネル領域のSi格子に圧縮歪を加えることができ、それにより、正孔の移動度が向上するため、PMOSトランジスタの動作を高速化できる。一方、NMOSトランジスタにおいては、Si原子よりも格子間隔が小さい炭素(C)をソース・ドレイン領域に導入して歪み発生層を形成する方法が報告されている(例えば特許文献2参照)。炭素を導入することにより、チャネル領域のSi格子に引っ張り歪みを加えることができ、それにより、電子の移動度が向上するため、NMOSトランジスタの動作を高速化できる。炭素をソース・ドレイン領域に導入して歪み発生層を形成する方法としては、次の2つが知られている(例えば特許文献2、非特許文献1及び非特許文献2参照)。1つ目は、シラン系のシリコンソースガスと、モノメチルシラン等の炭素ソースガスとを用いて、ソース・ドレイン領域をくりぬいて形成したリセス部に選択的に歪み発生層をエピタキシャル成長させる方法である。2つ目は、炭素をソース・ドレイン領域にイオン注入して900℃程度の熱処理を行うことにより固相成長を起こさせ、シリコンと炭素とを結合させる方法である。   By the way, in order to increase μ (mobility) in the above (Formula 1), a technique for increasing the speed of a transistor by applying strain to the channel region has been recently reported. In this technique, first, a strain generation layer for generating strain is formed in a source / drain region of a transistor. For example, in a PMOS transistor, a method has been reported in which germanium (Ge) having a lattice spacing larger than that of silicon (Si) atoms is introduced into a source / drain region to form a strain generation layer (see, for example, Patent Document 1). . By introducing germanium, compressive strain can be applied to the Si lattice in the channel region, thereby improving the mobility of holes and increasing the operation speed of the PMOS transistor. On the other hand, in an NMOS transistor, a method for forming a strain generating layer by introducing carbon (C) having a lattice spacing smaller than that of Si atoms into a source / drain region has been reported (see, for example, Patent Document 2). By introducing carbon, tensile strain can be applied to the Si lattice in the channel region, thereby improving the mobility of electrons, so that the operation of the NMOS transistor can be speeded up. There are two known methods for forming a strain generating layer by introducing carbon into a source / drain region (see, for example, Patent Document 2, Non-Patent Document 1, and Non-Patent Document 2). The first is a method in which a strain generation layer is selectively epitaxially grown in a recess formed by hollowing out a source / drain region using a silane-based silicon source gas and a carbon source gas such as monomethylsilane. The second is a method in which carbon is ion-implanted into the source / drain regions and a heat treatment at about 900 ° C. is performed to cause solid phase growth to bond silicon and carbon.

その他、チャネル領域に歪みを導入するために、膜応力を持つSiN膜をコンタクトライナー膜として形成する例がある(例えば特許文献3参照)。本例では、層間絶縁膜にコンタクトホールを形成するためにエッチングを行う際にエッチングストップ膜として形成されていたライナー膜を利用して、チャネル領域に応力を印加する。より具体的には、膜応力を持つSiN膜をライナー膜として使用する場合、NMOSに対しては引張り応力を持つSiN膜を形成し、PMOSに対しては圧縮応力を持つSiN膜を形成する。この方法を用いてキャリア移動度を大きく向上させるためには、ライナー膜の膜厚を厚くすることにより、チャネル領域に印加される歪量を大きくすればよい。また、NMOSにおいて使用するSiN膜については、多くの場合、成膜後の処理により膜収縮を生じさせて引張り応力を発生させる方法が用いられている。   In addition, there is an example in which a SiN film having a film stress is formed as a contact liner film in order to introduce strain into the channel region (see, for example, Patent Document 3). In this example, a stress is applied to the channel region using a liner film formed as an etching stop film when etching is performed to form a contact hole in the interlayer insulating film. More specifically, when a SiN film having a film stress is used as a liner film, a SiN film having a tensile stress is formed for NMOS and a SiN film having a compressive stress is formed for PMOS. In order to greatly improve the carrier mobility using this method, the strain applied to the channel region may be increased by increasing the thickness of the liner film. In many cases, the SiN film used in the NMOS uses a method of generating a tensile stress by causing film shrinkage by a post-deposition process.

尚、ライナー膜の膜厚を大きくする場合、ライナー膜を多層構造にすることも知られている。この場合、一層毎に膜収縮を行っても良い。ライナー膜を多層化する構造については、例えば特許文献4に報告されている。   It is also known that the liner film has a multilayer structure when the film thickness of the liner film is increased. In this case, film shrinkage may be performed for each layer. For example, Patent Document 4 reports a structure in which a liner film is multilayered.

また、チャネル領域に効果的に歪を与えるためには、大きな応力を持つ膜が、チャネル領域に近づくほど好ましい。近年、例えば、ディスポーザブル・サイドウォール技術によって、ライナー膜を形成する前にサイドウォールを除去した後、応力を持ったライナー膜を形成する方法が提案されている(例えば特許文献5参照)。また、Σ型SiGeソース・ドレイン領域を形成することにより、できる限りチャネル領域に近いところまでSiGeエピタキシャル層を形成することによって、チャネル領域に加える歪を増大させる方法も提案されている(例えば非特許文献3参照)。しかし、これらの方法はいずれも、NMOSかPMOSかで選択的に成膜を実施する工程を要するため、マスク費用や工程数が膨大になる等の問題を有しており、トランジスタ能力向上に対する費用対効果が小さい。   In order to effectively strain the channel region, a film having a large stress is preferable as it approaches the channel region. In recent years, for example, a method of forming a liner film having stress after removing the sidewall before forming the liner film by a disposable sidewall technique has been proposed (for example, see Patent Document 5). Also, a method has been proposed in which the strain applied to the channel region is increased by forming the SiGe epitaxial layer as close to the channel region as possible by forming Σ-type SiGe source / drain regions (for example, non-patented). Reference 3). However, each of these methods requires a process of selectively forming a film using NMOS or PMOS, and thus has problems such as a large mask cost and a large number of processes. Small effect.

特開2006−196549号公報JP 2006-196549 A 特開2006−216955号公報JP 2006-216955 A 特開2003−060076号公報JP 2003-060076 A 特許第3050193号公報Japanese Patent No. 3050193 特開2008−091536号公報JP 2008-091536 A

Yee-Chia Yeo、"SSDM"、2006年、p.162-163Yee-Chia Yeo, "SSDM", 2006, p.162-163 Yaocheng Liu 他、"VLSI Tech. Dig."、2007年、p.44-45Yaocheng Liu et al., "VLSI Tech. Dig.", 2007, p.44-45 Hiroyuki Ota 他、Technical report of IEICE. SDM 105(541)、2006年1月13日、p.13-16Hiroyuki Ota et al., Technical report of IEICE. SDM 105 (541), January 13, 2006, p.13-16

しかしながら、チャネル領域に歪を加えてトランジスタを高速化させる前述の従来技術には以下のような問題点がある。   However, the above-described conventional technique for increasing the speed of the transistor by applying distortion to the channel region has the following problems.

まず、微細化と高集積化がさらに進められると、各素子同士の間隔が小さくなり、MOSトランジスタ間の距離も縮小される。このため、ライナー膜の膜厚を大きくすると、トランジスタのソース領域及びドレイン領域のそれぞれの上にもライナー膜が厚く形成されるので、隣り合うMOSトランジスタのゲート電極同士の間の領域もライナー膜によって埋まってしまう。これにより、コンタクト形成が困難になる等の問題が生じる。   First, when miniaturization and high integration are further advanced, the distance between the elements is reduced, and the distance between the MOS transistors is also reduced. For this reason, when the liner film is increased in thickness, the liner film is also formed thickly on each of the source region and the drain region of the transistor. Therefore, the region between the gate electrodes of adjacent MOS transistors is also formed by the liner film. It will be buried. This causes problems such as difficulty in contact formation.

また、ソース領域及びドレイン領域にSiGeエピタキシャル層を形成する技術については、例えば、SRAM(static random access memory)領域のようにSTI(shallow trench isolation)によって互いに分離されたNMOS及びPMOSがひしめき合っている領域では、SiO2 で形成されているSTI端でエピタキシャル成長が生じないので、チャネルに歪を印加することが難しくなる。すなわち、微細化が進むと、SiGeエピタキシャル歪Si技術を適用することが難しくなる。 In addition, regarding a technique for forming a SiGe epitaxial layer in a source region and a drain region, for example, a region where NMOS and PMOS separated from each other by STI (shallow trench isolation) are crushed like a static random access memory (SRAM) region Then, since epitaxial growth does not occur at the STI end formed of SiO 2 , it becomes difficult to apply strain to the channel. That is, as miniaturization progresses, it becomes difficult to apply SiGe epitaxial strained Si technology.

以上のように、従来の歪技術には、微細化に伴ってチャネル領域に印加できる歪量が小さくなるという問題があるため、デザインルールが32nm以降のCMOSデバイスへの対応が困難である。   As described above, the conventional strain technique has a problem that the amount of strain that can be applied to the channel region is reduced with miniaturization, so that it is difficult to deal with CMOS devices having a design rule of 32 nm or later.

そこで、本発明は、微細化されても、nチャネルトランジスタのチャネル領域には引っ張り歪を、pチャネルトランジスタのチャネル領域には圧縮歪をそれぞれ効果的に印加できる新しい歪技術を提供することを目的とする。   Accordingly, an object of the present invention is to provide a new strain technique that can effectively apply tensile strain to the channel region of an n-channel transistor and compressive strain to the channel region of a p-channel transistor even when miniaturized. And

前記の目的を達成するために、本願発明者らは、金属(メタル)ゲート電極を構成する膜が持つ内部応力に着目し、詳細な評価を行った結果、次のような発明を想到した。   In order to achieve the above object, the inventors of the present application focused on the internal stress of the film constituting the metal (metal) gate electrode, and as a result of detailed evaluation, the following invention was conceived.

すなわち、本発明に係る第1の半導体装置は、基板におけるnチャネルトランジスタ形成領域上にゲート絶縁膜を介して形成されたゲート電極を備え、前記ゲート電極は、圧縮内部応力を持つnチャネルメタル電極を有する。   That is, a first semiconductor device according to the present invention includes a gate electrode formed on a n-channel transistor formation region in a substrate via a gate insulating film, and the gate electrode is an n-channel metal electrode having a compressive internal stress. Have

本発明に係る第1の半導体装置によると、nチャネルメタル電極が圧縮内部応力を持つ(つまりnチャネルメタル電極自体は膨張しようとしている)ため、nチャネルトランジスタのチャネル領域には、nチャネルメタル電極の内部応力とは逆方向の引っ張り歪が印加される。ここで、nチャネルメタル電極の下側のゲート絶縁膜(例えばHigh-kゲート絶縁膜)の厚さは高々数nm程度であるため、nチャネルメタル電極はチャネル領域に非常に近接しているので、ゲート電極の上側に形成されるライナー膜による応力印加と比べて、nチャネルメタル電極によりチャネル領域に対して効果的に歪を加えることができる。また、実施形態で詳細に述べるように、nチャネルメタル電極膜の厚さが10nm程度以上であれば、効果的な歪印加が可能となるので、微細化されても、nチャネルトランジスタのチャネル領域に引っ張り歪を効果的に印加することができる。   According to the first semiconductor device of the present invention, since the n-channel metal electrode has a compressive internal stress (that is, the n-channel metal electrode itself is about to expand), the n-channel metal electrode is provided in the channel region of the n-channel transistor. A tensile strain in the direction opposite to the internal stress is applied. Here, since the thickness of the gate insulating film (for example, High-k gate insulating film) on the lower side of the n-channel metal electrode is about several nanometers at most, the n-channel metal electrode is very close to the channel region. Compared with the stress application by the liner film formed on the upper side of the gate electrode, the channel region can be effectively strained by the n-channel metal electrode. Further, as will be described in detail in the embodiment, if the thickness of the n-channel metal electrode film is about 10 nm or more, effective strain application is possible. Tensile strain can be effectively applied to the substrate.

また、本発明に係る第2の半導体装置は、基板におけるpチャネルトランジスタ形成領域上にゲート絶縁膜を介して形成されたゲート電極を備え、前記ゲート電極は、引っ張り内部応力を持つpチャネルメタル電極を有する。   The second semiconductor device according to the present invention further includes a gate electrode formed on a p-channel transistor formation region in the substrate via a gate insulating film, and the gate electrode is a p-channel metal electrode having a tensile internal stress. Have

本発明に係る第2の半導体装置によると、pチャネルメタル電極が引っ張り内部応力を持つ(つまりpチャネルメタル電極自体は収縮しようとしている)ため、pチャネルトランジスタのチャネル領域には、pチャネルメタル電極の内部応力とは逆方向の圧縮歪が印加される。ここで、pチャネルメタル電極の下側のゲート絶縁膜(例えばHigh-kゲート絶縁膜)の厚さは高々数nm程度であるため、pチャネルメタル電極はチャネル領域に非常に近接しているので、ゲート電極の上側に形成されるライナー膜による応力印加と比べて、pチャネルメタル電極によりチャネル領域に対して効果的に歪を加えることができる。また、実施形態で詳細に述べるように、pチャネルメタル電極膜の厚さが10nm程度以上であれば、効果的な歪印加が可能となるので、微細化されても、pチャネルトランジスタのチャネル領域に引っ張り歪を効果的に印加することができる。   According to the second semiconductor device of the present invention, the p-channel metal electrode has tensile internal stress (that is, the p-channel metal electrode itself is about to contract), and therefore the p-channel metal electrode is provided in the channel region of the p-channel transistor. A compressive strain in the direction opposite to the internal stress is applied. Here, since the thickness of the gate insulating film (for example, High-k gate insulating film) below the p-channel metal electrode is about several nanometers at most, the p-channel metal electrode is very close to the channel region. Compared with the stress application by the liner film formed on the upper side of the gate electrode, the channel region can be effectively strained by the p-channel metal electrode. Further, as described in detail in the embodiment, since the effective strain application is possible if the thickness of the p-channel metal electrode film is about 10 nm or more, the channel region of the p-channel transistor can be obtained even if it is miniaturized. Tensile strain can be effectively applied to the substrate.

また、本発明に係る第3の半導体装置は、基板におけるnチャネルトランジスタ形成領域上に第1のゲート絶縁膜を介して形成された第1のゲート電極と、前記基板におけるpチャネルトランジスタ形成領域上に第2のゲート絶縁膜を介して形成された第2のゲート電極とを備え、前記第1のゲート電極は、圧縮内部応力を持つnチャネルメタル電極を有し、前記第2のゲート電極は、引っ張り内部応力を持つpチャネルメタル電極を有する。   A third semiconductor device according to the present invention includes a first gate electrode formed on a n-channel transistor formation region in a substrate through a first gate insulating film, and a p-channel transistor formation region in the substrate. And a second gate electrode formed through a second gate insulating film, wherein the first gate electrode has an n-channel metal electrode having a compressive internal stress, and the second gate electrode is , Having a p-channel metal electrode with tensile internal stress.

本発明に係る第3の半導体装置によると、前述の本発明に係る第1及び第2の半導体装置の効果を合わせた効果を得ることができる。   According to the third semiconductor device of the present invention, it is possible to obtain the combined effect of the first and second semiconductor devices according to the present invention.

本発明によれば、nチャネルトランジスタのチャネル領域には引っ張り歪を、pチャネルトランジスタのチャネル領域には圧縮歪をそれぞれ効果的に印加することができる。また、メタルゲート電極を構成する膜が持つ内部応力を利用してチャネル領域に歪を印加するため、微細化されても、つまり、トランジスタ間のピッチが縮小しても、ライナー膜やSiGeソース・ドレイン等の従来の歪技術のように、制約を受けることがない。   According to the present invention, tensile strain can be effectively applied to the channel region of the n-channel transistor, and compressive strain can be effectively applied to the channel region of the p-channel transistor. Further, since strain is applied to the channel region using the internal stress of the film constituting the metal gate electrode, the liner film or SiGe source Unlike conventional strain techniques such as drains, there are no restrictions.

さらに、本発明によれば、メタル電極膜の厚さが10nm程度以上であれば、効果的な歪印加が可能となるため、ゲート加工できないような厚いメタル電極膜を形成する必要はない。従って、例えばHigh-kゲート絶縁膜/メタルゲート電極構造を持つCMOSトランジスタの高速化及び高集積化を可能としつつ、当該CMOSトランジスタの形成を容易に行うことができる。   Furthermore, according to the present invention, if the thickness of the metal electrode film is about 10 nm or more, effective strain application is possible, so that it is not necessary to form a thick metal electrode film that cannot be gated. Therefore, for example, a CMOS transistor having a high-k gate insulating film / metal gate electrode structure can be easily formed while enabling high speed and high integration of the CMOS transistor.

図1は、本発明の第1の実施形態に係る半導体装置の概略構成を示す断面図である。FIG. 1 is a cross-sectional view showing a schematic configuration of a semiconductor device according to the first embodiment of the present invention. 図2は、引っ張り内部応力を持つメタル電極膜及び圧縮内部応力を持つメタル電極膜をそれぞれシリコンウェハ上に形成した場合のウェハ反り量を本願発明者らが調べた結果を示している。FIG. 2 shows the results of investigation by the inventors of the present invention on the amount of wafer warpage when a metal electrode film having a tensile internal stress and a metal electrode film having a compressive internal stress are respectively formed on a silicon wafer. 図3は、チャネル領域にかかる歪量のメタル電極膜(TiN膜)の膜厚に対する依存性を本願発明者らが調べた結果を示している。FIG. 3 shows the results of investigation by the inventors of the present invention on the dependence of the strain amount on the channel region on the thickness of the metal electrode film (TiN film). 図4は、本発明の第1の実施形態に係る半導体装置におけるnチャネルトランジスタの駆動能力を示す図である。FIG. 4 is a diagram showing the drive capability of the n-channel transistor in the semiconductor device according to the first embodiment of the present invention. 図5は、本発明の第1の実施形態に係る半導体装置におけるpチャネルトランジスタの駆動能力を示す図である。FIG. 5 is a diagram showing the drive capability of the p-channel transistor in the semiconductor device according to the first embodiment of the present invention. 図6(a)〜(f)は、本発明の第1の実施形態に係る半導体装置の製造方法の各工程を示す断面図である。6A to 6F are cross-sectional views showing respective steps of the method for manufacturing the semiconductor device according to the first embodiment of the present invention. 図7(a)〜(e)は、本発明の第2の実施形態に係る半導体装置の製造方法の各工程を示す断面図である。7A to 7E are cross-sectional views showing respective steps of a method for manufacturing a semiconductor device according to the second embodiment of the present invention. 図8(a)〜(d)は、本発明の第2の実施形態に係る半導体装置の製造方法の各工程を示す断面図である。FIGS. 8A to 8D are cross-sectional views showing respective steps of a method for manufacturing a semiconductor device according to the second embodiment of the present invention.

(第1の実施形態)
以下、本発明の第1の実施形態に係る半導体装置及びその製造方法について、図面を参照しながら説明する。
(First embodiment)
Hereinafter, a semiconductor device and a manufacturing method thereof according to a first embodiment of the present invention will be described with reference to the drawings.

図1は、本発明の第1の実施形態に係る半導体装置、具体的には、CMOS構造を有する半導体装置の概略構成を示す断面図である。   FIG. 1 is a cross-sectional view showing a schematic configuration of a semiconductor device according to the first embodiment of the present invention, specifically, a semiconductor device having a CMOS structure.

図1に示すように、例えばシリコンからなる半導体基板101上には、例えばシリコン酸化膜からなり且つSTI形状を持つ素子分離層104が形成されており、これにより、pチャネルトランジスタ105とnチャネルトランジスタ106とが区画されている。pチャネルトランジスタ105の半導体基板101には例えばイオン注入によりn型ウェル領域102が形成されていると共に、nチャネルトランジスタ106の半導体基板101には例えばイオン注入によりp型ウェル領域103が形成されている。   As shown in FIG. 1, an element isolation layer 104 made of, for example, a silicon oxide film and having an STI shape is formed on a semiconductor substrate 101 made of, for example, silicon, whereby a p-channel transistor 105 and an n-channel transistor are formed. 106 is partitioned. For example, an n-type well region 102 is formed in the semiconductor substrate 101 of the p-channel transistor 105 by ion implantation, and a p-type well region 103 is formed in the semiconductor substrate 101 of the n-channel transistor 106 by, for example, ion implantation. .

pチャネルトランジスタ105は、例えばイオン注入により形成されたp型ソース・ドレイン領域107及びp型エクステンション領域108を備えていると共に、例えばHigh-k絶縁膜により構成されたゲート絶縁膜109上に、本実施形態の特徴の1つである引っ張り内部応力を持つメタル電極110を備えている。尚、メタル電極110上には、例えばホウ素などの不純物をイオン注入したポリシリコン電極111が形成されており、メタル電極110とポリシリコン電極111とによって、pチャネルトランジスタ105のゲート電極が構成されていると共に、当該ゲート電極側壁上には、例えばシリコン窒化膜又はシリコン酸化膜等からなる絶縁性サイドウォールスペーサ112が形成されている。   The p-channel transistor 105 includes a p-type source / drain region 107 and a p-type extension region 108 formed by, for example, ion implantation, and is formed on a gate insulating film 109 made of, for example, a high-k insulating film. The metal electrode 110 having a tensile internal stress, which is one of the features of the embodiment, is provided. On the metal electrode 110, a polysilicon electrode 111 in which an impurity such as boron is ion-implanted is formed. The metal electrode 110 and the polysilicon electrode 111 constitute a gate electrode of the p-channel transistor 105. In addition, an insulating sidewall spacer 112 made of, for example, a silicon nitride film or a silicon oxide film is formed on the side wall of the gate electrode.

尚、図示は省略しているが、p型ソース・ドレイン領域107及びポリシリコンゲート電極111のそれぞれの表面部に、例えばニッケルシリサイド(NiSi)又はニッケル白金シリサイド(NiPtSi)等からなるシリサイド化層が形成されていても良い。また、図示は省略しているが、p型ソース・ドレイン領域107及びp型エクステンション領域108に、ゲルマニウム(Ge)を例えば10atomic%〜30atomic%程度含んだSiGeエピタキシャル層が形成されていても良い。   Although not shown, a silicide layer made of, for example, nickel silicide (NiSi) or nickel platinum silicide (NiPtSi) is formed on each surface portion of the p-type source / drain region 107 and the polysilicon gate electrode 111. It may be formed. Although not shown, a SiGe epitaxial layer containing germanium (Ge), for example, about 10 atomic% to 30 atomic% may be formed in the p-type source / drain region 107 and the p-type extension region 108.

一方、nチャネルトランジスタ106は、例えばイオン注入により形成されたn型ソース・ドレイン領域113及びn型エクステンション領域114を備えていると共に、例えば、High-kゲート絶縁膜により構成されたゲート絶縁膜115上に、本実施形態の特徴である圧縮内部応力を持つメタル電極116を備えている。尚、メタル電極116上には、例えばリンなどの不純物をイオン注入したポリシリコン電極117が形成されており、メタル電極116とポリシリコン電極117とによって、nチャネルトランジスタ106のゲート電極が構成されていると共に、当該ゲート電極側壁上には、例えばシリコン窒化膜又はシリコン酸化膜等からなる絶縁性サイドウォールスペーサ118が形成されている。   On the other hand, the n-channel transistor 106 includes an n-type source / drain region 113 and an n-type extension region 114 formed by, for example, ion implantation, and a gate insulating film 115 made of, for example, a high-k gate insulating film. On top, a metal electrode 116 having a compressive internal stress, which is a feature of the present embodiment, is provided. Note that a polysilicon electrode 117 into which an impurity such as phosphorus is ion-implanted is formed on the metal electrode 116, and the gate electrode of the n-channel transistor 106 is configured by the metal electrode 116 and the polysilicon electrode 117. In addition, an insulating sidewall spacer 118 made of, for example, a silicon nitride film or a silicon oxide film is formed on the side wall of the gate electrode.

尚、図示は省略しているが、n型ソース・ドレイン領域113及びポリシリコンゲート電極117のそれぞれの表面部に、例えばニッケルシリサイド(NiSi)又はニッケル白金シリサイド(NiPtSi)等からなるシリサイド化層が形成されていても良い。また、図示は省略しているが、p型ソース・ドレイン領域107及びp型エクステンション領域108に、ゲルマニウム(Ge)を例えば10atomic%〜30atomic%程度含んだSiGeエピタキシャル層が形成されていても良い。また、図示は省略しているが、n型ソース・ドレイン領域113及びn型エクステンション領域114に、炭素(C)を例えば1atomic%〜3atomic%程度含んだカーボンドープSiエピタキシャル層が形成されていても良い。   Although not shown, a silicide layer made of, for example, nickel silicide (NiSi) or nickel platinum silicide (NiPtSi) is formed on each surface portion of the n-type source / drain region 113 and the polysilicon gate electrode 117. It may be formed. Although not shown, a SiGe epitaxial layer containing germanium (Ge), for example, about 10 atomic% to 30 atomic% may be formed in the p-type source / drain region 107 and the p-type extension region 108. Although not shown, even if a carbon-doped Si epitaxial layer containing about 1 atomic% to 3 atomic% of carbon (C) is formed in the n-type source / drain region 113 and the n-type extension region 114, for example. good.

また、本実施形態において、pチャネルトランジスタ105のゲート絶縁膜(High-kゲート絶縁膜)109は、例えばHf又はZrとSiとを含んだ酸化膜から構成されたHigh-k膜中に、仕事関数を制御するためのAlやTaなどを含ませたものである。また、nチャネルトランジスタ106のゲート絶縁膜(High-kゲート絶縁膜)115は、例えばHf又はZrとSiとを含んだ酸化膜から構成されたHigh-k膜中に、仕事関数を制御するためのLaやMgなどを含ませたものである。   In the present embodiment, the gate insulating film (High-k gate insulating film) 109 of the p-channel transistor 105 is formed in a High-k film made of an oxide film containing, for example, Hf or Zr and Si. Al and Ta for controlling the function are included. Further, the gate insulating film (High-k gate insulating film) 115 of the n-channel transistor 106 is for controlling a work function in a High-k film composed of, for example, an oxide film containing Hf or Zr and Si. La, Mg and the like are included.

さらに、本実施形態において、pチャネルトランジスタ105のメタル電極110、及びnチャネルトランジスタ106のメタル電極116はそれぞれ、Al、Ti、Ta、W及びRuを含む金属群の中から選ばれた1つの金属からなる金属膜、前記金属群の中から選ばれた複数の金属からなる金属合金膜、前記金属群の中から選ばれた少なくとも1つの金属を含む窒化膜若しくは炭化窒化膜、又は前記金属群の中から選ばれた少なくとも1つの金属とシリコンとを含む窒化膜であってもよい。ここで、前述のように、pチャネルトランジスタ105のメタル電極110は引っ張り内部応力を持ち、nチャネルトランジスタ106のメタル電極116は圧縮内部応力を持つ。   Further, in this embodiment, the metal electrode 110 of the p-channel transistor 105 and the metal electrode 116 of the n-channel transistor 106 are each one metal selected from a metal group including Al, Ti, Ta, W, and Ru. A metal film comprising a plurality of metals selected from the metal group, a nitride film or carbonitride film containing at least one metal selected from the metal group, or of the metal group It may be a nitride film containing at least one metal selected from the above and silicon. Here, as described above, the metal electrode 110 of the p-channel transistor 105 has a tensile internal stress, and the metal electrode 116 of the n-channel transistor 106 has a compressive internal stress.

ところで、従来の歪技術においては、コンタクトエッチングストッパーとなるライナー膜を内部応力の大きいシリコン窒化膜により形成することによって、チャネル領域に歪を加えたり、又は、ソース・ドレイン領域にSiGeやSiCをエピタキシャル成長により埋め込むことによって、チャネル領域に歪を加えたりしていた。しかし、トランジスタの微細化及び高集積化により、隣り合うゲート同士の間でライナー窒化膜の埋め込み不良が生じる場合がある。また、STIからゲートまでの距離、つまりソース・ドレイン領域の面積縮小に起因して、Si結晶ではなくSiO2 により形成されているSTIの端部でエピタキシャル成長が起こらず、埋め込み不良、又はソース・ドレインへのコンタクトホールの接続不良が生じる場合がある。これらの不良が生じた場合、歩留りが低下する等の問題が生じる。 By the way, in the conventional strain technique, a liner film serving as a contact etching stopper is formed of a silicon nitride film having a large internal stress, so that strain is applied to the channel region, or SiGe or SiC is epitaxially grown in the source / drain regions. For example, the channel region is distorted by embedding by. However, due to the miniaturization and high integration of transistors, there may be a case where a liner nitride film is not sufficiently embedded between adjacent gates. Further, due to the distance from the STI to the gate, that is, the reduction in the area of the source / drain region, epitaxial growth does not occur at the end of the STI formed by SiO 2 instead of the Si crystal, and there is a burying failure or the source / drain. In some cases, the contact hole may be poorly connected. When these defects occur, problems such as a decrease in yield occur.

それに対して、本実施形態では、最もチャネル領域に近いゲート絶縁膜上に形成されているメタル電極によって、チャネル領域への歪を制御するため、ゲート間距離やソース・ドレイン領域の面積に関係なく、チャネル領域に適切な歪を効果的に加えることができる。これにより、pチャネルトランジスタ105及びnチャネルトランジスタ106のそれぞれの駆動能力、つまりCMOSトランジスタの駆動能力を向上させることができる。   On the other hand, in this embodiment, the strain to the channel region is controlled by the metal electrode formed on the gate insulating film closest to the channel region, so that regardless of the distance between the gates and the area of the source / drain regions. Thus, an appropriate distortion can be effectively applied to the channel region. Thereby, the driving capability of each of the p-channel transistor 105 and the n-channel transistor 106, that is, the driving capability of the CMOS transistor can be improved.

また、本実施形態では、メタル電極膜の厚さが10nm程度以上であれば、効果的な歪印加が可能となるため、ゲート加工できないような厚いメタル電極膜を形成する必要はない。従って、例えばHigh-kゲート絶縁膜/メタルゲート電極構造を持つCMOSトランジスタの高速化及び高集積化を可能としつつ、当該CMOSトランジスタの形成を容易に行うことができる。   Further, in this embodiment, if the thickness of the metal electrode film is about 10 nm or more, effective strain application is possible, so that it is not necessary to form a thick metal electrode film that cannot be gated. Therefore, for example, a CMOS transistor having a high-k gate insulating film / metal gate electrode structure can be easily formed while enabling high speed and high integration of the CMOS transistor.

チャネル領域に加えれる歪量については、下記(式3)(フックの法則)に示すように、バルクに加えられる歪量と同様に、力を与える「応力」と「ヤング率(縦弾性係数)」とから見積ることが可能である。ヤング率は、弾性範囲で単位歪当たり、どれだけの応力が必要であるかを決める定数である。   The amount of strain applied to the channel region is similar to the amount of strain applied to the bulk, as shown in (Equation 3) below (Hooke's law), and “stress” and “Young's modulus (longitudinal elastic modulus) that apply force. It is possible to estimate from Young's modulus is a constant that determines how much stress is required per unit strain in the elastic range.

[歪ε]=[応力σ]/[ヤング率E]・・・(式3)
尚、応力方向が一方向である引っ張り応力又は圧縮応力の方向に沿った歪量は、前記(式3)の一次方程式から求めることが可能であるが、実際のデバイスでは、3次元の物体中の応力を考慮する必要があるので、3次の正方行列によってテンソル成分を表示して歪量のシミュレーションを行う。
[Strain ε] = [Stress σ] / [Young's modulus E] (Formula 3)
The amount of strain along the direction of tensile stress or compressive stress in which the stress direction is one direction can be obtained from the linear equation (Equation 3), but in an actual device, in a three-dimensional object Therefore, the distortion is simulated by displaying the tensor component using a cubic square matrix.

また、例えばチタン窒化膜(TiN)のヤング率はTiとNとの組成比に依存しており、化学量論比に近いTiNではヤング率が増大する一方、化学量論比よりも窒素比率が低下するとヤング率も低下する。   In addition, for example, the Young's modulus of titanium nitride film (TiN) depends on the composition ratio of Ti and N, and while the Young's modulus increases with TiN close to the stoichiometric ratio, the nitrogen ratio is higher than the stoichiometric ratio. If it falls, Young's modulus will also fall.

ヤング率の測定方法には、膜歪み法(the membrane deflection method)、押し込み試験(the indentation test)、ブリリュアン散乱法(Brillouin scattering technique)、超音波顕微鏡(the ultrasonic microscopy )、共鳴振動法(the resonance vibration test)等の数種類の静的又は動的な方法が存在する。しかし、これらの方法は、特別の試料調製を必要とするなどの特徴があるため、微細な半導体デバイスで用いられるナノメーターレベルの厚さを持つ膜のヤング率測定には不向きである。   Young's modulus can be measured by the membrane deflection method, the indentation test, Brillouin scattering technique, ultrasonic microscopy, the resonance microscopy (the resonance) There are several types of static or dynamic methods such as vibration test). However, these methods are not suitable for measuring the Young's modulus of a film having a thickness of nanometer level used in a fine semiconductor device because it has a special feature such as requiring special sample preparation.

そこで、本実施形態においては、厚さ数10nmのメタル電極膜(具体的には厚さ15nmのTiN膜)のヤング率を測定するためにレーザー誘導音波を用いた。具体的には、試料表面にパルスレーザーを照射して表面弾性波を発生させ、その伝播速度の周波数依存性(分散曲線)を測定した。この分散曲線は、ヤング率、密度、ポアソン比、膜厚の4パラメータで決定されるため、分散曲線を測定すると共に既知のパラメータを入力することによって、未知のパラメータをフィッティングで求めることが可能となる。   Therefore, in this embodiment, laser-induced acoustic waves are used to measure the Young's modulus of a metal electrode film having a thickness of several tens of nm (specifically, a TiN film having a thickness of 15 nm). Specifically, the surface of the sample was irradiated with a pulse laser to generate surface acoustic waves, and the frequency dependence (dispersion curve) of the propagation velocity was measured. Since this dispersion curve is determined by four parameters of Young's modulus, density, Poisson's ratio, and film thickness, it is possible to obtain an unknown parameter by fitting by measuring the dispersion curve and inputting a known parameter. Become.

ヤング率の測定試料は、シリコン基板上に形成した厚さ100nmのシリコン酸化膜上に厚さ15nmのTiN膜を形成した構造を持つ。TiN膜の膜密度を5.43g/cm3 、ポアソン比を0.21としてヤング率を計算したところ、例えばPVD(physical vapor deposition)により形成したTiN膜は883GPaという非常に大きいヤング率を持つことが分かった。また、ALD(Atomic Layer Deposition )により形成したTiN膜も810GPaという非常に大きいヤング率を持つことが分かった。 The Young's modulus measurement sample has a structure in which a 15 nm thick TiN film is formed on a 100 nm thick silicon oxide film formed on a silicon substrate. When the Young's modulus was calculated with the film density of the TiN film being 5.43 g / cm 3 and the Poisson's ratio being 0.21, the TiN film formed by, for example, PVD (physical vapor deposition) has a very large Young's modulus of 883 GPa. I understood. It was also found that the TiN film formed by ALD (Atomic Layer Deposition) also has a very large Young's modulus of 810 GPa.

一方、応力の測定方法としては、シリコン基板(ウェハ)のそり量を用いた測定方法が一般的である。すなわち、シリコン基板上で成膜を行うと、シリコン基板の熱膨張係数と膜の熱膨張係数とが異なるため、基板が凹状又は凸状に反り、この反り(曲率半径)の変化量によりストレスを測定することができる。このウェハ反り測定は、ウェハの中心と重心とが一致するように3点でウェハを支持した状態で、各測定位置でのウェハと光源との焦点距離が一定となるように光源位置を移動させ、この光源位置の推移を測定することにより実施される。ウェハの反りの変化量(曲率半径)に基づいて、下記(式4)に示すストーニーの式を用いて応力を算出することができる。   On the other hand, as a stress measuring method, a measuring method using a warp amount of a silicon substrate (wafer) is generally used. That is, when a film is formed on a silicon substrate, the thermal expansion coefficient of the silicon substrate and the thermal expansion coefficient of the film are different. Can be measured. In this wafer warpage measurement, the position of the light source is moved so that the focal length between the wafer and the light source at each measurement position is constant while the wafer is supported at three points so that the center and the center of gravity of the wafer coincide. This is implemented by measuring the transition of the light source position. Based on the change amount (curvature radius) of the warp of the wafer, the stress can be calculated using the Stony equation shown in the following (Equation 4).

(応力)=(基板のヤング率)・(基板の厚さ)2 /(6・曲率半径・膜厚・(1−基板のポアソン比))・・・(式4)
本実施形態においては、メタル電極膜の内部応力の測定を、775μm厚の300mm径シリコンウェハを用いて実施した。その結果を図2に示す。図2の(a)は、PVDによりTiN膜を形成した場合のウェハの反り量を示している。これによれば、PVDにより形成したTiN膜は300mm径シリコンウェハを凸状に最大約50μm程度歪曲させており、前記(式4)によれば、PVDにより形成したTiN膜は、約3.0GPaの圧縮内部応力を持つことが分かる。また、図2の(b)は、ALDによりTiN膜を形成した場合のウェハの反り量を示している。これによれば、ALDにより形成したTiN膜は300mm径シリコンウェハを凹状に最大約30μm程度歪曲させており、前記(式4)によれば、ALDにより形成したTiN膜は、約1.5GPaの引っ張り内部応力を持つことが分かる。
(Stress) = (Young's modulus of substrate) · (Thickness of substrate) 2 / (6 · Radius of curvature · Film thickness · (1−Poisson's ratio of substrate))
In this embodiment, the internal stress of the metal electrode film was measured using a 300 mm diameter silicon wafer having a thickness of 775 μm. The result is shown in FIG. FIG. 2A shows the amount of warpage of the wafer when a TiN film is formed by PVD. According to this, the TiN film formed by PVD distorts a 300 mm diameter silicon wafer in a convex shape up to about 50 μm, and according to (Equation 4), the TiN film formed by PVD is about 3.0 GPa. It can be seen that it has a compressive internal stress of FIG. 2B shows the amount of warpage of the wafer when a TiN film is formed by ALD. According to this, the TiN film formed by ALD distorts a 300 mm diameter silicon wafer into a concave shape up to about 30 μm, and according to (Equation 4), the TiN film formed by ALD is about 1.5 GPa. It can be seen that there is a tensile internal stress.

尚、本願発明者らが調べたところ、CVD(Chemical Vapor Deposition )法やALD法等のように膜を熱化学成長させる場合には、膜は引っ張り内部応力を持つことが多く、PVD法等のようにウェハを加熱しない場合には、膜は圧縮内部応力を持つことが多いことが分かった。具体的には、CVDにより形成したW(タングステン)膜は1.5GPa程度の引張り内部応力を持ち、PVDにより形成したTa(タンタル)膜は2.5GPa程度の圧縮内部応力を持ち、PVDにより形成したTaN膜は1.6GPa程度の圧縮内部応力を持つ。   When the inventors of the present application have investigated, when a film is thermochemically grown, such as a CVD (Chemical Vapor Deposition) method or an ALD method, the film often has tensile internal stress. Thus, it was found that the film often had compressive internal stress when the wafer was not heated. Specifically, the W (tungsten) film formed by CVD has a tensile internal stress of about 1.5 GPa, and the Ta (tantalum) film formed by PVD has a compressive internal stress of about 2.5 GPa and is formed by PVD. The TaN film has a compressive internal stress of about 1.6 GPa.

図3は、チャネル領域にかかる歪をシミュレーションした結果を示す。図3に示すように、PVDによりTiN膜を形成した場合、当該TiN膜の内部応力は圧縮応力であるが、当該TiN膜によりゲート下のチャネル領域には引っ張り歪がかかるので、PVDにより形成したTiN膜はnチャネルトランジスタのメタルゲート電極に用いることが望ましいことが分かる。一方、図3に示すように、ALDによりTiN膜を形成した場合、当該TiN膜の内部応力は引っ張り応力であるが、当該TiN膜によりゲート下のチャネル領域には圧縮歪がかかるので、ALDにより形成したTiN膜はpチャネルトランジスタのメタルゲート電極に用いることが望ましいことが分かる。   FIG. 3 shows the result of simulating the distortion applied to the channel region. As shown in FIG. 3, when a TiN film is formed by PVD, the internal stress of the TiN film is a compressive stress, but the TiN film causes a tensile strain in the channel region under the gate. It can be seen that the TiN film is preferably used for the metal gate electrode of the n-channel transistor. On the other hand, as shown in FIG. 3, when a TiN film is formed by ALD, the internal stress of the TiN film is a tensile stress, but the compressive strain is applied to the channel region under the gate by the TiN film. It can be seen that the formed TiN film is preferably used for the metal gate electrode of the p-channel transistor.

また、図3に示すように、前述のヤング率及び内部応力を用いて、前記(式3)に従ってチャネル領域に印加できる歪量をシミュレーションしたところ、nチャネルトランジスタ及びpチャネルトランジスタのいずれにおいても、ソースからドレインに向かう方向(以下、X方向と称する)の歪量は、TiN膜の膜厚が10〜50nm程度で比較的大きくなり、特に15〜25nm程度でほぼ最大になることが分かった。例えばPVDにより形成したTiN膜をnチャネルトランジスタに採用した場合、当該TiN膜の膜厚が20nm程度で350程度の歪量を与えることができる。また、例えばALDにより形成したTiN膜をpチャネルトランジスタに採用した場合、当該TiN膜の膜厚が20nm程度で240程度の歪量を与えることができる。   Further, as shown in FIG. 3, when the amount of strain that can be applied to the channel region according to the above (Equation 3) was simulated using the aforementioned Young's modulus and internal stress, both the n-channel transistor and the p-channel transistor were It has been found that the amount of strain in the direction from the source to the drain (hereinafter referred to as the X direction) becomes relatively large when the thickness of the TiN film is about 10 to 50 nm, and particularly about 15 to 25 nm. For example, when a TiN film formed by PVD is used for an n-channel transistor, a strain amount of about 350 can be applied when the thickness of the TiN film is about 20 nm. For example, when a TiN film formed by ALD is used for a p-channel transistor, a strain amount of about 240 can be applied when the thickness of the TiN film is about 20 nm.

尚、他の歪技術であるライナー膜の場合には膜厚を増やせば増やすほど歪量が増大したことや、他の歪技術であるSiGeソース・ドレインの場合にもSiGe中のGe濃度を増やせば増やすほど歪量が増大したこととは異なり、本実施形態のメタル電極膜により加えられる歪量は膜厚15〜25nm程度で飽和するという特徴を有している。   In the case of a liner film, which is another strain technique, the amount of strain increases as the film thickness is increased. In the case of SiGe source / drain, which is another strain technique, the Ge concentration in SiGe can be increased. Unlike the fact that the amount of strain increases as the number increases, the amount of strain applied by the metal electrode film of the present embodiment is characterized by saturation at a film thickness of about 15 to 25 nm.

また、前述のライナー膜による方法では、1.6GPa相当の応力を持つ厚さ30nm程度のシリコン窒化膜を使用したとしても、ゲート電極側壁上に絶縁性サイドウォールスペーサが存在する場合には、X方向の歪量は150程度である。これは、絶縁性サイドウォールスペーサが存在するためにライナー膜とゲート下のチャネル領域との距離が増大する結果、当該距離が増大した分、歪量が減少するからである。但し、絶縁性サイドウォールスペーサを除去した後にライナー膜を形成するディスポーザブル・サイドウォール技術によれば、X方向に350程度の歪量を与えることが可能であるので、本実施形態のメタル電極膜(例えばPVDにより形成したTiN膜)と組み合わせて用いれば、合計700程度以上の歪量をnチャネルトランジスタのチャネル領域に印加することができる。或いは、引っ張り応力を持つライナー膜(例えばSiN膜)に代えて又は当該ライナー膜と共に、SiCソース・ドレイン技術を本実施形態のメタル電極膜(例えばPVDにより形成したTiN膜)と組み合わせて用いることにより、nチャネルトランジスタのチャネル領域に歪量を印加してもよい。   Further, in the method using the liner film described above, even if a silicon nitride film having a thickness of about 30 nm having a stress equivalent to 1.6 GPa is used, if an insulating sidewall spacer exists on the side wall of the gate electrode, X The amount of distortion in the direction is about 150. This is because the presence of the insulating sidewall spacer increases the distance between the liner film and the channel region under the gate, and as a result, the amount of strain decreases as the distance increases. However, according to the disposable sidewall technique in which the liner film is formed after removing the insulating sidewall spacer, it is possible to apply a strain amount of about 350 in the X direction. For example, when used in combination with a TiN film formed by PVD, a total amount of strain of about 700 or more can be applied to the channel region of the n-channel transistor. Alternatively, the SiC source / drain technology is used in combination with the metal electrode film (for example, TiN film formed by PVD) of this embodiment instead of or together with the liner film (for example, SiN film) having tensile stress. The strain amount may be applied to the channel region of the n-channel transistor.

同様に、SiGeソース・ドレイン技術を、本実施形態のメタル電極膜(例えばALDにより形成したTiN膜)と組み合わせて用いれば、pチャネルトランジスタのチャネル領域に大きな圧縮歪を印加して駆動電流を向上させることができる。ここで、SiGeソース・ドレイン技術に代えて又はSiGeソース・ドレイン技術と共に、圧縮応力を持つライナー膜(例えばSiN膜)を本実施形態のメタル電極膜(例えばALDにより形成したTiN膜)と組み合わせて用いることにより、pチャネルトランジスタのチャネル領域に歪量を印加してもよい。   Similarly, if SiGe source / drain technology is used in combination with the metal electrode film of this embodiment (for example, TiN film formed by ALD), a large compressive strain is applied to the channel region of the p-channel transistor to improve the drive current. Can be made. Here, instead of the SiGe source / drain technology or together with the SiGe source / drain technology, a liner film (for example, SiN film) having compressive stress is combined with the metal electrode film (for example, TiN film formed by ALD) of the present embodiment. By using it, the amount of strain may be applied to the channel region of the p-channel transistor.

図4は、nチャネルトランジスタのメタルゲート電極に圧縮内部応力を持つTiN膜を用いることにより、チャネル領域に引っ張り歪を印加した場合の駆動電流の向上を示す図である。図4に示すように、例えばオフ電流(Ioffs)が1000pA/μmの場合で比較すると、圧縮内部応力を持つTiN膜を用いることにより、オン電流(Ion)は817μA/μm(×印)から909μA/μm(○印)へと10%以上向上している。   FIG. 4 is a diagram showing an improvement in driving current when tensile strain is applied to the channel region by using a TiN film having compressive internal stress for the metal gate electrode of the n-channel transistor. As shown in FIG. 4, for example, when the off-current (Ioffs) is 1000 pA / μm, the on-current (Ion) is changed from 817 μA / μm (×) to 909 μA by using a TiN film having a compressive internal stress. / Μm (circle) is improved by 10% or more.

図5は、pチャネルトランジスタのメタルゲート電極に引っ張り内部応力を持つTiN膜を用いることにより、チャネル領域に圧縮歪を印加した場合の駆動電流の向上を示す図である。図5に示すように、例えばオフ電流(Ioffs)が100pA/μmの場合で比較すると、引っ張り内部応力を持つTiN膜を用いることにより、オン電流(Ion)は286μA/μm(×印)から309μA/μm(○印)へと8%以上向上している。   FIG. 5 is a diagram showing an improvement in driving current when compressive strain is applied to the channel region by using a TiN film having tensile internal stress for the metal gate electrode of the p-channel transistor. As shown in FIG. 5, for example, when the off current (Ioffs) is 100 pA / μm, by using a TiN film having a tensile internal stress, the on current (Ion) is changed from 286 μA / μm (×) to 309 μA. It is improved by 8% or more to / μm (circle mark).

以上に説明したように、本願発明者らは、メタルゲート電極に用いられる各種メタル電極膜の内部応力を詳細に調べ、ゲート絶縁膜(例えばHigh-kゲート絶縁膜)上のメタル電極膜として、pチャネルトランジスタでは引っ張り内部応力を持つメタル電極膜を、nチャネルトランジスタでは圧縮内部応力を持つメタル電極膜を形成することにより、従来のライナー膜により印加可能な歪量以上の歪量をチャネル領域に印加して駆動電流を向上させることができるということを見出した。   As described above, the inventors of the present application examined in detail the internal stress of various metal electrode films used for the metal gate electrode, and as a metal electrode film on the gate insulating film (for example, a high-k gate insulating film), By forming a metal electrode film having a tensile internal stress in a p-channel transistor and forming a metal electrode film having a compressive internal stress in an n-channel transistor, a strain amount more than that which can be applied by a conventional liner film is applied to the channel region. It has been found that the drive current can be improved by application.

すなわち、本実施形態は、この新しい知見に基づくものであり、従来技術の範疇とは異なる特徴及び効果を発揮する。例えば、本実施形態においては、メタルゲート電極を構成する膜が持つ内部応力を利用してチャネル領域に歪を印加するため、微細化されても、つまり、トランジスタ間のピッチが縮小しても、ライナー膜やSiGeソース・ドレイン等の従来の歪技術のように、制約を受けることがない。また、メタル電極膜の厚さが10nm程度以上であれば、効果的な歪印加が可能となるため、ゲート加工できないような厚いメタル電極膜を形成する必要はない。従って、例えばHigh-kゲート絶縁膜/メタルゲート電極構造を持つCMOSトランジスタの高速化及び高集積化を可能としつつ、当該CMOSトランジスタの形成を容易に行うことができる。   In other words, the present embodiment is based on this new knowledge and exhibits features and effects different from those of the prior art. For example, in this embodiment, since the strain is applied to the channel region using the internal stress of the film constituting the metal gate electrode, even if it is miniaturized, that is, the pitch between the transistors is reduced, Unlike conventional strain techniques such as liner film and SiGe source / drain, there are no restrictions. Further, if the thickness of the metal electrode film is about 10 nm or more, effective strain application is possible, so that it is not necessary to form a thick metal electrode film that cannot be gated. Therefore, for example, a CMOS transistor having a high-k gate insulating film / metal gate electrode structure can be easily formed while enabling high speed and high integration of the CMOS transistor.

尚、本実施形態において、nチャネルトランジスタ及びpチャネルトランジスタのメタルゲート電極の材料はTiN膜に限定されるものではなく、例えば、pチャネルトランジスタのメタルゲート電極として、前述の引っ張り内部応力を持つ、CVDやALDにより形成されたTaN膜やW膜を用いてもよいし、例えば、nチャネルトランジスタのメタルゲート電極として、前述の圧縮内部応力を持つ、PVDにより形成されたTaN膜やTa膜を用いてもよい。   In this embodiment, the material of the metal gate electrodes of the n-channel transistor and the p-channel transistor is not limited to the TiN film, and for example, the metal gate electrode of the p-channel transistor has the above-described tensile internal stress. A TaN film or W film formed by CVD or ALD may be used. For example, a TaN film or Ta film formed by PVD having the above-described compressive internal stress is used as a metal gate electrode of an n-channel transistor. May be.

また、本実施形態において、CMOS構造におけるnチャネルトランジスタ及びpチャネルトランジスタのそれぞれに、応力を持つメタルゲート電極を用いたが、これに代えて、いずれか一方のトランジスタのみに、所定の応力を持つメタルゲート電極を用いてもよい。   In this embodiment, a metal gate electrode having stress is used for each of the n-channel transistor and the p-channel transistor in the CMOS structure. Instead, only one of the transistors has a predetermined stress. A metal gate electrode may be used.

また、本実施形態において、nチャネルトランジスタ及びpチャネルトランジスタのそれぞれにおいて、メタルゲート電極上にポリシリコン電極を形成したが、これに代えて、シリコンゲルマニウム電極を形成しても良い、或いは、メタルゲート電極上にポリシリコン電極等を形成しなくてもよい。   In this embodiment, a polysilicon electrode is formed on the metal gate electrode in each of the n-channel transistor and the p-channel transistor. Instead, a silicon germanium electrode may be formed, or a metal gate may be formed. A polysilicon electrode or the like may not be formed on the electrode.

以下、本実施形態の半導体装置の製造方法を図6(a)〜(f)を参照しながら説明する。   Hereinafter, a method for manufacturing the semiconductor device of this embodiment will be described with reference to FIGS.

まず、図6(a)に示すように、例えばシリコンからなる半導体基板101上に、例えばシリコン酸化膜からなり且つSTI形状を持つ素子分離層104によって絶縁分離されたn型ウェル領域102及びp型ウェル領域103を形成した後、半導体基板101上の全面にゲート絶縁膜となる絶縁膜109Aを形成し、その後、絶縁膜109A上に、pチャネルトランジスタ(以下、PMOSという)の引っ張り内部応力を持つメタル電極となる金属含有膜110Aを形成する。   First, as shown in FIG. 6A, an n-type well region 102 and a p-type semiconductor substrate 101 made of, for example, silicon are insulated and separated by an element isolation layer 104 made of, for example, a silicon oxide film and having an STI shape. After the well region 103 is formed, an insulating film 109A serving as a gate insulating film is formed on the entire surface of the semiconductor substrate 101, and then a tensile internal stress of a p-channel transistor (hereinafter referred to as PMOS) is formed on the insulating film 109A. A metal-containing film 110A to be a metal electrode is formed.

ここで、絶縁膜109Aは、例えば、水蒸気雰囲気中や一酸化窒素雰囲気中で半導体基板101表面を酸化してなる厚さ1.0nm程度のシリコン酸化膜と、当該シリコン酸化膜上に、Hf又はZrなどの4族元素を主成分とした酸化物、Hf若しくはZrとSiとの酸化物(シリケート)、Hf若しくはZrとAlとの酸化物(アルミネート)、又はこれらの酸化物にプラズマ窒化やアンモニア窒化によって窒素を添加した酸窒化物からなるHigh-k絶縁膜との積層構造を有する。このHigh-k絶縁膜の形成には、例えば、MOCVD(metal organic chemical vapor deposition )法、ALD法又はPVD法などを用いる。また、プラズマ窒化やアンモニア窒化等の窒化処理を行う場合には、例えば1000℃以上の熱処理を行うことが好ましい。   Here, the insulating film 109A includes, for example, a silicon oxide film having a thickness of about 1.0 nm formed by oxidizing the surface of the semiconductor substrate 101 in a water vapor atmosphere or a nitrogen monoxide atmosphere, and Hf or An oxide mainly composed of a group 4 element such as Zr, an oxide of Hf or Zr and Si (silicate), an oxide of Hf or Zr and Al (aluminate), or plasma nitridation of these oxides It has a laminated structure with a high-k insulating film made of oxynitride to which nitrogen is added by ammonia nitriding. For example, a MOCVD (metal organic chemical vapor deposition) method, an ALD method, or a PVD method is used to form the high-k insulating film. In addition, when performing nitriding such as plasma nitriding or ammonia nitriding, it is preferable to perform heat treatment at, for example, 1000 ° C. or higher.

尚、nチャネルトランジスタ(以下、NMOSという)及びPMOSのそれぞれのHigh-k絶縁膜中には、閾値電圧を制御するために、それぞれ異なったHigh-k材料を添加することが好ましい。例えば、NMOSのHigh-k絶縁膜中にはLa酸化物やMg酸化物を添加することが好ましく、PMOSHigh-k絶縁膜中にはAl酸化物やTa酸化物を添加することが好ましい。   In order to control the threshold voltage, it is preferable to add different high-k materials to the n-channel transistor (hereinafter referred to as NMOS) and PMOS high-k insulating films. For example, La oxide or Mg oxide is preferably added to the NMOS high-k insulating film, and Al oxide or Ta oxide is preferably added to the PMOS high-k insulating film.

また、本実施形態において、金属含有膜110Aとして、例えばALD法を用いてTiN膜を形成する。具体的には、Tiソースとして塩化チタン(TiCl4 )などの液体ソースを用い、当該液体ソースをArなどの不活性ガスによってバブリングすることよって気化させたTiCl4 ガスをチャンバー内にt1秒(例えば0.05秒)間供給して、絶縁膜109A上にTiを吸着させる。次に、チャンバー内に充満したTiCl4 ガスを排出するために、窒素ガスを、例えば1000mL/min(標準状態)の流量でt2秒(例えば0.3秒)間供給する。その後、窒素ソースガスであるアンモニアを、例えば1000mL/min(標準状態)でt3秒(例えば1秒)間供給することによって、絶縁膜109A上に吸着しているTiとNとを結合させる。その後、チャンバー内に充満しているアンモニアガスを取り除くために、窒素ガスを、例えば1000mL/min(標準状態)の流量でt4秒(例えば0.3秒)間供給する。以上に述べた一連のガス供給サイクルを繰り返し行うことによって、所望の膜厚に達するまでTiN膜の成膜を行う。ここで、TiN膜からなる金属含有膜110Aは、1.0GPa以上の引っ張り内部応力を持つと共に、チャネル領域への歪量が最大になるように、15nm以上で且つ25nm以下の膜厚(例えば20nm)を持つように形成される。金属含有膜110Aのその他の成膜条件は、例えば、チャンバー圧力が0.5Torr(約66.5Pa)であり、ステージヒータ温度が550℃である。また、金属含有膜110AとしてTiN膜を製造する場合、TiCl4 とアンモニアとの組み合わせに代えて、Tiソースとしてアミノ系又はイミド系等の材料を用い、窒素ソースとして、アンモニアにプラズマを印加して得られるアンモニアラジカルやイオン化された窒素などを用いることも可能である。 In the present embodiment, as the metal-containing film 110A, a TiN film is formed by using, for example, an ALD method. Specifically, a liquid source such as titanium chloride (TiCl 4 ) is used as the Ti source, and TiCl 4 gas vaporized by bubbling the liquid source with an inert gas such as Ar is t1 second (for example, 0.05 seconds), and Ti is adsorbed on the insulating film 109A. Next, in order to discharge the TiCl 4 gas filled in the chamber, nitrogen gas is supplied at a flow rate of 1000 mL / min (standard state) for t2 seconds (eg, 0.3 seconds). Thereafter, ammonia that is a nitrogen source gas is supplied at, for example, 1000 mL / min (standard state) for t3 seconds (for example, 1 second), thereby bonding Ti and N adsorbed on the insulating film 109A. Thereafter, in order to remove ammonia gas filled in the chamber, nitrogen gas is supplied at a flow rate of, for example, 1000 mL / min (standard state) for t4 seconds (for example, 0.3 seconds). By repeating the series of gas supply cycles described above, a TiN film is formed until a desired film thickness is reached. Here, the metal-containing film 110A made of a TiN film has a tensile internal stress of 1.0 GPa or more and a film thickness of 15 nm or more and 25 nm or less (for example, 20 nm) so that the strain amount to the channel region is maximized. ). Other deposition conditions for the metal-containing film 110A include, for example, a chamber pressure of 0.5 Torr (about 66.5 Pa) and a stage heater temperature of 550 ° C. Also, when a TiN film is manufactured as the metal-containing film 110A, instead of the combination of TiCl 4 and ammonia, an amino or imide material is used as the Ti source, and plasma is applied to ammonia as the nitrogen source. It is also possible to use ammonia radicals obtained or ionized nitrogen.

続いて、金属含有膜110A上の全面にレジストを塗布した後、NMOS領域のレジストをフォトリソグラフィー技術によって除去し、その後、PMOS領域を覆うレジスト(図示省略)をマスクとして、図6(b)に示すように、例えば硫酸と過酸化水素水との混合液により、NMOS領域の金属含有膜110Aを除去した後、残存するレジストを除去する。ここで、絶縁膜109Aがエッチングストッパーとして機能する。また、ウェットエッチングに代えて、ハロゲン系のエッチングガスを用いたドライエッチングにより、NMOS領域の金属含有膜110Aを除去してもよい。   Subsequently, after applying a resist on the entire surface of the metal-containing film 110A, the resist in the NMOS region is removed by photolithography, and then the resist (not shown) covering the PMOS region is used as a mask in FIG. 6B. As shown, after removing the metal-containing film 110A in the NMOS region with a mixed solution of sulfuric acid and hydrogen peroxide, for example, the remaining resist is removed. Here, the insulating film 109A functions as an etching stopper. Alternatively, the metal-containing film 110A in the NMOS region may be removed by dry etching using a halogen-based etching gas instead of wet etching.

次に、図6(c)に示すように、基板上の全面に、NMOSの圧縮内部応力を持つメタル電極となる金属含有膜116Aを形成する。本実施形態においては、金属含有膜116Aとして、例えばPVD法を用いてTiN膜を形成する。ここで、TiNターゲットをArでスパッタリングしてTiN膜を形成してもよい。或いは、TiターゲットをArでスパッタリングした後、N2 などの窒素系ガスとTiとを反応させてTiN膜を形成する反応性スパッタリング法を用いてもよい。本実施形態では、DCパワー1000W、Ar流量20mL/min(標準状態)、N2 流量18mL/min(標準状態)の条件で反応性スパッタリング法を用いることによって、厚さ15〜25nm程度のTiN膜を形成した。 Next, as shown in FIG. 6C, a metal-containing film 116A serving as a metal electrode having a compressive internal stress of NMOS is formed on the entire surface of the substrate. In the present embodiment, as the metal-containing film 116A, a TiN film is formed using, for example, a PVD method. Here, the TiN film may be formed by sputtering a TiN target with Ar. Alternatively, a reactive sputtering method in which a TiN film is formed by reacting a nitrogen-based gas such as N 2 and Ti after sputtering a Ti target with Ar may be used. In this embodiment, a TiN film having a thickness of about 15 to 25 nm is formed by using a reactive sputtering method under the conditions of DC power 1000 W, Ar flow rate 20 mL / min (standard state), and N 2 flow rate 18 mL / min (standard state). Formed.

続いて、金属含有膜116A上の全面にレジストを塗布した後、PMOS領域のレジストをフォトリソグラフィー技術によって除去し、その後、NMOS領域を覆うレジスト(図示省略)をマスクとして、例えば硫酸と過酸化水素水との混合液により、PMOS領域の金属含有膜116Aを除去した後、残存するレジストを除去する。このとき、PMOS領域の金属含有膜110Aの表面は自然酸化膜によって被覆されている。また、硫酸と過酸化水素水との混合液によるエッチングでは、ALDにより形成されたTiN膜よりも、PVDにより形成されたTiN膜の方がエッチングレートが大きいため、両TiN膜の間でエッチング選択比が生じる。従って、PMOS領域の金属含有膜110A上に形成されている金属含有膜116Aを容易に除去することができる。   Subsequently, after applying a resist on the entire surface of the metal-containing film 116A, the resist in the PMOS region is removed by photolithography, and then, for example, sulfuric acid and hydrogen peroxide are used with a resist (not shown) covering the NMOS region as a mask. After removing the metal-containing film 116A in the PMOS region with a mixed solution with water, the remaining resist is removed. At this time, the surface of the metal-containing film 110A in the PMOS region is covered with a natural oxide film. In etching with a mixed solution of sulfuric acid and hydrogen peroxide solution, the TiN film formed by PVD has a higher etching rate than the TiN film formed by ALD. A ratio arises. Therefore, the metal-containing film 116A formed on the metal-containing film 110A in the PMOS region can be easily removed.

次に、残存する金属含有膜110A及び金属含有膜116A上に形成された自然酸化膜や、レジストの塗布及び除去により変質したTiN層を除去するために、例えばフッ酸を含んだ水溶液によって、金属含有膜110A及び金属含有膜116Aのそれぞれの表面を洗浄した後、金属含有膜110A及び金属含有膜116Aのそれぞれの上に厚さ100nm程度のポリシリコン膜を形成する。ここで、TiN膜とポリシリコン膜との界面に酸化層が存在すると、界面抵抗が上昇するため、ポリシリコン膜の形成前に、金属含有膜110A及び金属含有膜116Aのそれぞれの表面に対して、アンモニア及び過酸化水素水による洗浄を追加で行った方がよい。また、ポリシリコン膜の形成方法としては、例えば、シラン(SiH4 )やジシラン(Si2 6 )を用いて500℃〜650℃の温度でシリコン膜を形成した後に当該シリコン膜に熱処理を加えてポリシリコン化する方法と、600℃〜630℃でシランを流してポリシリコン膜を形成する方法とがある。また、ポリシリコン膜に代えて、シランにゲルマン(GeH4 )を加えてシリコンゲルマニウム膜を形成しても良い。 Next, in order to remove the remaining metal-containing film 110A and the natural oxide film formed on the metal-containing film 116A and the TiN layer altered by the application and removal of the resist, the metal is removed by using an aqueous solution containing hydrofluoric acid, for example. After cleaning the surfaces of the containing film 110A and the metal-containing film 116A, a polysilicon film having a thickness of about 100 nm is formed on each of the metal-containing film 110A and the metal-containing film 116A. Here, if an oxide layer is present at the interface between the TiN film and the polysilicon film, the interface resistance increases. Therefore, before the formation of the polysilicon film, the respective surfaces of the metal-containing film 110A and the metal-containing film 116A are exposed. It is better to perform additional cleaning with ammonia and hydrogen peroxide. As a method for forming a polysilicon film, for example, a silicon film is formed at a temperature of 500 ° C. to 650 ° C. using silane (SiH 4 ) or disilane (Si 2 H 6 ), and then the silicon film is subjected to heat treatment. There are a method for forming a polysilicon film and a method for forming a polysilicon film by flowing silane at 600 ° C. to 630 ° C. Further, instead of the polysilicon film, germanium (GeH 4 ) may be added to silane to form a silicon germanium film.

次に、フォトリソグラフィー技術とエッチング技術とを用いて、ゲート電極形成領域を覆うレジストパターン(図示省略)を形成した後、当該レジストパターンをマスクとして、例えばハロゲン系のエッチングガスを用いて、前述のポリシリコン膜、金属含有膜110A及び金属含有膜116Aに対して異方性エッチングを行う。これにより、図6(d)に示すように、PMOSのゲート電極として、メタル電極110とポリシリコン電極111との積層構造がn型ウェル領域102上にゲート絶縁膜109を介して形成されると共に、NMOSのゲート電極として、メタル電極116とポリシリコン電極117との積層構造がp型ウェル領域103上にゲート絶縁膜115を介して形成される。すなわち、NMOSとPMOSとで異なる内部応力を持ったメタル電極を形成でき、それにより、NMOSのチャネル領域には引っ張り応力を印加することができると共に、PMOSのチャネル領域には圧縮応力を印加することができる。   Next, a resist pattern (not shown) that covers the gate electrode formation region is formed using a photolithography technique and an etching technique, and then the above-described resist pattern is used as a mask, for example, with a halogen-based etching gas. Anisotropic etching is performed on the polysilicon film, the metal-containing film 110A, and the metal-containing film 116A. As a result, as shown in FIG. 6D, a stacked structure of the metal electrode 110 and the polysilicon electrode 111 is formed on the n-type well region 102 as a PMOS gate electrode via the gate insulating film 109. As a gate electrode of NMOS, a laminated structure of a metal electrode 116 and a polysilicon electrode 117 is formed on the p-type well region 103 with a gate insulating film 115 interposed therebetween. That is, a metal electrode having different internal stresses can be formed between the NMOS and the PMOS, so that a tensile stress can be applied to the NMOS channel region and a compressive stress can be applied to the PMOS channel region. Can do.

前述のゲート電極エッチングにおいては、金属含有膜110A及び金属含有膜116Aの膜厚が同じではないため、金属含有膜110A及び金属含有膜116Aのそれぞれと絶縁膜109Aとの間にエッチング選択比を確保できるエッチング条件を用いる。これにより、ゲート電極エッチングを絶縁膜109Aでストップさせることができる。尚、絶縁膜109Aに対して窒化処理及びその後の1000℃以上での熱処理が行われていると、金属含有膜110A及び金属含有膜116Aのそれぞれと絶縁膜109Aとの間にエッチング選択比を確保しやすい。前述のゲート電極エッチングに続いて、例えばフッ酸系の洗浄液を用いて、ゲート電極エッチング時に残った絶縁膜109A(各ゲート電極外側の絶縁膜109A)を除去する。   In the above-described gate electrode etching, the metal-containing film 110A and the metal-containing film 116A are not the same film thickness, so that an etching selectivity is ensured between the metal-containing film 110A and the metal-containing film 116A and the insulating film 109A. Etching conditions that can be used are used. Thereby, the gate electrode etching can be stopped at the insulating film 109A. Note that if the insulating film 109A is subjected to nitriding treatment and subsequent heat treatment at 1000 ° C. or higher, an etching selectivity is ensured between each of the metal-containing film 110A and the metal-containing film 116A and the insulating film 109A. It's easy to do. Following the above-described gate electrode etching, the insulating film 109A (insulating film 109A outside each gate electrode) remaining during the gate electrode etching is removed using, for example, a hydrofluoric acid-based cleaning solution.

尚、本実施形態において、PMOS領域の金属含有膜110A上に形成されている金属含有膜116Aを除去した。しかし、当該金属含有膜116AとPMOS領域のチャネル領域とは、絶縁膜109Aの厚さとPMOS領域の金属含有膜110Aの厚さとの合計厚さだけ離隔しているため、当該金属含有膜116Aからチャネル領域に加えられる歪量は小さい。従って、PMOS領域の金属含有膜110A上に形成されている金属含有膜116Aについては、必ずしも除去しなくても良い。   In this embodiment, the metal-containing film 116A formed on the metal-containing film 110A in the PMOS region is removed. However, since the metal-containing film 116A and the channel region of the PMOS region are separated from each other by the total thickness of the thickness of the insulating film 109A and the thickness of the metal-containing film 110A of the PMOS region, the channel is separated from the metal-containing film 116A. The amount of distortion applied to the region is small. Therefore, the metal-containing film 116A formed on the metal-containing film 110A in the PMOS region is not necessarily removed.

また、デザインルールが45nmよりも微細なゲート電極を形成するためのリソグラフィーには、例えば液浸リソグラフィー技術を使用するが、例えば300mmの大口径ウェハでは、ウェハの中心部から周縁部まで、下地活性領域とゲート電極との重ね合わせ精度を高精度に保つことは難しく、メタルゲート電極が引き起こすウェハの反りを無視できない。しかし、本実施形態では、nチャネルメタルゲート電極として圧縮内部応力を持つ膜を用い、pチャネルメタルゲート電極として引っ張り内部応力を持つ膜を用いるため、各メタルゲート電極に起因するウェハの反りが相殺される結果、下地活性領域とゲート電極との重ね合わせ精度を向上させることができる。   In addition, for lithography for forming a gate electrode whose design rule is finer than 45 nm, for example, an immersion lithography technique is used. For example, in a large-diameter wafer of 300 mm, the substrate active from the center part to the peripheral part of the wafer is used. It is difficult to keep the overlay accuracy of the region and the gate electrode high, and the warpage of the wafer caused by the metal gate electrode cannot be ignored. However, in this embodiment, since a film having a compressive internal stress is used as the n-channel metal gate electrode and a film having a tensile internal stress is used as the p-channel metal gate electrode, the warpage of the wafer caused by each metal gate electrode is offset. As a result, the overlay accuracy between the base active region and the gate electrode can be improved.

次に、例えば600℃以下の成膜温度で、基板上の全面にシリコン窒化膜(図示省略)を形成する。シリコン窒化膜の形成方法としては、例えばALD法が好ましい。具体的には、例えば、ジクロロシラン(SiH2 Cl2 )とアンモニアとを交互に供給することによって、厚さ5nm〜10nm程度のシリコン窒化膜を形成する。続いて、例えばハロゲン系のガスを用いてシリコン窒化膜に対して異方性のドライエッチングを行うことによって、各トランジスタのゲート電極側壁上にのみシリコン窒化膜をオフセットスペーサとして残す。 Next, a silicon nitride film (not shown) is formed on the entire surface of the substrate at a film formation temperature of 600 ° C. or less, for example. As a method for forming the silicon nitride film, for example, an ALD method is preferable. Specifically, for example, a silicon nitride film having a thickness of about 5 nm to 10 nm is formed by alternately supplying dichlorosilane (SiH 2 Cl 2 ) and ammonia. Subsequently, for example, by performing anisotropic dry etching on the silicon nitride film using a halogen-based gas, the silicon nitride film is left as an offset spacer only on the gate electrode sidewall of each transistor.

次に、NMOS領域をレジスト(図示省略)によって保護しながら、p型ウェル領域103にn型不純物として例えばリン、砒素又はアンチモンなどをイオン注入する。次に、NMOS領域を覆うレジストを除去した後、PMOS領域をレジスト(図示省略)によって保護しながら、n型ウェル領域102にp型不純物として例えばボロン又はインジウム等をイオン注入する。その後、PMOS領域を覆うレジストを除去した後、例えば1000℃以上の熱処理によって注入イオン種を活性化することにより、図6(e)に示すように、n型ウェル領域102の表面部にp型エクステンション領域108を形成すると共にp型ウェル領域103の表面部にn型エクステンション領域114を形成する。   Next, for example, phosphorus, arsenic, antimony, or the like is ion-implanted as an n-type impurity into the p-type well region 103 while protecting the NMOS region with a resist (not shown). Next, after removing the resist covering the NMOS region, boron or indium or the like is ion-implanted as a p-type impurity into the n-type well region 102 while protecting the PMOS region with a resist (not shown). Then, after removing the resist covering the PMOS region, the implanted ion species are activated by, for example, heat treatment at 1000 ° C. or more, thereby forming a p-type on the surface portion of the n-type well region 102 as shown in FIG. An extension region 108 is formed, and an n-type extension region 114 is formed on the surface portion of the p-type well region 103.

次に、基板上の全面に例えば厚さ5nm〜10nm程度のシリコン酸化膜及び厚さ10nm〜30nm程度のシリコン窒化膜を連続して積層した後、当該各膜に対して異方性のドライエッチングを行う。これにより、図6(f)に示すように、PMOSのゲート電極側壁上に絶縁性サイドウォールスペーサ112が形成されると共に、NMOSのゲート電極側壁上に絶縁性サイドウォールスペーサ118が形成される。尚、絶縁性サイドウォールスペーサ112及び118の構造として、シリコン酸化膜及びシリコン窒化膜の2層構造を用いたが、これに代えて、シリコン酸化膜の1層構造又はシリコン窒化膜の1層構造を用いてもよい。   Next, for example, a silicon oxide film having a thickness of about 5 nm to 10 nm and a silicon nitride film having a thickness of about 10 nm to 30 nm are successively stacked on the entire surface of the substrate, and then anisotropic dry etching is performed on each film. I do. As a result, as shown in FIG. 6F, an insulating sidewall spacer 112 is formed on the side wall of the PMOS gate electrode, and an insulating side wall spacer 118 is formed on the side wall of the NMOS gate electrode. In addition, as the structure of the insulating sidewall spacers 112 and 118, a two-layer structure of a silicon oxide film and a silicon nitride film is used. Instead, a one-layer structure of a silicon oxide film or a one-layer structure of a silicon nitride film is used. May be used.

次に、NMOS領域をレジスト(図示省略)によって保護しながら、p型ウェル領域103にn型不純物として例えばリン、砒素又はアンチモンなどをイオン注入する。次に、NMOS領域を覆うレジストを除去した後、PMOS領域をレジスト(図示省略)によって保護しながら、n型ウェル領域102にp型不純物として例えばボロン又はインジウム等をイオン注入する。その後、PMOS領域を覆うレジストを除去した後、例えば900℃〜1050℃程度の熱処理によって注入イオン種を活性化することにより、図6(f)に示すように、n型ウェル領域102にp型ソース・ドレイン領域107を形成すると共に、p型ウェル領域103にn型ソース・ドレイン領域113を形成する。   Next, for example, phosphorus, arsenic, antimony, or the like is ion-implanted as an n-type impurity into the p-type well region 103 while protecting the NMOS region with a resist (not shown). Next, after removing the resist covering the NMOS region, boron or indium or the like is ion-implanted as a p-type impurity into the n-type well region 102 while protecting the PMOS region with a resist (not shown). Thereafter, after removing the resist covering the PMOS region, the implanted ion species are activated by, for example, heat treatment at about 900 ° C. to 1050 ° C., so that the p-type is formed in the n-type well region 102 as shown in FIG. A source / drain region 107 is formed, and an n-type source / drain region 113 is formed in the p-type well region 103.

続いて、図示は省略しているが、各ソース・ドレイン領域107及び113の上部並びに各ポリシリコン電極111及び117の上部を例えばNiやPtを用いてシリサイド化した後、基板上の全面に、コンタクトホールエッチングストッパーとなる例えばシリコン窒化膜と層間絶縁膜となる例えばシリコン酸化膜とを順次形成し、その後、平坦化処理などの工程を行うことにより、図1に示す本実施形態の半導体装置を完成させる。   Subsequently, although not shown, after siliciding the upper portions of the source / drain regions 107 and 113 and the upper portions of the polysilicon electrodes 111 and 117 using, for example, Ni or Pt, For example, a silicon nitride film serving as a contact hole etching stopper and, for example, a silicon oxide film serving as an interlayer insulating film are sequentially formed, and then a planarization process or the like is performed, whereby the semiconductor device of this embodiment shown in FIG. Finalize.

以上に説明した本実施形態の製造方法によると、ゲートパターニングのためのフォトリソグラフィーを行う際に、半導体基板101上に、引っ張り内部応力を持つ金属含有膜110Aと圧縮内部応力を持つ金属含有膜116Aとが形成されているため、半導体基板101に反りが生じることを抑制することができるので、フォトリソグラフィーを高精度で実施することができる。   According to the manufacturing method of the present embodiment described above, the metal-containing film 110A having a tensile internal stress and the metal-containing film 116A having a compressive internal stress are formed on the semiconductor substrate 101 when performing photolithography for gate patterning. Therefore, warping of the semiconductor substrate 101 can be suppressed, so that photolithography can be performed with high accuracy.

尚、本実施形態の製造方法において、PMOSのメタル電極となる金属含有膜110Aを形成した後、NMOSのメタル電極となる金属含有膜116Aを形成したが、これに代えて、金属含有膜116Aを形成した後、金属含有膜110Aを形成してもよい。   In the manufacturing method of the present embodiment, after the metal-containing film 110A that becomes the PMOS metal electrode is formed, the metal-containing film 116A that becomes the NMOS metal electrode is formed, but instead, the metal-containing film 116A is formed. After the formation, the metal-containing film 110A may be formed.

また、本実施形態の製造方法において、PMOSの圧縮内部応力を持つメタル電極となる金属含有膜110Aを形成する際に、ALD法を用いたが、これに代えて、例えば、熱CVD法、プラズマCVD法、又はMOCVD法等を用いてもよい。   In the manufacturing method of the present embodiment, the ALD method is used when forming the metal-containing film 110A to be a metal electrode having a PMOS compressive internal stress. Instead, for example, a thermal CVD method, a plasma method is used. A CVD method, an MOCVD method, or the like may be used.

また、本実施形態の製造方法において、NMOSの圧縮内部応力を持つメタル電極となる金属含有膜116Aを形成する際に、反応性スパッタリング法を用いたが、これに限らず、例えば、2極スパッタリング法、直流マグネトロンスパッタリング法、高周波マグネトロンスパッタリング法、イオン化スパッタリング法、又はイオンビームスパッタリング法等を用いてもよい。   Further, in the manufacturing method of the present embodiment, the reactive sputtering method is used when forming the metal-containing film 116A to be a metal electrode having an NMOS compressive internal stress. Alternatively, a direct current magnetron sputtering method, a high frequency magnetron sputtering method, an ionization sputtering method, an ion beam sputtering method, or the like may be used.

(第2の実施形態)
以下、本発明の第2の実施形態に係る半導体装置の製造方法について、図面を参照しながら説明する。
(Second Embodiment)
A method for manufacturing a semiconductor device according to the second embodiment of the present invention will be described below with reference to the drawings.

図7(a)〜(e)及び図8(a)〜(d)は、本発明の第2の実施形態に係る半導体装置の製造方法の各工程を示す断面図である。   FIGS. 7A to 7E and FIGS. 8A to 8D are cross-sectional views showing respective steps of the method for manufacturing a semiconductor device according to the second embodiment of the present invention.

まず、図7(a)に示すように、例えばシリコンからなる半導体基板201上に、例えばシリコン酸化膜からなり且つSTI形状を持つ素子分離層204によって絶縁分離されたn型ウェル領域202及びp型ウェル領域203を形成する。   First, as shown in FIG. 7 (a), an n-type well region 202 and a p-type semiconductor substrate 201 made of, for example, silicon and insulated by an element isolation layer 204 made of, for example, a silicon oxide film and having an STI shape. A well region 203 is formed.

次に、半導体基板201上に、例えば熱酸化法により膜厚4nm程度のシリコン酸化膜205Aを形成した後、シリコン酸化膜205A上に、例えばCVD法により膜厚100nm〜200nm程度のポリシリコン膜206Aを堆積し、その後、ポリシリコン膜206A上に、例えば膜厚30nm〜100nm程度のシリコン窒化膜207Aを堆積する。   Next, after a silicon oxide film 205A having a thickness of about 4 nm is formed on the semiconductor substrate 201 by, for example, a thermal oxidation method, a polysilicon film 206A having a thickness of about 100 nm to 200 nm is formed on the silicon oxide film 205A by, for example, a CVD method. Then, a silicon nitride film 207A having a film thickness of, for example, about 30 nm to 100 nm is deposited on the polysilicon film 206A.

次に、ゲート電極形成領域を覆うレジストパターン(図示省略)をマスクとして、シリコン窒化膜207A、ポリシリコン膜206A及びシリコン酸化膜205Aに対して順次エッチング加工を行うことにより、図7(b)に示すように、n型ウェル領域202及びp型ウェル領域203のそれぞれの上に、シリコン酸化膜からなるダミーゲート絶縁膜205、ポリシリコンからなるダミーゲート電極206、及びシリコン窒化膜からなるハードマスク層(保護膜)207が順次積層されてなるダミーゲート構造を形成する。続いて、例えばハードマスク層207をマスクとして、p型ウェル領域203にn型不純物として例えばリンを浅くイオン注入することによって、n型エクステンション領域208を形成する。また、例えばハードマスク層207をマスクとして、n型ウェル領域202にP型不純物として例えばホウ素を浅くイオン注入することによって、p型エクステンション領域209を形成する。   Next, the silicon nitride film 207A, the polysilicon film 206A, and the silicon oxide film 205A are sequentially etched using a resist pattern (not shown) covering the gate electrode formation region as a mask, so that FIG. As shown, a dummy gate insulating film 205 made of a silicon oxide film, a dummy gate electrode 206 made of polysilicon, and a hard mask layer made of a silicon nitride film on each of the n-type well region 202 and the p-type well region 203. A dummy gate structure in which (protective film) 207 is sequentially stacked is formed. Subsequently, for example, phosphorus is shallowly implanted as an n-type impurity into the p-type well region 203 using, for example, the hard mask layer 207 as a mask, thereby forming an n-type extension region 208. Further, for example, boron is shallowly ion-implanted as a P-type impurity into the n-type well region 202 using the hard mask layer 207 as a mask, thereby forming the p-type extension region 209.

次に、基板上の全面に、例えばCVD法によりシリコン酸化膜を堆積した後、当該シリコン酸化膜の全面に対して異方性ドライエッチングを行うことによって、図7(c)に示すように、NMOS領域及びPMOS領域のそれぞれのダミーゲート構造の側壁上に絶縁性サイドウォールスペーサ210を形成する。続いて、例えば絶縁性サイドウォールスペーサ210及びハードマスク層207をマスクとして、p型ウェル領域203にn型不純物を深くイオン注入することによって、n型ソース・ドレイン領域211を形成する。また、例えば絶縁性サイドウォールスペーサ210及びハードマスク層207をマスクとして、n型ウェル領域202にp型不純物を深くイオン注入することによって、p型ソース・ドレイン領域212を形成する。   Next, after a silicon oxide film is deposited on the entire surface of the substrate by, for example, CVD, anisotropic dry etching is performed on the entire surface of the silicon oxide film, as shown in FIG. Insulating sidewall spacers 210 are formed on the sidewalls of the dummy gate structures in the NMOS region and the PMOS region, respectively. Subsequently, n-type source / drain regions 211 are formed by deeply implanting n-type impurities into the p-type well region 203 using, for example, the insulating sidewall spacers 210 and the hard mask layer 207 as a mask. For example, the p-type source / drain region 212 is formed by deeply implanting p-type impurities into the n-type well region 202 using the insulating sidewall spacer 210 and the hard mask layer 207 as a mask.

次に、図示は省略しているが、n型ソース・ドレイン領域211及びp型ソース・ドレイン領域212のそれぞれの表面全面に、例えばスパッタリング法によりチタン、コバルト、ニッケル又は白金のいずれかを含んだ高融点金属膜を堆積させた後、当該高融点金属膜と各ソース・ドレイン領域(シリコン領域)とが接触しているところでシリサイド化反応を生じさせる。これにより、n型ソース・ドレイン領域211及びp型ソース・ドレイン領域212のそれぞれの表面部に高融点金属シリサイド膜が形成される。その後、未反応の高融点金属膜を除去する。   Next, although not shown, the entire surface of each of the n-type source / drain region 211 and the p-type source / drain region 212 contains any of titanium, cobalt, nickel, or platinum by sputtering, for example. After the refractory metal film is deposited, a silicidation reaction is caused when the refractory metal film is in contact with each source / drain region (silicon region). As a result, a refractory metal silicide film is formed on the respective surface portions of the n-type source / drain region 211 and the p-type source / drain region 212. Thereafter, the unreacted refractory metal film is removed.

次に、図7(d)に示すように、ハードマスク層207上を含む基板上の全面を被覆するように例えばCVD法等によりシリコン酸化膜からなる層間絶縁膜213を堆積した後、ハードマスク層207の上面が露出するまで層間絶縁膜213に対してCMP(chemical mechanical polishing )を行う。   Next, as shown in FIG. 7D, an interlayer insulating film 213 made of a silicon oxide film is deposited by, for example, a CVD method so as to cover the entire surface of the substrate including the hard mask layer 207, and then the hard mask is formed. CMP (chemical mechanical polishing) is performed on the interlayer insulating film 213 until the upper surface of the layer 207 is exposed.

次に、層間絶縁膜213つまりシリコン酸化膜がエッチング耐性を有すると共にハードマスク層207が容易にエッチングされるエッチング処理、例えば熱りん酸によるエッチング処理によって、図7(e)に示すように、ハードマスク層207を除去する。続いて、ダミーゲート電極206とダミーゲート絶縁膜205との間に選択比を持つ洗浄液、例えば水酸化カリウムなどのアルカリ性水溶液によってダミーゲート電極206を除去する。続いて、前述のエッチングにより露出したダミーゲート絶縁膜205の表面に対して、例えばアンモニア及びフッ化水素を含むエッチングガスによる処理を行い、それにより生成された生成物を分解及び蒸発させることによってダミーゲート絶縁膜205を除去する。以上のようにして、NMOS領域にゲート電極用溝214を形成すると共にPMOS領域にゲート電極用溝215を形成する。   Next, as shown in FIG. 7E, the interlayer insulating film 213, that is, the silicon oxide film has an etching resistance and the hard mask layer 207 is easily etched, for example, an etching process using hot phosphoric acid. The mask layer 207 is removed. Subsequently, the dummy gate electrode 206 is removed with a cleaning liquid having a selection ratio between the dummy gate electrode 206 and the dummy gate insulating film 205, for example, an alkaline aqueous solution such as potassium hydroxide. Subsequently, the surface of the dummy gate insulating film 205 exposed by the above-described etching is treated with, for example, an etching gas containing ammonia and hydrogen fluoride, and the product generated thereby is decomposed and evaporated to cause the dummy. The gate insulating film 205 is removed. As described above, the gate electrode trench 214 is formed in the NMOS region and the gate electrode trench 215 is formed in the PMOS region.

次に、例えば熱酸化法により、ゲート電極用溝214及び215のそれぞれの内壁面及び底面並びに層間絶縁膜213の上面をシリコン酸化膜によって被覆した後、当該シリコン酸化膜上に、Hf又はZrなどの4族元素を主成分とした酸化物、Hf若しくはZrとSiとの酸化物(シリケート)、Hf若しくはZrとAlとの酸化物(アルミネート)、又はこれらの酸化物にプラズマ窒化やアンモニア窒化によって窒素を添加した酸窒化物からなるHigh-k絶縁膜を形成する。これにより、シリコン酸化膜とHigh-k絶縁膜とからなるゲート絶縁膜216が形成される。ここで、High-k絶縁膜の形成には、例えば、MOCVD法、ALD法又はPVD法などを用いることができる。   Next, the inner wall surfaces and bottom surfaces of the gate electrode trenches 214 and 215 and the upper surface of the interlayer insulating film 213 are covered with a silicon oxide film by, for example, thermal oxidation, and then Hf or Zr or the like is formed on the silicon oxide film. Oxides mainly composed of Group 4 elements, oxides of Hf or Zr and Si (silicates), oxides of Hf or Zr and Al (aluminates), or plasma nitriding or ammonia nitriding of these oxides A high-k insulating film made of oxynitride to which nitrogen is added is formed. As a result, a gate insulating film 216 composed of a silicon oxide film and a high-k insulating film is formed. Here, for example, the MOCVD method, the ALD method, or the PVD method can be used for forming the high-k insulating film.

次に、図8(a)に示すように、ゲート絶縁膜216上の全面に、例えばスパッタリング法により、圧縮内部応力を持つ厚さ10〜50nm程度(好ましくは15〜25nm程度)のnチャネルメタル電極膜217を形成する。nチャネルメタル電極膜217は、例えばAl、Ta、Tiなどの金属材料からなる金属膜、それらの金属材料を含む窒化膜、シリサイド膜、炭化窒素膜若しくは炭化膜、又はそれらの金属材料のうちの2種類以上の金属を含む合金膜からなる。   Next, as shown in FIG. 8A, an n-channel metal having a thickness of about 10 to 50 nm (preferably about 15 to 25 nm) having a compressive internal stress is formed on the entire surface of the gate insulating film 216 by, eg, sputtering. An electrode film 217 is formed. The n-channel metal electrode film 217 is, for example, a metal film made of a metal material such as Al, Ta, or Ti, a nitride film containing such a metal material, a silicide film, a nitrogen carbide film, or a carbide film, or a metal material thereof. It consists of an alloy film containing two or more kinds of metals.

次に、図8(b)に示すように、PMOS領域に形成されたnチャネルメタル電極膜217を除去する。具体的には、例えばスピンコータなどのレジスト塗布装置により基板上の全面にレジストを塗布した後、当該レジストに対して選択的に露光を行い、その後、例えばスピンデベロッパなどのレジスト現像装置により現像を行うことにより、NMOS領域を覆うレジストパターン(図示省略)を形成する。次に、当該レジストパターンをマスクとして、例えばウェットエッチング法などのエッチング処理により、PMOS領域に形成されたnチャネルメタル電極膜217を選択的に除去する。このとき、NMOS領域に形成されているnチャネルメタル電極膜217は、前述のレジストパターンにより被覆されているため、除去されることはない。続いて、例えばプラズマアッシングなどにより、前述のレジストパターンを除去する。   Next, as shown in FIG. 8B, the n-channel metal electrode film 217 formed in the PMOS region is removed. Specifically, for example, a resist is applied to the entire surface of the substrate by a resist coating device such as a spin coater, and then the resist is selectively exposed, and then developed by a resist developing device such as a spin developer. Thus, a resist pattern (not shown) covering the NMOS region is formed. Next, the n-channel metal electrode film 217 formed in the PMOS region is selectively removed by an etching process such as a wet etching method using the resist pattern as a mask. At this time, the n-channel metal electrode film 217 formed in the NMOS region is not removed because it is covered with the aforementioned resist pattern. Subsequently, the aforementioned resist pattern is removed by, for example, plasma ashing.

次に、図8(c)に示すように、nチャネルメタル電極膜217の上を含むゲート絶縁膜216上の全面に、例えばALD法又はCVD法により、引っ張り内部応力を持つ厚さ10〜50nm程度(好ましくは15〜25nm程度)のpチャネルメタル電極膜218を形成する。pチャネルメタル電極膜218は、例えばTi、Ta、Ru、Pt、Mo、W、Ni、Coなどの金属材料からなる金属膜、それらの金属材料を含む窒化膜、シリサイド膜、カーバイド膜(炭化膜)、又はそれらの金属材料のうちの2種類以上の金属を含む合金膜からなる。続いて、pチャネルメタル電極膜218上に、例えばCVD法又はALD法などにより導電体材料膜219をゲート電極用溝214及び215が埋まるように成膜する。導電体材料膜219は例えば金属、ポリシリコン又はシリコンゲルマニウムなどからなり、好ましくは、タングステンからなる。導電体材料膜219の成膜条件は、プロセスガスが例えばWF6 、H2 、SiH4 等であり、基板温度が350℃〜450℃であり、圧力が1Torr(約133Pa)〜100Torr(約13300Pa)である。 Next, as shown in FIG. 8C, a thickness of 10 to 50 nm having a tensile internal stress is formed on the entire surface of the gate insulating film 216 including on the n-channel metal electrode film 217 by, for example, the ALD method or the CVD method. A p-channel metal electrode film 218 having a thickness (preferably about 15 to 25 nm) is formed. The p-channel metal electrode film 218 includes, for example, a metal film made of a metal material such as Ti, Ta, Ru, Pt, Mo, W, Ni, and Co, a nitride film containing the metal material, a silicide film, and a carbide film (carbonized film). ), Or an alloy film containing two or more of these metal materials. Subsequently, a conductor material film 219 is formed on the p-channel metal electrode film 218 by, for example, CVD or ALD so that the gate electrode trenches 214 and 215 are filled. The conductor material film 219 is made of, for example, metal, polysilicon, or silicon germanium, and is preferably made of tungsten. The conductive material film 219 is formed by, for example, a process gas such as WF 6 , H 2 , SiH 4 , a substrate temperature of 350 ° C. to 450 ° C., and a pressure of 1 Torr (about 133 Pa) to 100 Torr (about 13300 Pa). ).

続いて、図8(d)に示すように、例えばCMPなどの研磨により、ゲート電極用溝214及び215の外部に形成されているゲート絶縁膜216、nチャネルメタル電極膜217、pチャネルメタル電極膜218及び導電体材料膜219を除去することにより、トランジスタ構造を完成させる。   Subsequently, as shown in FIG. 8D, the gate insulating film 216, the n-channel metal electrode film 217, and the p-channel metal electrode formed outside the gate electrode trenches 214 and 215 by polishing such as CMP, for example. The transistor structure is completed by removing the film 218 and the conductor material film 219.

以上に説明した本実施形態の製造方法により得られる半導体装置によると、第1の実施形態の半導体装置と同様の効果に加えて、次のような効果を得ることができる。すなわち、本実施形態の製造方法によると、第1の実施形態のように、各メタル電極膜217及び218がチャネル領域の端部で切断されていないため、言い換えると、各メタル電極膜217及び218がゲート電極用溝214及び215の底面から内壁面まで連続的に形成されているため、各メタル電極膜217及び218からチャネル領域に、より大きな歪を効果的に印加することが可能となる。   According to the semiconductor device obtained by the manufacturing method of the present embodiment described above, in addition to the same effects as those of the semiconductor device of the first embodiment, the following effects can be obtained. That is, according to the manufacturing method of the present embodiment, since each metal electrode film 217 and 218 is not cut at the end of the channel region as in the first embodiment, in other words, each metal electrode film 217 and 218. Since the gate electrode trenches 214 and 215 are continuously formed from the bottom surface to the inner wall surface, it is possible to effectively apply a larger strain from the metal electrode films 217 and 218 to the channel region.

尚、本実施形態において、NMOS領域のnチャネルメタル電極膜217上に形成されているpチャネルメタル電極膜218を除去していないが、当該pチャネルメタル電極膜218とNMOS領域のチャネル領域との間には、ゲート絶縁膜216及びnチャネルメタル電極膜217が介在しているため、当該pチャネルメタル電極膜218とNMOS領域のチャネル領域との距離が離れているので、当該pチャネルメタル電極膜218の引っ張り応力内部がNMOSに悪影響を及ぼすことはほとんどない。しかし、NMOS領域のnチャネルメタル電極膜217上に形成されているpチャネルメタル電極膜218を除去してもよいことは言うまでもない。   In this embodiment, the p-channel metal electrode film 218 formed on the n-channel metal electrode film 217 in the NMOS region is not removed, but the p-channel metal electrode film 218 and the channel region in the NMOS region are not removed. Since the gate insulating film 216 and the n-channel metal electrode film 217 are interposed between the p-channel metal electrode film 218 and the channel region of the NMOS region, the p-channel metal electrode film The inside of the tensile stress of 218 hardly affects the NMOS. However, it goes without saying that the p-channel metal electrode film 218 formed on the n-channel metal electrode film 217 in the NMOS region may be removed.

また、本実施形態において、NMOSのメタル電極となるnチャネルメタル電極膜217を形成した後、PMOSのメタル電極となるpチャネルメタル電極膜218を形成したが、これに代えて、pチャネルメタル電極膜218を形成した後、nチャネルメタル電極膜217を形成してもよい。   In this embodiment, the n-channel metal electrode film 217 serving as the NMOS metal electrode is formed, and then the p-channel metal electrode film 218 serving as the PMOS metal electrode is formed. Instead, a p-channel metal electrode is formed. After forming the film 218, an n-channel metal electrode film 217 may be formed.

また、本実施形態において、NMOSの圧縮内部応力を持つメタル電極となるnチャネルメタル電極膜217を形成する際に、スパッタリング法を用いたが、これに限らず、例えば、2極スパッタリング法、直流マグネトロンスパッタリング法、高周波マグネトロンスパッタリング法、イオン化スパッタリング法、又はイオンビームスパッタリング法等を用いてもよい。   In the present embodiment, the sputtering method is used when forming the n-channel metal electrode film 217 serving as a metal electrode having the compressive internal stress of NMOS. However, the present invention is not limited to this. A magnetron sputtering method, a high frequency magnetron sputtering method, an ionization sputtering method, an ion beam sputtering method, or the like may be used.

また、本実施形態において、PMOSの圧縮内部応力を持つメタル電極となるpチャネルメタル電極膜218を形成する際に、ALD法又はCVD法を用いたが、これに限らず、例えば、熱CVD法、プラズマCVD法、又はMOCVD法等を用いてもよい。   In the present embodiment, the ALD method or the CVD method is used when forming the p-channel metal electrode film 218 serving as the metal electrode having the compressive internal stress of the PMOS. However, the present invention is not limited to this. Alternatively, a plasma CVD method, an MOCVD method, or the like may be used.

また、本実施形態において、nチャネルメタル電極膜217及びpチャネルメタル電極膜218として、前述の材料膜に限らず、第1の実施形態の各メタル電極膜と同様の材料膜を用いることができる。   In the present embodiment, the n-channel metal electrode film 217 and the p-channel metal electrode film 218 are not limited to the material films described above, and the same material films as the metal electrode films in the first embodiment can be used. .

また、本実施形態において、NMOSのn型ソース・ドレイン領域211に炭素が導入されていてもよいし、NMOSのゲート電極構造の上を含む半導体基板201の上に、引っ張り応力を持つライナー膜(例えばSiN膜)が形成されていてもよい。   Further, in the present embodiment, carbon may be introduced into the n-type source / drain region 211 of the NMOS, or a liner film having a tensile stress (on the semiconductor substrate 201 including the gate electrode structure of the NMOS) ( For example, a SiN film) may be formed.

また、本実施形態において、PMOSのn型ソース・ドレイン領域212にゲルマニウムが導入されていてもよいし、PMOSのゲート電極構造の上を含む半導体基板201の上に、圧縮応力を持つライナー膜(例えばSiN膜)が形成されていてもよい。   In the present embodiment, germanium may be introduced into the n-type source / drain region 212 of the PMOS, or a liner film having compressive stress (on the semiconductor substrate 201 including the top of the gate electrode structure of the PMOS). For example, a SiN film) may be formed.

本発明に係る半導体装置及びその製造方法は、半導体集積回路を用いる種々の電子機器に好ましく用いられる。   The semiconductor device and the manufacturing method thereof according to the present invention are preferably used for various electronic devices using a semiconductor integrated circuit.

101 半導体基板
102 n型ウェル領域
103 p型ウェル領域
104 素子分離層
105 PMOS(pチャネルトランジスタ)
106 NMOS(nチャネルトランジスタ)
107 p型ソース・ドレイン領域
108 p型エクステンション領域
109 ゲート絶縁膜
109A 絶縁膜
110 メタル電極
110A 金属含有膜
111 ポリシリコン電極
112 絶縁性サイドウォールスペーサ
113 n型ソース・ドレイン領域
114 n型エクステンション領域
115 ゲート絶縁膜
116 メタル電極
116A 金属含有膜
117 ポリシリコン電極
118 絶縁性サイドウォールスペーサ
201 半導体基板
202 n型ウェル領域
203 p型ウェル領域
204 素子分離層
205 ダミーゲート絶縁膜
205A シリコン酸化膜
206 ダミーゲート電極
206A ポリシリコン膜
207 ハードマスク層(保護膜)
207A シリコン窒化膜
208 n型エクステンション領域
209 p型エクステンション領域
210 絶縁性サイドウォールスペーサ
211 n型ソース・ドレイン領域
212 p型ソース・ドレイン領域
213 層間絶縁膜
214 ゲート電極用溝
215 ゲート電極用溝
216 ゲート絶縁膜
217 nチャネルメタル電極膜
218 pチャネルメタル電極膜
219 導電体材料膜
101 Semiconductor substrate 102 n-type well region 103 p-type well region 104 element isolation layer 105 PMOS (p-channel transistor)
106 NMOS (n-channel transistor)
107 p-type source / drain region 108 p-type extension region 109 gate insulating film 109A insulating film 110 metal electrode 110A metal-containing film 111 polysilicon electrode 112 insulating sidewall spacer 113 n-type source / drain region 114 n-type extension region 115 gate Insulating film 116 Metal electrode 116A Metal-containing film 117 Polysilicon electrode 118 Insulating sidewall spacer 201 Semiconductor substrate 202 N-type well region 203 P-type well region 204 Element isolation layer 205 Dummy gate insulating film 205A Silicon oxide film 206 Dummy gate electrode 206A Polysilicon film 207 Hard mask layer (protective film)
207A Silicon nitride film 208 n-type extension region 209 p-type extension region 210 insulating sidewall spacer 211 n-type source / drain region 212 p-type source / drain region 213 interlayer insulating film 214 gate electrode trench 215 gate electrode trench 216 gate Insulating film 217 n-channel metal electrode film 218 p-channel metal electrode film 219 Conductor material film

Claims (30)

基板におけるnチャネルトランジスタ形成領域上にゲート絶縁膜を介して形成されたゲート電極を備え、
前記ゲート電極は、圧縮内部応力を持つnチャネルメタル電極を有することを特徴とする半導体装置。
A gate electrode formed on the n-channel transistor formation region in the substrate via a gate insulating film;
The semiconductor device according to claim 1, wherein the gate electrode includes an n-channel metal electrode having a compressive internal stress.
請求項1に記載の半導体装置において、
前記nチャネルメタル電極は、10nm以上で且つ50nm以下の厚さを持つことを特徴とする半導体装置。
The semiconductor device according to claim 1,
The n-channel metal electrode has a thickness of 10 nm or more and 50 nm or less.
請求項2に記載の半導体装置において、
前記nチャネルメタル電極は、15nm以上で且つ25nm以下の厚さを持つことを特徴とする半導体装置。
The semiconductor device according to claim 2,
The n-channel metal electrode has a thickness of 15 nm or more and 25 nm or less.
請求項1〜3のいずれか1項に記載の半導体装置において、
前記nチャネルメタルゲート電極は、Al、Ti、Ta、W及びRuを含む金属群の中から選ばれた1つの金属からなる金属膜、前記金属群の中から選ばれた複数の金属からなる金属合金膜、前記金属群の中から選ばれた少なくとも1つの金属を含む窒化膜若しくは炭化窒化膜、又は前記金属群の中から選ばれた少なくとも1つの金属とシリコンとを含む窒化膜であることを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 3,
The n-channel metal gate electrode includes a metal film made of one metal selected from a metal group containing Al, Ti, Ta, W, and Ru, and a metal made of a plurality of metals selected from the metal group. An alloy film, a nitride film or carbonitride film containing at least one metal selected from the metal group, or a nitride film containing at least one metal selected from the metal group and silicon. A featured semiconductor device.
請求項1〜4のいずれか1項に記載の半導体装置において、
前記nチャネルメタル電極は前記ゲート絶縁膜と接することを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 4,
The semiconductor device according to claim 1, wherein the n-channel metal electrode is in contact with the gate insulating film.
請求項1〜5のいずれか1項に記載の半導体装置において、
前記ゲート電極は、前記nチャネルメタル電極上に形成されたポリシリコン電極又はシリコンゲルマニウム電極をさらに有していることを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 5,
The semiconductor device, wherein the gate electrode further includes a polysilicon electrode or a silicon germanium electrode formed on the n-channel metal electrode.
請求項1〜6のいずれか1項に記載の半導体装置において、
前記ゲート絶縁膜は高誘電率膜を有することを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 6,
The semiconductor device according to claim 1, wherein the gate insulating film has a high dielectric constant film.
請求項1〜7のいずれか1項に記載の半導体装置において、
前記基板はシリコン基板であり、
前記シリコン基板における前記ゲート電極の両側には、炭素が導入されたソース・ドレイン領域が形成されていることを特徴とする半導体装置。
In the semiconductor device according to claim 1,
The substrate is a silicon substrate;
A source / drain region into which carbon is introduced is formed on both sides of the gate electrode in the silicon substrate.
請求項1〜8のいずれか1項に記載の半導体装置において、
前記ゲート電極の上を含む前記基板の上には、引っ張り応力を持つライナー膜が形成されていることを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 8,
A semiconductor device, wherein a liner film having a tensile stress is formed on the substrate including the gate electrode.
請求項9に記載の半導体装置において、
前記ライナー膜はSiN膜であることを特徴とする半導体装置。
The semiconductor device according to claim 9.
The semiconductor device according to claim 1, wherein the liner film is a SiN film.
請求項1〜10のいずれか1項に記載の半導体装置を製造する方法であって、
前記基板上に前記ゲート絶縁膜を形成する工程(a)と、
前記ゲート絶縁膜上に、前記nチャネルメタル電極となる金属含有膜を少なくとも有する導電膜を形成する工程(b)と、
前記導電膜をパターニングして前記ゲート電極を形成する工程(c)と、
前記工程(c)の後に、前記基板にn型不純物を導入してエクステンション領域を形成する工程(d)と、
前記工程(d)の後に、前記ゲート電極の側壁上に絶縁性サイドウォールスペーサを形成する工程(e)と、
前記工程(e)の後に、前記基板にn型不純物を導入してソース・ドレイン領域を形成する工程(f)とを備えていることを特徴とする半導体装置の製造方法。
A method for manufacturing the semiconductor device according to claim 1,
Forming the gate insulating film on the substrate;
(B) forming a conductive film having at least a metal-containing film to be the n-channel metal electrode on the gate insulating film;
(C) forming the gate electrode by patterning the conductive film;
A step (d) of forming an extension region by introducing an n-type impurity into the substrate after the step (c);
A step (e) of forming an insulating sidewall spacer on the side wall of the gate electrode after the step (d);
After the step (e), a method (f) for forming a source / drain region by introducing an n-type impurity into the substrate is provided.
請求項1〜10のいずれか1項に記載の半導体装置を製造する方法であって、
前記基板上にダミーゲート構造を形成する工程(a)と、
前記工程(a)の後に、前記基板にn型不純物を導入してエクステンション領域を形成する工程(b)と、
前記工程(b)の後に、前記ダミーゲート構造の側壁上に絶縁性サイドウォールスペーサを形成する工程(c)と、
前記工程(c)の後に、前記基板にn型不純物を導入してソース・ドレイン領域を形成する工程(d)と、
前記工程(d)の後に、前記ダミーゲート構造の頂部が露出するように前記基板を絶縁膜により覆う工程(e)と、
前記ダミーゲート構造を除去して前記絶縁膜に凹部を形成する工程(f)と、
前記凹部の底面上及び側壁上に前記ゲート絶縁膜を形成する工程(g)と、
前記ゲート絶縁膜上に、前記nチャネルメタル電極となる金属含有膜を少なくとも有する導電膜を前記凹部が埋まるように形成する工程(h)と、
前記凹部の外側に位置する前記導電膜を除去して前記ゲート電極を形成する工程(i)とを備えていることを特徴とする半導体装置の製造方法。
A method for manufacturing the semiconductor device according to claim 1,
Forming a dummy gate structure on the substrate;
(B) after the step (a), introducing an n-type impurity into the substrate to form an extension region;
(C) forming an insulating sidewall spacer on the side wall of the dummy gate structure after the step (b);
After the step (c), a step (d) of forming source / drain regions by introducing n-type impurities into the substrate;
(E) covering the substrate with an insulating film so that the top of the dummy gate structure is exposed after the step (d);
Removing the dummy gate structure to form a recess in the insulating film (f);
A step (g) of forming the gate insulating film on the bottom and side walls of the recess;
Forming a conductive film having at least a metal-containing film serving as the n-channel metal electrode on the gate insulating film so as to fill the recess;
And (i) forming the gate electrode by removing the conductive film located outside the recess.
請求項12に記載の半導体装置の製造方法において、
前記ダミーゲート構造は、ダミーゲート絶縁膜、ダミーポリシリコン電極及び保護膜が順次積層されてなることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 12,
The method of manufacturing a semiconductor device, wherein the dummy gate structure includes a dummy gate insulating film, a dummy polysilicon electrode, and a protective film sequentially stacked.
請求項11〜13のいずれか1項に記載の半導体装置の製造方法において、
前記nチャネルメタル電極となる前記金属含有膜を、2極スパッタリング法、直流マグネトロンスパッタリング法、高周波マグネトロンスパッタリング法、イオン化スパッタリング法、又はイオンビームスパッタリング法により形成することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device of any one of Claims 11-13,
A method of manufacturing a semiconductor device, wherein the metal-containing film to be the n-channel metal electrode is formed by a bipolar sputtering method, a direct current magnetron sputtering method, a high-frequency magnetron sputtering method, an ionization sputtering method, or an ion beam sputtering method. .
基板におけるpチャネルトランジスタ形成領域上にゲート絶縁膜を介して形成されたゲート電極を備え、
前記ゲート電極は、引っ張り内部応力を持つpチャネルメタル電極を有することを特徴とする半導体装置。
A gate electrode formed on a p-channel transistor formation region in the substrate via a gate insulating film;
The semiconductor device, wherein the gate electrode has a p-channel metal electrode having a tensile internal stress.
請求項15に記載の半導体装置において、
前記pチャネルメタル電極は、10nm以上で且つ50nm以下の厚さを持つことを特徴とする半導体装置。
The semiconductor device according to claim 15,
The p-channel metal electrode has a thickness of 10 nm or more and 50 nm or less.
請求項16に記載の半導体装置において、
前記pチャネルメタル電極は、15nm以上で且つ25nm以下の厚さを持つことを特徴とする半導体装置。
The semiconductor device according to claim 16, wherein
The p-channel metal electrode has a thickness of 15 nm or more and 25 nm or less.
請求項15〜17のいずれか1項に記載の半導体装置において、
前記pチャネルメタルゲート電極は、Al、Ti、Ta、W及びRuを含む金属群の中から選ばれた1つの金属からなる金属膜、前記金属群の中から選ばれた複数の金属からなる金属合金膜、前記金属群の中から選ばれた少なくとも1つの金属を含む窒化膜若しくは炭化窒化膜、又は前記金属群の中から選ばれた少なくとも1つの金属とシリコンとを含む窒化膜であることを特徴とする半導体装置。
The semiconductor device according to any one of claims 15 to 17,
The p-channel metal gate electrode includes a metal film made of one metal selected from a metal group including Al, Ti, Ta, W, and Ru, and a metal made of a plurality of metals selected from the metal group. An alloy film, a nitride film or carbonitride film containing at least one metal selected from the metal group, or a nitride film containing at least one metal selected from the metal group and silicon. A featured semiconductor device.
請求項15〜18のいずれか1項に記載の半導体装置において、
前記pチャネルメタル電極は前記ゲート絶縁膜と接することを特徴とする半導体装置。
The semiconductor device according to any one of claims 15 to 18,
The semiconductor device, wherein the p-channel metal electrode is in contact with the gate insulating film.
請求項15〜19のいずれか1項に記載の半導体装置において、
前記ゲート電極は、前記pチャネルメタル電極上に形成されたポリシリコン電極又はシリコンゲルマニウム電極をさらに有していることを特徴とする半導体装置。
The semiconductor device according to any one of claims 15 to 19,
The semiconductor device, wherein the gate electrode further includes a polysilicon electrode or a silicon germanium electrode formed on the p-channel metal electrode.
請求項15〜20のいずれか1項に記載の半導体装置において、
前記ゲート絶縁膜は高誘電率膜を有することを特徴とする半導体装置。
21. The semiconductor device according to claim 15, wherein:
The semiconductor device according to claim 1, wherein the gate insulating film has a high dielectric constant film.
請求項15〜21のいずれか1項に記載の半導体装置において、
前記基板はシリコン基板であり、
前記シリコン基板における前記ゲート電極の両側には、ゲルマニウムが導入されたソース・ドレイン領域が形成されていることを特徴とする半導体装置。
The semiconductor device according to any one of claims 15 to 21,
The substrate is a silicon substrate;
A source / drain region into which germanium is introduced is formed on both sides of the gate electrode in the silicon substrate.
請求項15〜22のいずれか1項に記載の半導体装置において、
前記ゲート電極の上を含む前記基板の上には、圧縮応力を持つライナー膜が形成されていることを特徴とする半導体装置。
The semiconductor device according to any one of claims 15 to 22,
A semiconductor device, wherein a liner film having a compressive stress is formed on the substrate including the gate electrode.
請求項23に記載の半導体装置において、
前記ライナー膜はSiN膜であることを特徴とする半導体装置。
24. The semiconductor device according to claim 23, wherein
The semiconductor device according to claim 1, wherein the liner film is a SiN film.
請求項15〜24のいずれか1項に記載の半導体装置を製造する方法であって、
前記基板上に前記ゲート絶縁膜を形成する工程(a)と、
前記ゲート絶縁膜上に、前記pチャネルメタル電極となる金属含有膜を少なくとも有する導電膜を形成する工程(b)と、
前記導電膜をパターニングして前記ゲート電極を形成する工程(c)と、
前記工程(c)の後に、前記基板にp型不純物を導入してエクステンション領域を形成する工程(d)と、
前記工程(d)の後に、前記ゲート電極の側壁上に絶縁性サイドウォールスペーサを形成する工程(e)と、
前記工程(e)の後に、前記基板にp型不純物を導入してソース・ドレイン領域を形成する工程(f)とを備えていることを特徴とする半導体装置の製造方法。
A method for manufacturing the semiconductor device according to any one of claims 15 to 24, comprising:
Forming the gate insulating film on the substrate;
Forming a conductive film having at least a metal-containing film to be the p-channel metal electrode on the gate insulating film (b);
(C) forming the gate electrode by patterning the conductive film;
A step (d) of forming an extension region by introducing p-type impurities into the substrate after the step (c);
A step (e) of forming an insulating sidewall spacer on the side wall of the gate electrode after the step (d);
And (f) forming a source / drain region by introducing a p-type impurity into the substrate after the step (e).
請求項15〜24のいずれか1項に記載の半導体装置を製造する方法であって、
前記基板上にダミーゲート構造を形成する工程(a)と、
前記工程(a)の後に、前記基板にp型不純物を導入してエクステンション領域を形成する工程(b)と、
前記工程(b)の後に、前記ダミーゲート構造の側壁上に絶縁性サイドウォールスペーサを形成する工程(c)と、
前記工程(c)の後に、前記基板にp型不純物を導入してソース・ドレイン領域を形成する工程(d)と、
前記工程(d)の後に、前記ダミーゲート構造の頂部が露出するように前記基板を絶縁膜により覆う工程(e)と、
前記ダミーゲート構造を除去して前記絶縁膜に凹部を形成する工程(f)と、
前記凹部の底面上及び側壁上に前記ゲート絶縁膜を形成する工程(g)と、
前記ゲート絶縁膜上に、前記pチャネルメタル電極となる金属含有膜を少なくとも有する導電膜を前記凹部が埋まるように形成する工程(h)と、
前記凹部の外側に位置する前記導電膜を除去して前記ゲート電極を形成する工程(i)とを備えていることを特徴とする半導体装置の製造方法。
A method for manufacturing the semiconductor device according to any one of claims 15 to 24, comprising:
Forming a dummy gate structure on the substrate;
After the step (a), a step (b) of introducing an p-type impurity into the substrate to form an extension region;
(C) forming an insulating sidewall spacer on the side wall of the dummy gate structure after the step (b);
After the step (c), a step (d) of forming a source / drain region by introducing a p-type impurity into the substrate;
(E) covering the substrate with an insulating film so that the top of the dummy gate structure is exposed after the step (d);
Removing the dummy gate structure to form a recess in the insulating film (f);
A step (g) of forming the gate insulating film on the bottom and side walls of the recess;
Forming a conductive film having at least a metal-containing film to be the p-channel metal electrode on the gate insulating film so as to fill the recess;
And (i) forming the gate electrode by removing the conductive film located outside the recess.
請求項26に記載の半導体装置の製造方法において、
前記ダミーゲート構造は、ダミーゲート絶縁膜、ダミーポリシリコン電極及び保護膜が順次積層されてなることを特徴とする半導体装置の製造方法。
27. The method of manufacturing a semiconductor device according to claim 26,
The method of manufacturing a semiconductor device, wherein the dummy gate structure includes a dummy gate insulating film, a dummy polysilicon electrode, and a protective film sequentially stacked.
請求項25〜27のいずれか1項に記載の半導体装置の製造方法において、
前記pチャネルメタル電極となる前記金属含有膜を、熱CVD法、プラズマCVD法、MOCVD法、又はALD法により形成することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device of any one of Claims 25-27,
A method of manufacturing a semiconductor device, wherein the metal-containing film to be the p-channel metal electrode is formed by a thermal CVD method, a plasma CVD method, an MOCVD method, or an ALD method.
基板におけるnチャネルトランジスタ形成領域上に第1のゲート絶縁膜を介して形成された第1のゲート電極と、
前記基板におけるpチャネルトランジスタ形成領域上に第2のゲート絶縁膜を介して形成された第2のゲート電極とを備え、
前記第1のゲート電極は、圧縮内部応力を持つnチャネルメタル電極を有し、
前記第2のゲート電極は、引っ張り内部応力を持つpチャネルメタル電極を有することを特徴とする半導体装置。
A first gate electrode formed on the n-channel transistor formation region in the substrate via a first gate insulating film;
A second gate electrode formed on a p-channel transistor formation region in the substrate via a second gate insulating film;
The first gate electrode has an n-channel metal electrode having a compressive internal stress,
The semiconductor device, wherein the second gate electrode has a p-channel metal electrode having a tensile internal stress.
請求項29に記載の半導体装置を製造する方法であって、
前記nチャネルメタル電極と前記pチャネルメタル電極とが互いに相反する向きの応力を持つことにより、前記各ゲート電極を形成するためのリソグラフィー時における前記基板の反りを抑制し、それによって、前記各ゲート電極とその下地となる活性領域との重ね合わせ精度を向上させることを特徴とする半導体装置の製造方法。
A method for manufacturing the semiconductor device according to claim 29, comprising:
The n-channel metal electrode and the p-channel metal electrode have stresses in directions opposite to each other, thereby suppressing the warpage of the substrate during lithography for forming the gate electrodes, and thereby the gates. A method for manufacturing a semiconductor device, characterized in that the overlay accuracy between an electrode and an active region serving as an underlayer thereof is improved.
JP2009171882A 2009-07-23 2009-07-23 Semiconductor device and method of manufacturing the same Withdrawn JP2011029303A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009171882A JP2011029303A (en) 2009-07-23 2009-07-23 Semiconductor device and method of manufacturing the same
PCT/JP2010/000718 WO2011010407A1 (en) 2009-07-23 2010-02-05 Semiconductor device and method for manufacturing same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009171882A JP2011029303A (en) 2009-07-23 2009-07-23 Semiconductor device and method of manufacturing the same

Publications (1)

Publication Number Publication Date
JP2011029303A true JP2011029303A (en) 2011-02-10

Family

ID=43498891

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009171882A Withdrawn JP2011029303A (en) 2009-07-23 2009-07-23 Semiconductor device and method of manufacturing the same

Country Status (2)

Country Link
JP (1) JP2011029303A (en)
WO (1) WO2011010407A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007227563A (en) * 2006-02-22 2007-09-06 Fujitsu Ltd Semiconductor device and its manufacturing method
US9281365B2 (en) 2013-09-03 2016-03-08 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US11276583B2 (en) 2012-12-31 2022-03-15 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006120718A (en) * 2004-10-19 2006-05-11 Toshiba Corp Semiconductor device and manufacturing method therefor
JP5018780B2 (en) * 2006-09-27 2012-09-05 富士通株式会社 Semiconductor device and manufacturing method thereof
JP2008117963A (en) * 2006-11-06 2008-05-22 Nec Electronics Corp Field effect transistor, semiconductor device, and manufacturing method therefor
JP2008218727A (en) * 2007-03-05 2008-09-18 Renesas Technology Corp Semiconductor device and manufacturing method thereof
JP2008244059A (en) * 2007-03-27 2008-10-09 Renesas Technology Corp Manufacturing method of semiconductor device
JP5222583B2 (en) * 2007-04-06 2013-06-26 パナソニック株式会社 Semiconductor device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007227563A (en) * 2006-02-22 2007-09-06 Fujitsu Ltd Semiconductor device and its manufacturing method
US11276583B2 (en) 2012-12-31 2022-03-15 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
US11276582B2 (en) 2012-12-31 2022-03-15 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
US11282715B2 (en) 2012-12-31 2022-03-22 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
US11764071B2 (en) 2012-12-31 2023-09-19 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
US9281365B2 (en) 2013-09-03 2016-03-08 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
WO2011010407A1 (en) 2011-01-27

Similar Documents

Publication Publication Date Title
TWI417992B (en) Technique for forming contact insulation layers and silicide regions with different characteristics
TWI545761B (en) Semiconductor devices and methods for manufacturing the same and pmos transistors
JP5537546B2 (en) CMOS device with MOS transistors having concave drain and source areas and non-conformal metal silicide regions
TWI405304B (en) Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
US7002209B2 (en) MOSFET structure with high mechanical stress in the channel
US7838372B2 (en) Methods of manufacturing semiconductor devices and structures thereof
TWI443750B (en) A technique for froming a contact insulation layer with enhanced stress transfer efficiency
TWI497603B (en) A field effect transistor having a stressed contact etch stop layer with reduced conformality
TWI278065B (en) Closed loop CESL high performance CMOS devices
JP2007300090A (en) Cmos structure using self-aligned stressed dual layer and method therefor
JP2006148077A (en) Semiconductor device utilizing an extension spacer and method of forming the same
JP2009033032A (en) Semiconductor device, and method of manufacturing semiconductor device
JP5669752B2 (en) Reducing threshold voltage variation by reducing deposition non-uniformity in transistors with channel semiconductor alloys
TW201222645A (en) Superior integrity of a high-K gate stack by forming a controlled undercut on the basis of a wet chemistry
TW201145402A (en) Biaxial strained field effect transistor devices
TW201301404A (en) Semiconductor device with threshold voltage control and method of fabricating the same
JP4558841B2 (en) Semiconductor structure with improved performance using a simplified dual stress liner configuration
JP5310722B2 (en) Manufacturing method of semiconductor device
US8084826B2 (en) Semiconductor device and manufacturing method thereof
JP2011023700A (en) Field effect transistor and method of manufacturing the same
KR20100138973A (en) A semiconductor device comprising a metal gate stack of reduced height and method of forming the same
WO2011010407A1 (en) Semiconductor device and method for manufacturing same
US20130032877A1 (en) N-channel transistor comprising a high-k metal gate electrode structure and a reduced series resistance by epitaxially formed semiconductor material in the drain and source areas
TWI585861B (en) Methods for fabricating mos devices having epitaxially grown stress-inducing source and drain regions
US20070045849A1 (en) Semiconductor structure having selective silicide-induced stress and a method of producing same

Legal Events

Date Code Title Description
A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20111011