JP2008218727A - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
JP2008218727A
JP2008218727A JP2007054333A JP2007054333A JP2008218727A JP 2008218727 A JP2008218727 A JP 2008218727A JP 2007054333 A JP2007054333 A JP 2007054333A JP 2007054333 A JP2007054333 A JP 2007054333A JP 2008218727 A JP2008218727 A JP 2008218727A
Authority
JP
Japan
Prior art keywords
film
semiconductor device
gate electrode
gate
metal film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007054333A
Other languages
Japanese (ja)
Inventor
Kazuyoshi Maekawa
和義 前川
Toshiaki Tsutsumi
聡明 堤
Keiichirou Kashiwabara
慶一朗 柏原
Tomohito Okudaira
智仁 奥平
Sunao Yamaguchi
直 山口
Kotaro Kihara
幸太郎 木原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2007054333A priority Critical patent/JP2008218727A/en
Publication of JP2008218727A publication Critical patent/JP2008218727A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor device capable of applying larger distortion than in the case that a high stress nitride film is used for an MOS transistor channel region. <P>SOLUTION: The semiconductor device comprises a field effect transistor equipped with a gate structure 11 composed of a gate insulating film 12, a gate electrode 13, offset spacer films 15 formed on both sides in line width directions of the laminate of the gate insulating film 12 and the gate electrode 13, and a side wall film 16 formed outside the offset spacer film 15 formed at a specified position on a silicon substrate 10, and diffusion layers 17 formed near the surface of the silicon substrate 10 on both sides in the line width direction of the gate structure 11. The device also comprises a barrier layer 20 of metals formed on the side wall film 16 and the diffusion layer 17, and a stress application layer 21 of the metal formed on the barrier layer 20. The barrier layer 20 and the stress application layer 21 are insulated from the gate electrode 13 by the offset spacer film 15 and the side wall film 16. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

この発明は、チャネル領域に歪を与える構造を有する半導体装置とその製造方法に関するものである。   The present invention relates to a semiconductor device having a structure for imparting strain to a channel region and a method for manufacturing the same.

チャネル長の縮小化とゲート酸化膜の薄膜化による電界効果型トランジスタ(以下、MOS(Metal-Oxide Semiconductor)トランジスタという)の電流駆動力向上は、微細化により困難となってきている。近年これらの技術に代わるMOSトランジスタの性能向上の技術として、MOSトランジスタのチャネル領域に歪みを与える技術が提案されている。これは、MOSトランジスタのチャネル領域に機械的な歪を与えることによって、キャリアの移動度を向上させ、MOSトランジスタの電流駆動能力を高めるというものである。   Improvement in the current driving capability of field effect transistors (hereinafter referred to as MOS (Metal-Oxide Semiconductor) transistors) by reducing the channel length and reducing the thickness of the gate oxide film has become difficult due to miniaturization. In recent years, as a technique for improving the performance of MOS transistors in place of these techniques, a technique for giving distortion to the channel region of a MOS transistor has been proposed. This is to increase the carrier mobility and increase the current driving capability of the MOS transistor by applying mechanical strain to the channel region of the MOS transistor.

図7は、歪構造を有する半導体装置の断面構造の従来例を示す図である。この図に示されるように半導体装置は、シリコン基板101上の所定の位置に形成されたゲート構造111と、ゲート構造111の線幅方向両側のシリコン基板101の表面付近に形成されたソース/ドレイン領域となる拡散層117と、を有するMOSトランジスタによって構成される。ゲート構造111は、シリコン基板101上の所定の位置に形成されたゲート絶縁膜112とゲート電極113の積層体と、この積層体の線幅方向両側の側面に形成されるオフセットスペーサ膜115と、このオフセットスペーサ膜115のさらに外側に形成されるサイドウォール膜116と、からなる。拡散層117のチャネル領域側の浅い領域にはエクステンション部118が形成されている。また、ゲート電極113の上部と拡散層117の上部には、シリサイド膜114,119が形成されている。そして、このゲート構造111上と拡散層117上に高ストレスのSiN膜130が形成されている。   FIG. 7 is a diagram illustrating a conventional example of a cross-sectional structure of a semiconductor device having a strained structure. As shown in this figure, the semiconductor device includes a gate structure 111 formed at a predetermined position on the silicon substrate 101, and a source / drain formed near the surface of the silicon substrate 101 on both sides of the gate structure 111 in the line width direction. The MOS transistor includes a diffusion layer 117 serving as a region. The gate structure 111 includes a stacked body of a gate insulating film 112 and a gate electrode 113 formed at a predetermined position on the silicon substrate 101, an offset spacer film 115 formed on both sides of the stacked body in the line width direction, And a sidewall film 116 formed further outside the offset spacer film 115. An extension portion 118 is formed in a shallow region on the channel region side of the diffusion layer 117. Silicide films 114 and 119 are formed on the gate electrode 113 and the diffusion layer 117. A high stress SiN film 130 is formed on the gate structure 111 and the diffusion layer 117.

ここで、Nチャネル型MOSトランジスタ(以下、NMOSトランジスタという)の場合には、高ストレスのSiN膜130がチャネル領域に引張り(Tensile)ストレスを与え、MOSトランジスタがPチャネル型MOSトランジスタ(以下、PMOSトランジスタという)の場合には、高ストレスのSiN膜130がチャネル領域に圧縮(Compressive)ストレスを与えて、それぞれの電流駆動能力を高めている(たとえば、非特許文献1,2参照)。なお、NMOSトランジスタとPMOSトランジスタで同じSiN膜130でもチャネル領域に与えるストレスが異なるのは、それぞれのトランジスタ形成領域で形成するSiN膜130の成膜条件を異ならせているからである。   Here, in the case of an N channel type MOS transistor (hereinafter referred to as an NMOS transistor), the high stress SiN film 130 applies a tensile stress to the channel region, and the MOS transistor is a P channel type MOS transistor (hereinafter referred to as a PMOS transistor). In the case of a transistor), the high-stress SiN film 130 applies compressive stress to the channel region to enhance the current drive capability (see, for example, Non-Patent Documents 1 and 2). Note that the stress applied to the channel region is different even in the same SiN film 130 between the NMOS transistor and the PMOS transistor because the deposition conditions of the SiN film 130 formed in each transistor formation region are different.

S. Pidin, et al., "A Novel Strain Enhanced CMOS Architecture Using Selectively Deposited High Tensile And High Compressive Silicon Nitride Films", 2004, IEDMS. Pidin, et al., "A Novel Strain Enhanced CMOS Architecture Using Selectively Deposited High Tensile And High Compressive Silicon Nitride Films", 2004, IEDM H. S. Yang, et al., "Dual Stress Liner for High Performance sub-45nm Gate Length SOI CMOS Manufacturing", 2004, IEDMH. S. Yang, et al., "Dual Stress Liner for High Performance sub-45nm Gate Length SOI CMOS Manufacturing", 2004, IEDM

上述したように、現在開発中の半導体装置では、主に歪みSi技術として高ストレス窒化膜(SiN膜)が用いられている。この窒化膜は、プラズマCVD法によって形成されるものであり、成膜条件、成膜方法の最適化や紫外光によるキュア技術によりストレスの向上がなされている。この技術によって、現在では約2GPaに近い圧縮ストレスを印加できるようになってきている。しかし、今後開発される半導体装置に対しては、さらに高いストレスを薄膜で印加する技術が必要である。そのため、たとえば高ストレス窒化膜の膜厚をさらに大きくしなければならないなどの問題点があった。しかし、高ストレス窒化膜を厚くすると、図7の領域Rに示されるように、ゲート構造11間の拡散層117上での高ストレス窒化膜(SiN膜130)の膜厚が厚くなってしまい、この領域Rに上層の配線と接続するためのコンタクトホールを形成することが困難になってしまう。   As described above, in a semiconductor device currently under development, a high stress nitride film (SiN film) is mainly used as a strained Si technique. This nitride film is formed by the plasma CVD method, and the stress is improved by optimizing the film forming conditions and the film forming method and the curing technique using ultraviolet light. With this technology, it is now possible to apply a compressive stress close to about 2 GPa. However, a technique for applying a higher stress to the semiconductor device to be developed in the future is required. Therefore, there has been a problem that, for example, the thickness of the high stress nitride film has to be increased further. However, when the high stress nitride film is thickened, as shown in the region R of FIG. 7, the film thickness of the high stress nitride film (SiN film 130) on the diffusion layer 117 between the gate structures 11 increases. In this region R, it becomes difficult to form a contact hole for connecting to the upper layer wiring.

この発明は、上記に鑑みてなされたもので、MOSトランジスタのチャネル領域に高ストレス窒化膜を用いた場合に比してさらに大きな歪、具体的にはNMOSトランジスタのチャネル領域には引張りストレスを、PMOSトランジスタには圧縮ストレスを与えることができる半導体装置とその製造方法を得ることを目的とする。   The present invention has been made in view of the above, and has a strain larger than that in the case where a high-stress nitride film is used in the channel region of the MOS transistor, specifically, tensile stress in the channel region of the NMOS transistor. An object of the present invention is to obtain a semiconductor device capable of applying a compressive stress to a PMOS transistor and a manufacturing method thereof.

上記目的を達成するため、この発明の一実施の形態にかかる半導体装置は、サイドウォール層を有するゲート構造からなる電界効果型トランジスタにおいて、隣接するゲート構造間の拡散層上の空間に金属膜からなるバリア膜と、金属膜からなる応力印加層を形成したことを特徴とする。そして、バリア層と応力印加層は、ゲート構造中のサイドウォール膜やオフセットスペーサ膜によってゲート電極と電気的に絶縁されている。   In order to achieve the above object, a semiconductor device according to an embodiment of the present invention is a field effect transistor having a gate structure having a sidewall layer, wherein a metal film is formed in a space above a diffusion layer between adjacent gate structures. And a stress application layer made of a metal film. The barrier layer and the stress application layer are electrically insulated from the gate electrode by the sidewall film and the offset spacer film in the gate structure.

この発明の一実施の形態によれば、従来の高ストレス窒化膜を用いた場合に比べ、NMOSトランジスタのチャネル領域には高い引張りストレスを与え、PMOSトランジスタのチャネル領域には高い圧縮ストレスを与えることができるという効果を有する。   According to one embodiment of the present invention, a higher tensile stress is applied to the channel region of the NMOS transistor and a higher compressive stress is applied to the channel region of the PMOS transistor than when a conventional high stress nitride film is used. Has the effect of being able to.

以下に添付図面を参照して、この発明にかかる半導体装置とその製造方法の好適な実施の形態を詳細に説明する。なお、これらの実施の形態によりこの発明が限定されるものではない。また、以下の実施の形態で用いられる半導体装置の断面図は模式的なものであり、層の厚みと幅との関係や各層の厚みの比率などは現実のものとは異なる。   Exemplary embodiments of a semiconductor device and a manufacturing method thereof according to the present invention will be explained below in detail with reference to the accompanying drawings. Note that the present invention is not limited to these embodiments. The cross-sectional views of the semiconductor devices used in the following embodiments are schematic, and the relationship between the thickness and width of the layers, the ratio of the thicknesses of the layers, and the like are different from the actual ones.

実施の形態1.
図1は、この発明にかかる半導体装置の実施の形態1の断面構造を模式的に示す図である。この半導体装置は、シリコン基板10上の所定の位置に電界効果型トランジスタ(以下、MOSトランジスタという)が形成される構造を有する。このMOSトランジスタは、シリコン基板10上の所定の位置に形成されるゲート構造11と、ゲート構造11の下部のチャネル領域を挟んだシリコン基板10表面に形成された、所定の導電型の不純物原子が拡散されたソース/ドレイン領域となる拡散層17と、を有する。
Embodiment 1 FIG.
FIG. 1 is a diagram schematically showing a cross-sectional structure of a semiconductor device according to a first embodiment of the present invention. This semiconductor device has a structure in which a field effect transistor (hereinafter referred to as a MOS transistor) is formed at a predetermined position on a silicon substrate 10. This MOS transistor has a gate structure 11 formed at a predetermined position on the silicon substrate 10 and impurity atoms of a predetermined conductivity type formed on the surface of the silicon substrate 10 sandwiching a channel region below the gate structure 11. And a diffusion layer 17 to be a diffused source / drain region.

ゲート構造11は、シリコン基板10上にシリコン酸化膜などからなるゲート絶縁膜12とポリシリコン膜からなるゲート電極13とからなる所定の形状の積層体と、この積層体の線幅方向両側側面に形成されるシリコン窒化膜などからなるオフセットスペーサ膜15と、このオフセットスペーサ膜15のさらに外側に形成されるシリコン酸化膜などからなるサイドウォール膜16と、で構成される。ゲート電極13の上部と拡散層17の上部には、所定の厚さのニッケルシリサイドなどからなるシリサイド膜14,19が形成される。また、拡散層17のチャネル領域側の端部の浅い領域には、拡散層17と同じ導電型の不純物が拡散されたエクステンション部18が形成されている。   The gate structure 11 includes a laminated body having a predetermined shape formed of a gate insulating film 12 made of a silicon oxide film or the like and a gate electrode 13 made of a polysilicon film on the silicon substrate 10, and the both sides of the laminated body in the line width direction. An offset spacer film 15 made of a silicon nitride film or the like to be formed, and a sidewall film 16 made of a silicon oxide film or the like formed further outside the offset spacer film 15 is constituted. Silicide films 14 and 19 made of nickel silicide or the like having a predetermined thickness are formed on the gate electrode 13 and the diffusion layer 17. An extension portion 18 in which impurities of the same conductivity type as the diffusion layer 17 are diffused is formed in a shallow region at the end of the diffusion layer 17 on the channel region side.

サイドウォール膜16上と、拡散層17上のシリサイド膜19上とには、TiN(窒化チタン)/Ti(チタン)の積層膜からなるバリア層20が形成される。そして、隣接するゲート構造11の間の空間は、W(タングステン)で埋め込まれた応力印加層21が形成されている。なお、隣接するゲート電極13上のシリサイド膜14間がバリア層20と応力印加層21によって短絡しないように、シリサイド膜14は、絶縁膜で構成されるオフセットスペーサ膜15とサイドウォール膜16によって、ゲート構造11間に埋め込まれた金属からなるバリア層20や応力印加層21と絶縁されている。   On the sidewall film 16 and the silicide film 19 on the diffusion layer 17, a barrier layer 20 made of a laminated film of TiN (titanium nitride) / Ti (titanium) is formed. In the space between the adjacent gate structures 11, a stress application layer 21 embedded with W (tungsten) is formed. In order to prevent short-circuiting between the silicide films 14 on the adjacent gate electrodes 13 by the barrier layer 20 and the stress applying layer 21, the silicide film 14 is formed by an offset spacer film 15 and a sidewall film 16 formed of an insulating film. It is insulated from the barrier layer 20 and the stress applying layer 21 made of metal embedded between the gate structures 11.

この様に、ゲート構造11間の拡散層17上にTiやTiN、Wなどの金属膜を形成することで、ゲート構造11の下部のチャネル領域に圧縮応力や引張り応力を印加することができる。圧縮応力を印加するか、引張り応力を印加するかは、金属膜の作製条件(基板温度や圧力、製膜パワーなど)によって任意に変えることができる。たとえば、TiN膜の場合には、高温で作製したものは引張り応力をチャネル領域に印加することができ、低温で作製したものは圧縮応力をチャネル領域に印加することができる。また、W膜の場合には、低温で作製したものは引張り応力をチャネル領域に印加することができ、高温で作製したものは圧縮応力をチャネル領域に印加することができる。   In this manner, by forming a metal film such as Ti, TiN, or W on the diffusion layer 17 between the gate structures 11, compressive stress or tensile stress can be applied to the channel region below the gate structure 11. Whether the compressive stress or the tensile stress is applied can be arbitrarily changed depending on the metal film production conditions (substrate temperature, pressure, film-forming power, etc.). For example, in the case of a TiN film, those produced at high temperature can apply tensile stress to the channel region, and those produced at low temperature can apply compressive stress to the channel region. In the case of a W film, those produced at a low temperature can apply a tensile stress to the channel region, and those produced at a high temperature can apply a compressive stress to the channel region.

スパッタ法またはCVD法で形成するTiN,TiおよびWの膜ストレスは、成膜時の基板温度や圧力、成膜パワーを変化させることにより、凡そ以下に示す応力を印加することが可能である。スパッタ法によって形成されたTiNに関しては100Mpa(引張り)〜50Gpa(圧縮)、CVD法によって形成されたTiNに関しては1〜2.5GPa(引張り)程度の応力を有する膜を得ることができる。また、スパッタ法によって形成されたTiに関しては100Mpa(引張り)〜50Gpa(圧縮)、CVD法によって形成されたTiに関しては100Mpa(引張り)〜3GPa(引張り)程度の応力を有する膜を得ることができる。さらに、スパッタ法によって形成されたWに関しては500Mpa(引張り)〜5Gpa(圧縮)、CVD法によって形成されたWに関しては100Mpa(引張り)〜2.5GPa(引張り)程度の応力を有する膜を得ることができる。そして、膜の種類(成膜条件)の組み合わせによって、応力の値を引張り応力から圧縮応力まで変化させることが可能である。   As the film stress of TiN, Ti, and W formed by sputtering or CVD, the stress shown below can be applied by changing the substrate temperature, pressure, and film formation power during film formation. A film having a stress of about 100 Mpa (tensile) to 50 GPa (compressed) with respect to TiN formed by the sputtering method and about 1 to 2.5 GPa (tensile) with respect to TiN formed by the CVD method can be obtained. Further, it is possible to obtain a film having a stress of about 100 Mpa (tensile) to 50 Gpa (compressed) with respect to Ti formed by sputtering, and about 100 Mpa (tensile) to 3 GPa (tensile) with respect to Ti formed by CVD. . Furthermore, a film having a stress of about 500 Mpa (tensile) to 5 Gpa (compressed) with respect to W formed by the sputtering method and about 100 Mpa (tensile) to 2.5 GPa (tensile) with respect to W formed by the CVD method is obtained. Can do. The stress value can be changed from a tensile stress to a compressive stress depending on the combination of film types (film forming conditions).

また、図1に示されるように、バリア層20や応力印加層21は金属膜によって構成されるので、ソース/ドレイン領域となる拡散層17上のシリサイド膜19を上部の配線層と接続する場合には、そのコンタクトとなる位置は応力印加層21の上面とすることができる。つまり、図1に示される構造であれば、シリサイド膜19の形成位置までコンタクトホールを形成する必要がない。   Further, as shown in FIG. 1, since the barrier layer 20 and the stress applying layer 21 are made of a metal film, the silicide film 19 on the diffusion layer 17 serving as the source / drain region is connected to the upper wiring layer. In addition, the position to be the contact can be the upper surface of the stress application layer 21. That is, with the structure shown in FIG. 1, it is not necessary to form a contact hole up to the formation position of the silicide film 19.

その結果、バリア層20や応力印加層21として窒化膜などの絶縁膜を用いる場合にはコンタクトホールの加工し易さなどの条件によって薄膜化が要求されるが、この実施の形態1のように金属膜を用いる場合には、バリア層20や応力印加層21がコンタクトの役割をも兼ねるので、窒化膜よりも厚膜を用いることが可能となる。そして、全体として、高ストレスをチャネル領域に印加することが可能となる。   As a result, when an insulating film such as a nitride film is used as the barrier layer 20 or the stress applying layer 21, a thin film is required depending on conditions such as the ease of processing of the contact hole. In the case of using a metal film, the barrier layer 20 and the stress application layer 21 also serve as a contact, so that it is possible to use a thicker film than the nitride film. As a whole, high stress can be applied to the channel region.

つぎに、この様な構造を有する半導体装置の製造方法について説明する。図2−1〜図2−3は、この発明による半導体装置の製造方法の手順を模式的に示す断面図である。まず、シリコン基板10上に公知のサリサイドプロセスによって、MOSトランジスタを形成する(図2−1)。つまり、シリコン基板10上に、所定の形状のゲート絶縁膜12とゲート電極13の積層体を形成し、この積層体の線幅方向両側側面にシリコン窒化膜などからなるオフセットスペーサ膜15を形成する。このオフセットスペーサ膜15は、ゲート絶縁膜12とゲート電極13の積層体の側面に板状に接し、その下部ではシリコン基板10表面に板状に接するL字型の断面構造を有する。ついで、積層体とオフセットスペーサ膜15とをマスクとして、シリコン基板10表面の浅い領域に所定の導電型のエクステンション部18を形成した後、オフセットスペーサ膜15の外側にシリコン酸化膜などからなるサイドウォール膜16を形成する。これによって、ゲート構造11が形成される。その後、シリコン基板10と反応してシリサイドを形成するNi(ニッケル)などの金属膜をシリコン基板10上に形成し、熱処理することで、ゲート電極13の上面と拡散層17の上面にシリサイド膜14,19を形成する。   Next, a method for manufacturing a semiconductor device having such a structure will be described. 2A to 2C are cross-sectional views schematically showing the procedure of the semiconductor device manufacturing method according to the present invention. First, a MOS transistor is formed on the silicon substrate 10 by a known salicide process (FIG. 2-1). That is, a laminated body of a gate insulating film 12 and a gate electrode 13 having a predetermined shape is formed on the silicon substrate 10, and an offset spacer film 15 made of a silicon nitride film or the like is formed on both side surfaces in the line width direction of the laminated body. . The offset spacer film 15 has an L-shaped cross-sectional structure in contact with the side surface of the stacked body of the gate insulating film 12 and the gate electrode 13 in a plate shape and in the lower part thereof in contact with the surface of the silicon substrate 10 in a plate shape. Next, an extension portion 18 of a predetermined conductivity type is formed in a shallow region on the surface of the silicon substrate 10 using the laminate and the offset spacer film 15 as a mask, and then a sidewall made of a silicon oxide film or the like outside the offset spacer film 15. A film 16 is formed. Thereby, the gate structure 11 is formed. Thereafter, a metal film such as Ni (nickel) that reacts with the silicon substrate 10 to form silicide is formed on the silicon substrate 10 and heat-treated, so that the silicide film 14 is formed on the upper surface of the gate electrode 13 and the upper surface of the diffusion layer 17. , 19 is formed.

ついで、MOSトランジスタが形成されたシリコン基板10上の全面にTiN/Ti膜からなるバリア層20をスパッタ法またはCVD法によって形成し、その後、必要に応じてN2またはNH3雰囲気中で300℃以上600℃以下の温度で熱処理を施す(図2−2)。 Next, a barrier layer 20 made of a TiN / Ti film is formed on the entire surface of the silicon substrate 10 on which the MOS transistor is formed by sputtering or CVD, and then at 300 ° C. in an N 2 or NH 3 atmosphere as necessary. Heat treatment is performed at a temperature of 600 ° C. or less (FIG. 2-2).

ついで、スパッタ法もしくはCVD法またはそれらの組み合わせによってW膜からなる応力印加層21を形成する(図2−3)。その後、ゲート電極13上のシリサイド膜14をストッパとしたCMP(Chemical Mechanical Polishing)法による研磨またはドライエッチングによるエッチバックによって、余剰な応力印加層21(W膜)およびバリア層20(TiN/Ti膜)を除去する。これによって、図1に示される構造が得られる。   Next, a stress applying layer 21 made of a W film is formed by sputtering, CVD, or a combination thereof (FIGS. 2-3). Thereafter, the surplus stress application layer 21 (W film) and the barrier layer 20 (TiN / Ti film) are etched back by CMP (Chemical Mechanical Polishing) using the silicide film 14 on the gate electrode 13 as a stopper or by dry etching. ) Is removed. As a result, the structure shown in FIG. 1 is obtained.

なお、ここでは、バリア層20と応力印加層21としてTi,TiN,Wを成膜する条件を適切に選択することによって、たとえばNMOSトランジスタの場合には、そのチャネル領域に引張り歪を、必要な応力で印加することが可能となる。同様に、PMOSトランジスタの場合には、そのチャネル領域に圧縮歪を必要な応力で印加することが可能となる。   Here, for example, in the case of an NMOS transistor, a tensile strain is required in the channel region by appropriately selecting the conditions for forming Ti, TiN, and W as the barrier layer 20 and the stress applying layer 21. It can be applied by stress. Similarly, in the case of a PMOS transistor, a compressive strain can be applied to the channel region with a necessary stress.

この実施の形態1によれば、ゲート構造間に金属膜を所定の条件で成膜することによって、ゲート構造11下のチャネル領域に、従来の絶縁膜などを用いた場合に比して大きな値の引張りまたは圧縮の応力(歪)を与えることができるという効果を有する。   According to the first embodiment, by forming a metal film between the gate structures under a predetermined condition, the value is larger than when a conventional insulating film or the like is used for the channel region under the gate structure 11. It is possible to apply a tensile stress or a compressive stress (strain).

また、従来から用いられているSiN膜130などの絶縁膜をストレス印加膜として用いた場合には、図7に示されるとおり、チャネル領域に大きなストレス(歪み)を印加しようとすると、ストレス印加膜の膜厚を厚くする必要がある。そして、膜厚を厚くすると、微細なデバイスでは、たとえば隣接するゲート構造111間のシリサイド膜119上で窒化膜(SiN膜130)が閉塞する形となり、図7の領域Rで示される部分に上層配線とのコンタクトホールを設ける際に、そのコンタクトホールの開口不良の原因となる。しかし、本実施の形態1の構造を用いれば、十分な大きさのストレス(歪み)をチャネル領域に印加できるだけでなく、隣接するゲート構造11間のコンタクトホールの開口不良について考慮する必要がない。   In addition, when an insulating film such as the SiN film 130 conventionally used is used as the stress application film, as shown in FIG. 7, when applying a large stress (strain) to the channel region, the stress application film It is necessary to increase the film thickness. When the film thickness is increased, in a fine device, for example, the nitride film (SiN film 130) is blocked on the silicide film 119 between the adjacent gate structures 111, and an upper layer is formed in a portion indicated by a region R in FIG. When a contact hole with a wiring is provided, it causes a defective opening of the contact hole. However, if the structure of the first embodiment is used, not only a sufficiently large stress (distortion) can be applied to the channel region, but there is no need to consider the contact hole opening defect between the adjacent gate structures 11.

実施の形態2.
図3は、この発明にかかる半導体装置の実施の形態2の断面構造を模式的に示す図である。この半導体装置は、実施の形態1の図1において、ゲート構造11のサイドウォール膜16を除去し、この除去した部分にもTiN/Ti膜からなるバリア層20とW膜からなる応力印加層21を形成したことを特徴とする。なお、図1と同一の構成要素には同一の符号を付してその説明を省略している。
Embodiment 2. FIG.
FIG. 3 is a diagram schematically showing a cross-sectional structure of the semiconductor device according to the second embodiment of the present invention. In this semiconductor device, in FIG. 1 of the first embodiment, the sidewall film 16 of the gate structure 11 is removed, and a barrier layer 20 made of a TiN / Ti film and a stress applying layer 21 made of a W film are also formed on the removed portion. Is formed. In addition, the same code | symbol is attached | subjected to the component same as FIG. 1, and the description is abbreviate | omitted.

この実施の形態2でも、実施の形態1と同様に、隣接するゲート構造11間のシリコン基板10(シリサイド膜19)上のバリア層20と応力印加層21とを構成する金属膜の成膜条件を適切に選択することで、ゲート構造11下のチャネル領域に引張りまたは圧縮の応力(歪)を印加することが可能となる。   Also in the second embodiment, as in the first embodiment, the film formation conditions of the metal film constituting the barrier layer 20 and the stress applying layer 21 on the silicon substrate 10 (silicide film 19) between the adjacent gate structures 11 are similar. It is possible to apply tensile or compressive stress (strain) to the channel region under the gate structure 11 by appropriately selecting.

つぎに、このような構造を有する半導体装置の製造方法について説明する。図4−1〜図4−4は、この発明による半導体装置の製造方法の手順を模式的に示す断面図である。まず、シリコン基板10上に公知のサリサイドプロセスによって、MOSトランジスタを形成する(図4−1)。つまり、シリコン基板10上に、所定の形状のゲート絶縁膜12とゲート電極13の積層体を形成し、この積層体の線幅方向両側側面にシリコン窒化膜などからなるオフセットスペーサ膜15を形成する。このオフセットスペーサ膜15は、ゲート絶縁膜12とゲート電極13の積層体の側面に板状に接し、その下部ではシリコン基板10表面に板状に接するL字型の断面構造を有する。ついで、積層体とオフセットスペーサ膜15とをマスクとして、シリコン基板10表面の浅い領域に所定の導電型のエクステンション部18を形成した後、オフセットスペーサ膜15の外側にシリコン酸化膜などからなるサイドウォール膜16を形成する。これによって、ゲート構造11が形成される。その後、シリコン基板10と反応してシリサイドを形成するNi(ニッケル)などの金属膜をシリコン基板10上に形成し、熱処理することで、ゲート電極13の上面と拡散層17の上面にシリサイド膜14,19を形成する。   Next, a method for manufacturing a semiconductor device having such a structure will be described. 4A to 4D are cross-sectional views schematically showing the procedure of the method for manufacturing the semiconductor device according to the present invention. First, a MOS transistor is formed on the silicon substrate 10 by a known salicide process (FIG. 4A). That is, a laminated body of a gate insulating film 12 and a gate electrode 13 having a predetermined shape is formed on the silicon substrate 10, and an offset spacer film 15 made of a silicon nitride film or the like is formed on both side surfaces in the line width direction of the laminated body. . The offset spacer film 15 has an L-shaped cross-sectional structure in contact with the side surface of the stacked body of the gate insulating film 12 and the gate electrode 13 in a plate shape and in the lower part thereof in contact with the surface of the silicon substrate 10 in a plate shape. Next, an extension portion 18 of a predetermined conductivity type is formed in a shallow region on the surface of the silicon substrate 10 using the laminate and the offset spacer film 15 as a mask, and then a sidewall made of a silicon oxide film or the like outside the offset spacer film 15. A film 16 is formed. Thereby, the gate structure 11 is formed. Thereafter, a metal film such as Ni (nickel) that reacts with the silicon substrate 10 to form silicide is formed on the silicon substrate 10 and heat-treated, so that the silicide film 14 is formed on the upper surface of the gate electrode 13 and the upper surface of the diffusion layer 17. , 19 is formed.

ついで、サイドウォール膜16をドライエッチングなどにより選択的に除去する(図4−2)。その後、バリア層20としてTiN/Ti膜をスパッタ法またはCVD法により形成し(図4−3)、必要に応じて300℃以上600以下の温度で、N2またはNH3雰囲気中で熱処理を施す。ついで、スパッタ法もしくはCVD法またはそれらの組み合わせによって、応力印加層21としてW膜を形成する(図4−4)。そして、ゲート電極13上のシリサイド膜14をストッパ膜としてCMP法による研磨またはドライエッチングによるエッチバックによって、余剰な応力印加層21とバリア層20とを除去する。以上によって、図3に示される半導体装置の構造を得ることができる。 Next, the sidewall film 16 is selectively removed by dry etching or the like (FIG. 4-2). Thereafter, a TiN / Ti film is formed as the barrier layer 20 by sputtering or CVD (FIG. 4-3), and heat treatment is performed in an N 2 or NH 3 atmosphere at a temperature of 300 ° C. to 600 ° C. as necessary. . Next, a W film is formed as the stress applying layer 21 by sputtering, CVD, or a combination thereof (FIGS. 4-4). Then, the surplus stress applying layer 21 and the barrier layer 20 are removed by polishing using CMP or dry etching using the silicide film 14 on the gate electrode 13 as a stopper film. As described above, the structure of the semiconductor device shown in FIG. 3 can be obtained.

この実施の形態2によれば、ゲート構造におけるサイドウォール膜を除去したので、隣接するゲート電極13間の空間に、実施の形態1の場合に比して体積の大きな金属膜を配置することが可能となり、さらに大きな応力をチャネル領域に印加することができるという効果を有する。   According to the second embodiment, since the sidewall film in the gate structure is removed, a metal film having a volume larger than that in the first embodiment can be arranged in the space between the adjacent gate electrodes 13. This has the effect that a larger stress can be applied to the channel region.

実施の形態3.
実施の形態1,2では、ゲート電極に関しては特に言及していないが、この実施の形態3では、ゲート電極としてW/TiN/Ti構造のメタルゲートを用いる場合を例に挙げて説明する。
Embodiment 3 FIG.
In the first and second embodiments, the gate electrode is not particularly described, but in the third embodiment, a case where a metal gate having a W / TiN / Ti structure is used as the gate electrode will be described as an example.

図5は、この発明にかかる半導体装置の実施の形態2の断面構造を模式的に示す図である。この半導体装置は、実施の形態2の図3において、ゲート構造11のポリシリコン膜からなるゲート電極13とシリサイド膜14とを除去し、そこにW/TiN/Ti膜からなるゲート電極13Aを埋め込んだことを特徴とする。より具体的には、ゲート電極は、オフセットスペーサ膜15とゲート絶縁膜12に接するように形成されたTi/TiN膜からなるバリア層20と、この内部の空間にW膜を埋め込んだ応力印加層21と、から構成される。また、この実施の形態3では、拡散層17の上面とゲート電極13の上面にはシリサイド膜14,19が形成されていないことを特徴とする。なお、図1と図3と同一の構成要素には同一の符号を付してその説明を省略している。   FIG. 5 is a diagram schematically showing a cross-sectional structure of the semiconductor device according to the second embodiment of the present invention. In FIG. 3 of the second embodiment, this semiconductor device removes the gate electrode 13 made of the polysilicon film and the silicide film 14 of the gate structure 11 and embeds the gate electrode 13A made of the W / TiN / Ti film therein. It is characterized by that. More specifically, the gate electrode includes a barrier layer 20 made of a Ti / TiN film formed so as to be in contact with the offset spacer film 15 and the gate insulating film 12, and a stress application layer in which a W film is embedded in the internal space. 21. Further, the third embodiment is characterized in that silicide films 14 and 19 are not formed on the upper surface of the diffusion layer 17 and the upper surface of the gate electrode 13. The same components as those in FIGS. 1 and 3 are denoted by the same reference numerals, and the description thereof is omitted.

この実施の形態3でも、実施の形態1,2と同様に、隣接するゲート電極間のシリコン基板10上のバリア層20と応力印加層21とを構成する金属膜の成膜条件を適切に選択することで、ゲート電極13下のチャネル領域に引張りまたは圧縮の応力(歪)を印加することが可能となる。   Also in this third embodiment, as in the first and second embodiments, the film formation conditions for the metal film constituting the barrier layer 20 and the stress applying layer 21 on the silicon substrate 10 between the adjacent gate electrodes are appropriately selected. This makes it possible to apply tensile or compressive stress (strain) to the channel region under the gate electrode 13.

つぎに、このような構造を有する半導体装置の製造方法について説明する。図6−1〜図6−4は、この発明による半導体装置の製造方法の手順を模式的に示す断面図である。まず、シリコン基板10上に公知のプロセスによって、MOSトランジスタを形成する(図6−1)。つまり、シリコン基板10上に、所定の形状のゲート絶縁膜12とゲート電極13の積層体を形成し、この積層体の線幅方向両側側面にシリコン窒化膜などからなるオフセットスペーサ膜15を形成する。このオフセットスペーサ膜15は、ゲート絶縁膜12とゲート電極13の積層体の側面に板状に接し、その下部ではシリコン基板10表面に板状に接するL字型の断面構造を有する。ついで、積層体とオフセットスペーサ膜15とをマスクとして、シリコン基板10表面の浅い領域に所定の導電型のエクステンション部18を形成した後、オフセットスペーサ膜15の外側にシリコン酸化膜などからなるサイドウォール膜16を形成する。これによって、ゲート構造11が形成される。   Next, a method for manufacturing a semiconductor device having such a structure will be described. 6A to 6D are cross-sectional views schematically showing the procedure of the method for manufacturing the semiconductor device according to the present invention. First, a MOS transistor is formed on the silicon substrate 10 by a known process (FIG. 6-1). That is, a laminated body of a gate insulating film 12 and a gate electrode 13 having a predetermined shape is formed on the silicon substrate 10, and an offset spacer film 15 made of a silicon nitride film or the like is formed on both side surfaces in the line width direction of the laminated body. . The offset spacer film 15 has an L-shaped cross-sectional structure in contact with the side surface of the stacked body of the gate insulating film 12 and the gate electrode 13 in a plate shape and in the lower part thereof in contact with the surface of the silicon substrate 10 in a plate shape. Next, an extension portion 18 of a predetermined conductivity type is formed in a shallow region on the surface of the silicon substrate 10 using the laminate and the offset spacer film 15 as a mask, and then a sidewall made of a silicon oxide film or the like outside the offset spacer film 15. A film 16 is formed. Thereby, the gate structure 11 is formed.

ついで、選択的エッチング技術によって、ゲート構造11のサイドウォール膜16を除去する(図6−2)。ここでは、オフセットスペーサ膜15をシリコン窒化膜で形成し、サイドウォール膜16をシリコン酸化膜で形成しているので、シリコン酸化膜のみをエッチングする条件でエッチングすることにより、サイドウォール膜16を除去することが可能である。   Next, the sidewall film 16 of the gate structure 11 is removed by a selective etching technique (FIG. 6-2). Here, since the offset spacer film 15 is formed of a silicon nitride film and the sidewall film 16 is formed of a silicon oxide film, the sidewall film 16 is removed by etching under the condition of etching only the silicon oxide film. Is possible.

続けて、選択的エッチング技術によって、ゲート構造のゲート電極13を除去する(図6−3)。ここでは、ゲート電極13をポリシリコン膜で形成し、オフセットスペーサ膜15をシリコン窒化膜で形成しているので、ポリシリコン膜のみをエッチングする条件でエッチングすることにより、ゲート電極13を除去することが可能である。これによって、図6−3に示されるように、シリコン基板10上にオフセットスペーサ膜15が直立した形状となる。   Subsequently, the gate electrode 13 of the gate structure is removed by a selective etching technique (FIG. 6-3). Here, since the gate electrode 13 is formed of a polysilicon film and the offset spacer film 15 is formed of a silicon nitride film, the gate electrode 13 is removed by etching under the condition of etching only the polysilicon film. Is possible. As a result, as shown in FIG. 6C, the offset spacer film 15 is erected on the silicon substrate 10.

ついで、スパッタ法やCVD法などによって、シリコン基板10上にTiN/Ti膜からなるバリア層20を形成する。このとき、TiN/Ti膜は、シリコン基板10上に直立したオフセットスペーサ膜15の側面とゲート絶縁膜12上と拡散層17上に形成される。続けて、スパッタ法もしくはCVD法またはこれらの組み合わせによって、TiN/Ti膜上にW膜からなる応力印加層21を形成する。このとき、応力印加層21は、その上面がオフセットスペーサ膜15の高さよりも高くなるように形成される(図6−4)。   Next, a barrier layer 20 made of a TiN / Ti film is formed on the silicon substrate 10 by sputtering or CVD. At this time, the TiN / Ti film is formed on the side surfaces of the offset spacer film 15 upstanding on the silicon substrate 10, the gate insulating film 12, and the diffusion layer 17. Subsequently, a stress application layer 21 made of a W film is formed on the TiN / Ti film by sputtering, CVD, or a combination thereof. At this time, the stress applying layer 21 is formed such that the upper surface thereof is higher than the height of the offset spacer film 15 (FIG. 6-4).

その後、CMP技術を用いて、オフセットスペーサ膜15の上面が露出するまで、応力印加層21とバリア層20の研磨を行うことによって、図5に示される構造の半導体装置が得られる。   Thereafter, the stress application layer 21 and the barrier layer 20 are polished by CMP until the upper surface of the offset spacer film 15 is exposed, whereby the semiconductor device having the structure shown in FIG. 5 is obtained.

この実施の形態3によれば、ゲート構造間にW/TiN/Ti構造を埋め込み、さらにゲート電極にW/TiN/Ti構造のメタルゲートを用いて、高ストレス金属膜とメタルゲートとを同時に形成するようにした。これによって、半導体装置の製造工程の簡略化を図るとともに、シリコン基板10のチャネル領域部分に印加する応力をさらに高めることができるという効果を有する。   According to the third embodiment, a high stress metal film and a metal gate are formed simultaneously by embedding a W / TiN / Ti structure between gate structures and further using a W / TiN / Ti structure metal gate as a gate electrode. I tried to do it. As a result, the manufacturing process of the semiconductor device can be simplified and the stress applied to the channel region portion of the silicon substrate 10 can be further increased.

なお、上述した実施の形態1〜3では、同じ導電型のMOSトランジスタの製造方法を例に挙げて説明したが、同一のシリコン基板上にPMOSトランジスタとNMOSトランジスタとを有する場合には、PMOSトランジスタ形成領域のバリア膜と応力印加層の形成と、NMOSトランジスタ形成領域のバリア膜と応力印加層の形成と、を分けて行えばよい。つまり、PMOSトランジスタ形成領域にバリア膜と応力印加層を形成する場合には、NMOSトランジスタ形成領域にマスクをし、PMOSトランジスタ形成領域のチャネル領域に圧縮応力が印加されるように、バリア膜と応力印加層の成膜条件を調節すればよい。また、NMOSトランジスタ形成領域にバリア膜と応力印加層を形成する場合には、PMOSトランジスタ形成領域にマスクをし、NMOSトランジスタ形成領域のチャネル領域に引張り応力が印加されるように、バリア膜と応力印加層の成膜条件を調節すればよい。   In the first to third embodiments described above, the manufacturing method of the same conductivity type MOS transistor has been described as an example. However, when the PMOS transistor and the NMOS transistor are provided on the same silicon substrate, the PMOS transistor The formation of the barrier film and the stress application layer in the formation region and the formation of the barrier film and the stress application layer in the NMOS transistor formation region may be performed separately. That is, when the barrier film and the stress application layer are formed in the PMOS transistor formation region, the mask is applied to the NMOS transistor formation region so that compressive stress is applied to the channel region of the PMOS transistor formation region. What is necessary is just to adjust the film-forming conditions of an application layer. In addition, when forming a barrier film and a stress application layer in the NMOS transistor formation region, the barrier film and the stress are applied so that a tensile stress is applied to the channel region of the NMOS transistor formation region by masking the PMOS transistor formation region. What is necessary is just to adjust the film-forming conditions of an application layer.

また、上述した実施の形態1〜3では、ゲート構造間に埋め込む金属膜をW/TiN/Ti構造としたが、これは一例であり、任意の金属膜を使用することができる。たとえばW膜がないTiN/Ti構造であってもよい。   In the first to third embodiments described above, the metal film embedded between the gate structures is a W / TiN / Ti structure, but this is an example, and any metal film can be used. For example, a TiN / Ti structure without a W film may be used.

以上のように、この発明にかかる半導体装置は、先端SoC(System on a Chip)や不揮発性メモリなどの高性能のMOSトランジスタを必要とする半導体装置に有用である。   As described above, the semiconductor device according to the present invention is useful for a semiconductor device that requires a high-performance MOS transistor such as an advanced SoC (System on a Chip) or a nonvolatile memory.

この発明による半導体装置の実施の形態1の断面構造を模式的に示す図である。It is a figure which shows typically the cross-section of Embodiment 1 of the semiconductor device by this invention. この発明による半導体装置の製造方法の手順を模式的に示す断面図である(その1)。It is sectional drawing which shows typically the procedure of the manufacturing method of the semiconductor device by this invention (the 1). この発明による半導体装置の製造方法の手順を模式的に示す断面図である(その2)。It is sectional drawing which shows typically the procedure of the manufacturing method of the semiconductor device by this invention (the 2). この発明による半導体装置の製造方法の手順を模式的に示す断面図である(その3)。It is sectional drawing which shows typically the procedure of the manufacturing method of the semiconductor device by this invention (the 3). この発明による半導体装置の実施の形態2の断面構造を模式的に示す図である。It is a figure which shows typically the cross-section of Embodiment 2 of the semiconductor device by this invention. この発明による半導体装置の製造方法の手順を模式的に示す断面図である(その1)。It is sectional drawing which shows typically the procedure of the manufacturing method of the semiconductor device by this invention (the 1). この発明による半導体装置の製造方法の手順を模式的に示す断面図である(その2)。It is sectional drawing which shows typically the procedure of the manufacturing method of the semiconductor device by this invention (the 2). この発明による半導体装置の製造方法の手順を模式的に示す断面図である(その3)。It is sectional drawing which shows typically the procedure of the manufacturing method of the semiconductor device by this invention (the 3). この発明による半導体装置の製造方法の手順を模式的に示す断面図である(その4)。It is sectional drawing which shows typically the procedure of the manufacturing method of the semiconductor device by this invention (the 4). この発明による半導体装置の実施の形態2の断面構造を模式的に示す図である。It is a figure which shows typically the cross-section of Embodiment 2 of the semiconductor device by this invention. この発明による半導体装置の製造方法の手順を模式的に示す断面図である(その1)。It is sectional drawing which shows typically the procedure of the manufacturing method of the semiconductor device by this invention (the 1). この発明による半導体装置の製造方法の手順を模式的に示す断面図である(その2)。It is sectional drawing which shows typically the procedure of the manufacturing method of the semiconductor device by this invention (the 2). この発明による半導体装置の製造方法の手順を模式的に示す断面図である(その3)。It is sectional drawing which shows typically the procedure of the manufacturing method of the semiconductor device by this invention (the 3). この発明による半導体装置の製造方法の手順を模式的に示す断面図である(その4)。It is sectional drawing which shows typically the procedure of the manufacturing method of the semiconductor device by this invention (the 4). 歪構造を有する半導体装置の断面構造の従来例を示す図である。It is a figure which shows the prior art example of the cross-section of the semiconductor device which has a distortion structure.

符号の説明Explanation of symbols

1 シリコン基板
11 ゲート構造
12 ゲート絶縁膜
13 ゲート電極
14,17 シリサイド膜
15 サイドウォール
16 拡散層
21 エッチングストッパ膜
22,22A〜22E 硬化処理後の層間絶縁膜
23,23A,23E 硬化処理前の層間絶縁膜
DESCRIPTION OF SYMBOLS 1 Silicon substrate 11 Gate structure 12 Gate insulating film 13 Gate electrode 14, 17 Silicide film 15 Side wall 16 Diffusion layer 21 Etching stopper film 22, 22A-22E Interlayer insulation film 23, 23A, 23E after hardening process Interlayer before hardening process Insulation film

Claims (12)

半導体基板上の所定の位置に形成されるゲート絶縁膜、前記ゲート絶縁膜上に所定の形状にパターニングされたゲート電極、前記ゲート絶縁膜と前記ゲート電極の積層体の線幅方向両側側面に形成されるオフセットスペーサ膜、および前記オフセットスペーサ膜の外側に形成されるサイドウォール膜を有するゲート構造と、前記ゲート構造の線幅方向両側の前記半導体基板表面付近に形成される拡散層と、を有する電界効果型トランジスタと、
前記サイドウォール膜と前記拡散層上に形成される金属膜と、
を備え、
前記金属膜は、前記サイドウォール膜と前記オフセットスペーサ膜によって前記ゲート電極と絶縁されていることを特徴とする半導体装置。
A gate insulating film formed at a predetermined position on a semiconductor substrate, a gate electrode patterned in a predetermined shape on the gate insulating film, and formed on both side surfaces in the line width direction of the stacked body of the gate insulating film and the gate electrode An offset spacer film, a gate structure having a sidewall film formed outside the offset spacer film, and a diffusion layer formed near the surface of the semiconductor substrate on both sides in the line width direction of the gate structure. A field effect transistor;
A metal film formed on the sidewall film and the diffusion layer;
With
The semiconductor device, wherein the metal film is insulated from the gate electrode by the sidewall film and the offset spacer film.
半導体基板上の所定の位置に形成されるゲート絶縁膜、前記ゲート絶縁膜上に所定の形状にパターニングされたゲート電極、および前記ゲート絶縁膜と前記ゲート電極の積層体の線幅方向両側側面とその両側の前記半導体基板の表面の一部に所定の厚さで形成されるオフセットスペーサ膜を有するゲート構造と、前記ゲート構造の線幅方向両側の前記半導体基板表面付近に形成される拡散層と、を有する電界効果型トランジスタと、
前記オフセットスペーサ膜の側面および上面と、前記拡散層の上面に形成される金属膜と、
を備え、
前記金属膜は、前記オフセットスペーサ膜によって前記ゲート電極と絶縁されていることを特徴とする半導体装置。
A gate insulating film formed at a predetermined position on a semiconductor substrate, a gate electrode patterned into a predetermined shape on the gate insulating film, and both side surfaces in the line width direction of the stacked body of the gate insulating film and the gate electrode; A gate structure having an offset spacer film formed with a predetermined thickness on a part of the surface of the semiconductor substrate on both sides thereof, and a diffusion layer formed near the surface of the semiconductor substrate on both sides in the line width direction of the gate structure; A field effect transistor having
Side surfaces and upper surface of the offset spacer film, a metal film formed on the upper surface of the diffusion layer,
With
The semiconductor device, wherein the metal film is insulated from the gate electrode by the offset spacer film.
前記ゲート電極は、前記金属膜によって構成されることを特徴とする請求項1または2に記載の半導体装置。   The semiconductor device according to claim 1, wherein the gate electrode is constituted by the metal film. 前記拡散層上の前記金属膜の上面は、前記ゲート電極の上面と同じ高さであることを特徴とする請求項1〜3のいずれか1つに記載の半導体装置。   The semiconductor device according to claim 1, wherein an upper surface of the metal film on the diffusion layer has the same height as an upper surface of the gate electrode. 異なる導電型の電界効果型トランジスタが同一の半導体基板上に形成され、
第1の導電型の電界効果型トランジスタの金属膜は、前記ゲート構造下部のチャネル領域に圧縮応力を印加し、第2の導電型の電界効果型トランジスタの金属膜は、前記ゲート構造下部のチャネル領域に引張り応力を印加するように、前記第1と第2の導電型の電界効果型トランジスタの金属膜はそれぞれ異なる条件で作製されることを特徴とする請求項1〜4のいずれか1つに記載の半導体装置。
Field effect transistors of different conductivity types are formed on the same semiconductor substrate,
The metal film of the first conductivity type field effect transistor applies compressive stress to the channel region below the gate structure, and the metal film of the second conductivity type field effect transistor corresponds to the channel below the gate structure. 5. The metal film of the first and second conductivity type field effect transistors is manufactured under different conditions so as to apply a tensile stress to the region. A semiconductor device according to 1.
前記金属膜は、
前記サイドウォール膜と前記拡散層上に形成される金属からなるバリア層と、
前記バリア層上に形成される金属からなる応力印加層と、
からなることを特徴とする請求項1〜5のいずれか1つに記載の半導体装置。
The metal film is
A barrier layer made of metal formed on the sidewall film and the diffusion layer;
A stress applying layer made of a metal formed on the barrier layer;
The semiconductor device according to claim 1, comprising:
前記バリア層は、Ti膜、TiN膜またはTiNとTiの積層膜のいずれかから構成されることを特徴とする請求項6に記載の半導体装置。   The semiconductor device according to claim 6, wherein the barrier layer is composed of any one of a Ti film, a TiN film, and a laminated film of TiN and Ti. 前記応力印加層は、W膜から構成されることを特徴とする請求項6または7に記載の半導体装置。   The semiconductor device according to claim 6, wherein the stress application layer includes a W film. 半導体基板上の所定の位置に形成されるゲート絶縁膜、前記ゲート絶縁膜上に所定の形状にパターニングされたゲート電極、前記ゲート絶縁膜と前記ゲート電極の積層体の線幅方向両側側面に形成されるオフセットスペーサ膜、および前記オフセットスペーサ膜の外側に形成されるサイドウォール膜を有するゲート構造と、前記ゲート構造の線幅方向両側の前記半導体基板表面付近に形成される拡散層と、を有する電界効果型トランジスタを形成する電界効果型トランジスタ形成工程と、
前記電界効果型トランジスタを形成した前記半導体基板上の全面に、前記ゲート構造下のチャネル領域に応力を印加する第1の金属膜を所定の厚さだけ形成する第1の金属膜形成工程と、
前記第1の金属膜上に、前記ゲート構造下のチャネル領域に応力を印加する第2の金属膜を、その上面が前記ゲート構造の高さよりも高くなるように形成する第2の金属膜形成工程と、
前記第2の金属膜と前記第1の金属膜とを、前記ゲート電極の表面が露出するまで除去する金属膜除去工程と、
を含むことを特徴とする半導体装置の製造方法。
A gate insulating film formed at a predetermined position on a semiconductor substrate, a gate electrode patterned into a predetermined shape on the gate insulating film, and formed on both side surfaces in the line width direction of the stacked body of the gate insulating film and the gate electrode An offset spacer film, a gate structure having a sidewall film formed outside the offset spacer film, and a diffusion layer formed near the surface of the semiconductor substrate on both sides in the line width direction of the gate structure. A field effect transistor forming step of forming a field effect transistor;
A first metal film forming step of forming a first metal film having a predetermined thickness on the entire surface of the semiconductor substrate on which the field effect transistor is formed;
Forming a second metal film on the first metal film, the second metal film for applying a stress to the channel region under the gate structure so that an upper surface thereof is higher than a height of the gate structure; Process,
Removing the second metal film and the first metal film until the surface of the gate electrode is exposed;
A method for manufacturing a semiconductor device, comprising:
前記電界効果型トランジスタ形成工程の後、前記第1の金属膜形成工程の前に、前記サイドウォール膜を除去するサイドウォール膜除去工程をさらに含むことを特徴とする請求項9に記載の半導体装置の製造方法。   10. The semiconductor device according to claim 9, further comprising a sidewall film removing step of removing the sidewall film after the field effect transistor forming step and before the first metal film forming step. Manufacturing method. 前記サイドウォール膜除去工程の後、前記第1の金属膜形成工程の前に、前記ゲート電極を除去するゲート電極除去工程をさらに含むことを特徴とする請求項10に記載の半導体装置の製造方法。   11. The method of manufacturing a semiconductor device according to claim 10, further comprising a gate electrode removal step of removing the gate electrode after the sidewall film removal step and before the first metal film formation step. . 前記半導体基板上に異なる導電型の電界効果型トランジスタが形成される際に、異なる導電型の電界効果型トランジスタごとに前記第1と第2の金属膜形成工程を実行することを特徴とする請求項9〜11のいずれか1つに記載の半導体装置の製造方法。   The step of forming the first and second metal films is performed for each of the field effect transistors of different conductivity types when field effect transistors of different conductivity types are formed on the semiconductor substrate. Item 12. A method for manufacturing a semiconductor device according to any one of Items 9 to 11.
JP2007054333A 2007-03-05 2007-03-05 Semiconductor device and manufacturing method thereof Pending JP2008218727A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007054333A JP2008218727A (en) 2007-03-05 2007-03-05 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007054333A JP2008218727A (en) 2007-03-05 2007-03-05 Semiconductor device and manufacturing method thereof

Publications (1)

Publication Number Publication Date
JP2008218727A true JP2008218727A (en) 2008-09-18

Family

ID=39838411

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007054333A Pending JP2008218727A (en) 2007-03-05 2007-03-05 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP2008218727A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011010407A1 (en) * 2009-07-23 2011-01-27 パナソニック株式会社 Semiconductor device and method for manufacturing same
JP2011044517A (en) * 2009-08-20 2011-03-03 Sony Corp Semiconductor device and method for manufacturing the same
CN103378101A (en) * 2012-04-20 2013-10-30 瑞萨电子株式会社 Semiconductor device and method of manufacturing the same
US9461138B2 (en) 2012-02-28 2016-10-04 Seiko Epson Corporation Non-volatile semiconductor memory with nitride sidewall contacting nitride layer of ONO gate stack and methods for producing the same
US9805927B2 (en) 2015-07-31 2017-10-31 Toshiba Memory Corporation Nonvolatile semiconductor memory device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011010407A1 (en) * 2009-07-23 2011-01-27 パナソニック株式会社 Semiconductor device and method for manufacturing same
JP2011044517A (en) * 2009-08-20 2011-03-03 Sony Corp Semiconductor device and method for manufacturing the same
US8896068B2 (en) 2009-08-20 2014-11-25 Sony Corporation Semiconductor device including source/drain regions and a gate electrode, and having contact portions
US9461138B2 (en) 2012-02-28 2016-10-04 Seiko Epson Corporation Non-volatile semiconductor memory with nitride sidewall contacting nitride layer of ONO gate stack and methods for producing the same
CN103378101A (en) * 2012-04-20 2013-10-30 瑞萨电子株式会社 Semiconductor device and method of manufacturing the same
CN103378101B (en) * 2012-04-20 2018-08-17 瑞萨电子株式会社 Semiconductor devices and its manufacturing method
US9805927B2 (en) 2015-07-31 2017-10-31 Toshiba Memory Corporation Nonvolatile semiconductor memory device

Similar Documents

Publication Publication Date Title
TWI275125B (en) Dual work-function metal gates
US8420473B2 (en) Replacement gate devices with barrier metal for simultaneous processing
US8148221B2 (en) Double anneal with improved reliability for dual contact etch stop liner scheme
KR20090048514A (en) A transistor having locally provided metal silicide region in contact areas and a method of forming the transistor
TW201250858A (en) Fabrication methods of integrated semiconductor structure
JP2007258267A (en) Semiconductor device and manufacturing method therefor
US8110459B2 (en) MOSFET having a channel region with enhanced stress and method of forming same
TW201011817A (en) A novel solution for polymer and capping layer removing with wet dipping in hk metal gate etching process
US10868133B2 (en) Semiconductor device structure and method for forming the same
JP2008218727A (en) Semiconductor device and manufacturing method thereof
JP2008098396A (en) Semiconductor device and its manufacturing method
JP2007200946A (en) Semiconductor device and its manufacturing method
JP2008103504A (en) Semiconductor device and its manufacturing method
JP2008021935A (en) Electronic device and manufacturing method thereof
CN110473829B (en) Method for producing interlayer film
JP2010141102A (en) Semiconductor device and method of manufacturing the same
JP4765598B2 (en) Manufacturing method of semiconductor device
JP5132943B2 (en) Semiconductor device
WO2012088778A1 (en) Semiconductor device and manufacturing method thereof
TWI798543B (en) Semiconductor device and method for manufacturing the same
JP2009164364A (en) Semiconductor device and its manufacturing method
JP2007005626A (en) Semiconductor device and its manufacturing method
JP2009170523A (en) Semiconductor device and method for manufacturing the same
TWI357624B (en) Metal-oxide-semiconductor transistor and method of
JP2005093815A (en) Semiconductor device manufacturing method