JP2010219363A - Substrate heat treatment apparatus - Google Patents

Substrate heat treatment apparatus Download PDF

Info

Publication number
JP2010219363A
JP2010219363A JP2009065483A JP2009065483A JP2010219363A JP 2010219363 A JP2010219363 A JP 2010219363A JP 2009065483 A JP2009065483 A JP 2009065483A JP 2009065483 A JP2009065483 A JP 2009065483A JP 2010219363 A JP2010219363 A JP 2010219363A
Authority
JP
Japan
Prior art keywords
heat treatment
substrate
plate
treatment apparatus
unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009065483A
Other languages
Japanese (ja)
Other versions
JP4811881B2 (en
Inventor
Koichi Mizunaga
耕市 水永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009065483A priority Critical patent/JP4811881B2/en
Priority to KR1020100023535A priority patent/KR101529338B1/en
Priority to CN2010101404790A priority patent/CN101840847B/en
Publication of JP2010219363A publication Critical patent/JP2010219363A/en
Application granted granted Critical
Publication of JP4811881B2 publication Critical patent/JP4811881B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

<P>PROBLEM TO BE SOLVED: To make an apparatus compact and to increase the number of substrates to be stored by reducing the arrangement space of a heat treatment plate as much as possible, and to improve the flexibility and throughput of a flow passage of a heating medium. <P>SOLUTION: In the substrate heat treatment apparatus including the heat treatment plate, for example, a cooling plate 14 for heat-treating a semiconductor wafer to predetermined temperature while mounted with the wafer, the cooling plate 14 includes: a cooling plate body 64 which is formed by stacking a plurality of thin plates 1 made of a thermally conductive material through, for example, diffusion bonding, and has a supply flow passage 61a and a discharge flow passage 62a of the heating medium, a refrigerant flow passage 63, and a hole 64f for suction formed by stacking the thin plates 1. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

この発明は、例えば半導体ウエハやフラット・パネル・ディスプレー基板(FPD基板)等の基板熱処理装置に関するものである。   The present invention relates to a substrate heat treatment apparatus such as a semiconductor wafer or a flat panel display substrate (FPD substrate).

一般に、フォトリソグラフィ技術においては、基板にフォトレジストを塗布し、これにより形成されたレジスト膜を所定の回路パターンに応じて露光し、この露光パターンを現像処理することによりレジスト膜に所望の回路パターンを形成する、一連の工程によって行われている。   In general, in photolithography technology, a photoresist is applied to a substrate, a resist film formed thereby is exposed according to a predetermined circuit pattern, and a desired circuit pattern is formed on the resist film by developing this exposure pattern. It is performed by a series of steps to form.

このような処理は、一般に基板にレジスト液を塗布して処理するレジスト塗布処理ユニット、レジスト塗布処理終了後の基板や露光処理後の基板を加熱処理する加熱処理ユニット、加熱処理後の基板を所定温度にまで冷却処理する冷却処理ユニット、基板に現像液を供給して現像処理する現像処理ユニット等が個別に複数段に積み重ねられた状態で備えられており、これらの各処理ユニット間における基板の搬送、並びに基板の搬入出は、基板搬送手段によって行われている。   Such processing is generally performed by applying a resist coating processing unit for applying a resist solution to a substrate, processing a substrate after completion of resist coating processing or a substrate after exposure processing, and a substrate after heating processing. A cooling processing unit for cooling processing to a temperature, a developing processing unit for supplying a developing solution to the substrate and developing the substrate, etc. are provided in a state where they are individually stacked in a plurality of stages. The conveyance and the loading / unloading of the substrate are performed by the substrate conveying means.

従来のこの種の基板処理装置として、複数の基板を収容可能なキャリアを配置するキャリアブロックと、上記キャリアから取り出された基板にレジスト塗布・現像処理等を施す上記処理ユニットを具備する処理ブロックと、上記キャリアブロック及び処理ブロック内にそれぞれ配設され、基板を鉛直方向及び水平方向に移動可能な基板搬送手段と、上記キャリアブロックと処理ブロックの間に配置され、複数の基板が載置可能であって、かつ基板を所定温度にまで冷却する前に、基板を待機して予備冷却する冷却プレートを有する基板収納部と、を具備する基板熱処理装置が知られている(例えば、特許文献1参照)。   As a conventional substrate processing apparatus of this type, a carrier block that arranges a carrier that can accommodate a plurality of substrates, and a processing block that includes the processing unit that applies a resist coating / developing process to the substrate taken out of the carrier, The substrate block is disposed in the carrier block and the processing block, and the substrate transfer means can move the substrate in the vertical direction and the horizontal direction. The substrate block is disposed between the carrier block and the processing block, and a plurality of substrates can be placed thereon. In addition, there is known a substrate heat treatment apparatus including a substrate storage unit having a cooling plate that waits and precools a substrate before cooling the substrate to a predetermined temperature (see, for example, Patent Document 1). ).

特許文献1記載の基板処理装置によれば、各処理ユニットにおける基板の処理時間の時間差に対応して基板を効率よく搬送し、スループットの向上を図るようにするために、複数の処理ユニットを備えた処理ブロックとインターフェイスブロックとの間又はインターフェイスブロック内に、複数の基板を収容可能な複数段状の基板収納部を設けて、該基板収納部の2方向から基板収納部に対して異なる基板搬送手段によって基板の受渡しを行うことができる。   According to the substrate processing apparatus described in Patent Document 1, a plurality of processing units are provided in order to efficiently transport a substrate in accordance with the time difference between the processing times of the substrates in each processing unit and improve throughput. A substrate storage unit having a plurality of stages that can store a plurality of substrates is provided between the processing block and the interface block or in the interface block, and different substrate transports are performed with respect to the substrate storage unit from two directions of the substrate storage unit. The substrate can be delivered by means.

また、一般に冷却プレート内に冷媒流体の流路を形成する手段として、プレート本体に設けられた冷却管収納導路に冷却管を内設する構造のものが知られている(例えば、特許文献2参照)。この特許文献2記載の技術と同様の構造として冷却プレートの裏面に冷却管収納溝を設け、この冷却管収納溝内に、熱伝導性に富みかつ屈曲変形容易な例えば銅あるいはアルミニウム製の冷却管を内設して冷媒流体の流路を形成することができる。   In general, as a means for forming a flow path of the refrigerant fluid in the cooling plate, a structure in which a cooling pipe is provided in a cooling pipe storage conduit provided in the plate body is known (for example, Patent Document 2). reference). As a structure similar to the technique described in Patent Document 2, a cooling pipe housing groove is provided on the back surface of the cooling plate, and a cooling pipe made of, for example, copper or aluminum, which is rich in thermal conductivity and easily bent and deformed, is provided in the cooling pipe housing groove. Can be provided to form a flow path for the refrigerant fluid.

特開2007−288029号公報(特許請求の範囲、図1)JP 2007-288029 A (Claims, FIG. 1) 特開平11−233520号公報(特許請求の範囲、図1)JP 11-233520 A (Claims, FIG. 1)

しかしながら、特許文献1記載の装置においては、基板収納部に配設される冷却プレートに複数(例えば3本)の支持ピンを昇降可能に立設し、これら支持ピンによって基板を支持するようにして、基板搬送手段との間で基板の受渡しを行っている。そのため、基板の受渡しに時間を要する懸念があった。また、冷却プレートと支持ピンの昇降駆動機構分の高さが必要となるので、装置全体の高さにより冷却プレートの数を多くすることができず、高生産に対応できないという問題があった。更には、支持ピンの昇降駆動機構の保守・点検に注意を払う必要がある。   However, in the apparatus described in Patent Document 1, a plurality of (for example, three) support pins are erected up and down on a cooling plate disposed in the substrate storage unit, and the substrate is supported by these support pins. The substrate is transferred to and from the substrate transfer means. Therefore, there is a concern that it takes time to deliver the substrate. Further, since the height of the cooling plate and the support pins for the support pins is required, there is a problem that the number of cooling plates cannot be increased due to the height of the entire apparatus, and high production cannot be handled. Furthermore, it is necessary to pay attention to maintenance / inspection of the lifting / lowering drive mechanism of the support pin.

また、特許文献2記載の構造においては、冷却管の肉厚が必要なため、冷却プレートの厚みを薄くできない。また、冷却管の屈曲に限界がある上、冷却管の設置に手間を要するという問題がある。   Moreover, in the structure of patent document 2, since the thickness of a cooling pipe is required, the thickness of a cooling plate cannot be made thin. In addition, there is a limit to the bending of the cooling pipe, and there is a problem that it takes time to install the cooling pipe.

この発明は、上記事情に鑑みてなされたもので、熱処理プレートの配設スペースを可能な限り小さくして、装置の小型化、基板の収納数の増大を図れるようにし、かつ、熱媒体の流路の自由度及びスループットの向上を図れるようにした基板熱処理装置を提供することを目的とする。   The present invention has been made in view of the above circumstances, and can reduce the arrangement space of the heat treatment plate as much as possible to reduce the size of the apparatus and increase the number of substrates accommodated. An object of the present invention is to provide a substrate heat treatment apparatus capable of improving the degree of freedom of the path and the throughput.

上記課題を解決するために、請求項1記載の発明は、載置された基板を保持しつつ該基板を所定温度に熱処理する熱処理プレートを具備する基板熱処理装置であって、上記熱処理プレートは、複数の熱伝導性材料からなる薄板を積層してなり、かつ、上記薄板を積層することで開設される、熱媒体の供給流路、排出流路及びこれら流路に連通する熱媒体流路と吸着用孔を形成する熱処理プレート本体を具備する、ことを特徴とする。   In order to solve the above-mentioned problem, the invention according to claim 1 is a substrate heat treatment apparatus comprising a heat treatment plate for heat-treating the substrate to a predetermined temperature while holding the placed substrate, the heat treatment plate comprising: A heat medium supply channel, a discharge channel, and a heat medium channel communicating with these channels, each of which is formed by laminating a plurality of thin plates made of a heat conductive material and laminating the thin plates; It has a heat treatment plate body for forming a suction hole.

また、請求項2記載の発明は、請求項1記載の基板熱処理装置において、複数の熱伝導性材料からなる薄板を積層してなり、かつ、上記薄板を積層することで開設され、上記吸着用孔に連通する吸引流路を形成する基板吸着プレートを更に具備する、ことを特徴とする。   According to a second aspect of the present invention, there is provided the substrate heat treatment apparatus according to the first aspect, wherein the thin plate made of a plurality of thermally conductive materials is laminated, and the thin plate is laminated to establish the adsorption heat treatment apparatus. It further comprises a substrate suction plate that forms a suction channel communicating with the hole.

このように構成することにより、熱処理プレートを構成する薄板に例えばエッチング処理によって孔やスリット等を開設(加工)して、複数の薄板を積層することによって開設される、熱媒体の供給流路、排出流路及びこれら流路に連通する熱媒体流路を形成することができる。また、吸着用孔及びこの吸着用孔に連通する吸引流路を形成することができる。   By configuring in this way, a heat medium supply flow path that is established by opening (processing) holes, slits, and the like in the thin plate constituting the heat treatment plate by laminating a plurality of thin plates, for example, A discharge flow path and a heat medium flow path communicating with these flow paths can be formed. Further, it is possible to form a suction hole and a suction channel communicating with the suction hole.

請求項3記載の発明は、請求項1又は2記載の基板熱処理装置において、上記熱処理プレートの最上層及び最下層の薄板が内部層の薄板に比べて耐強度性を有する材料からなる薄板である、ことを特徴とする。   According to a third aspect of the present invention, in the substrate heat treatment apparatus according to the first or second aspect, the uppermost layer and the lowermost layer of the heat treatment plate are thin plates made of a material having resistance to strength compared to the thin plate of the inner layer. It is characterized by that.

このように構成することにより、熱処理プレートに剛性をもたせることができると共に、プレート表面を硬度にすることができる。   By comprising in this way, while being able to give rigidity to a heat processing plate, a plate surface can be made into hardness.

請求項4記載の発明は、請求項1記載の基板熱処理装置において、上記熱処理プレート本体の最上層及び最下層の薄板が内部層の薄板に比べて耐強度性を有する材料からなる薄板である、ことを特徴とする。   The invention according to claim 4 is the substrate heat treatment apparatus according to claim 1, wherein the uppermost layer and the lowermost layer of the heat treatment plate main body are thin plates made of a material having strength resistance compared to the inner layer of the thin plate. It is characterized by that.

このように構成することにより、熱処理プレート本体ひいては熱処理プレートに剛性をもたせることができると共に、プレート表面を硬度にすることができる。   By comprising in this way, while being able to give rigidity to the heat processing plate main body and by extension, the heat processing plate, the plate surface can be made into hardness.

また、この発明において、上記熱処理プレートにおける上部側の複数の薄板における任意の複数箇所に取付穴を設け、この取付穴に基板を支持する支持ピンを嵌合立設する方が好ましい(請求項5)。   Further, in the present invention, it is preferable that mounting holes are provided at a plurality of locations in the plurality of thin plates on the upper side of the heat treatment plate, and support pins that support the substrate are fitted and erected in the mounting holes. ).

このように構成することにより、熱媒体の流路及び吸引流路と同時に支持ピンの取付穴を成形することができる。   By comprising in this way, the attachment hole of a support pin can be shape | molded simultaneously with the flow path and suction | inhalation flow path of a heat medium.

また、この発明において、上記薄板を銅製薄板にて形成することができ(請求項6)、また、熱処理プレート又は熱処理プレート本体の最上層及び最下層の薄板を、内部層の薄板に比べて耐強度性を有する材料からなる薄板にて形成する場合は、最上層及び最下層の薄板を例えば、ステンレス,チタン又はニッケル製薄板にて形成することができ、上記内部層の薄板を銅製薄板にて形成することができる(請求項7)。   In the present invention, the thin plate can be formed of a copper thin plate (Claim 6), and the uppermost layer and the lowermost layer of the heat treatment plate or the heat treatment plate main body are more resistant to the thin plate of the inner layer. In the case of forming a thin plate made of a material having strength, the uppermost layer and the lowermost layer thin plate can be formed of, for example, a stainless steel, titanium or nickel thin plate, and the thin plate of the inner layer is formed of a copper thin plate. It can be formed (Claim 7).

また、この発明において、上記薄板同士を積層結合する手段はろう付けでも可能であるが、好ましくは拡散接合にて結合する方がよい(請求項8)。ここで、拡散接合とは、薄板の素材同士を密着させ、薄板素材の融点以下の温度条件で、塑性変形をできるだけ生じない程度に加圧して、接合面間に生じる原子の拡散を利用して接合する方法である。   In the present invention, the means for laminating and bonding the thin plates may be brazed, but it is preferable that the thin plates are bonded by diffusion bonding. Here, diffusion bonding refers to utilizing the diffusion of atoms generated between the joining surfaces by bringing the materials of the thin plates into close contact with each other and applying pressure to the extent that plastic deformation does not occur as much as possible under temperature conditions below the melting point of the thin plate materials. It is a method of joining.

このように薄板同士を拡散接合にて結合することにより、薄板同士の積層部を一体化した状態で結合することができる。   Thus, by joining thin plates by diffusion bonding, the laminated portions of the thin plates can be joined in an integrated state.

加えて、この発明において、上記供給流路及び排出流路の少なくとも供給流路の供給口と熱媒体供給源とを、温度切換機構を介設した供給管路にて接続してもよい(請求項9)。ここで、切換機構とは、供給流路に供給される熱媒体の温度を切り換える機構をいい、例えば切換弁あるいは温調機構等によって形成することができる。   In addition, in the present invention, at least a supply port of the supply flow path and the discharge flow path may be connected to a heat medium supply source by a supply pipe line provided with a temperature switching mechanism (claim). Item 9). Here, the switching mechanism refers to a mechanism that switches the temperature of the heat medium supplied to the supply flow path, and can be formed by, for example, a switching valve or a temperature control mechanism.

このように構成することにより、基板の熱処理温度を変更することができ、基板の熱処理を目的に応じて容易に変更することができる。   With this configuration, the heat treatment temperature of the substrate can be changed, and the heat treatment of the substrate can be easily changed according to the purpose.

この発明によれば、上記のように構成されているので、以下のような顕著な効果が得られる。   According to this invention, since it is configured as described above, the following remarkable effects can be obtained.

(1)請求項1,2,6記載の発明によれば、熱媒体の流路と吸引流路を一体に形成した板厚の薄い熱処理プレートを具備するので、熱処理プレートの配設スペースを可能な限り小さくして、装置の小型化、基板の収納数の増大を図ることができる。また、複雑な形状の熱媒体の流路を容易に形成することができるので、熱媒体の流路の自由度の向上が図れると共に、基板への伝熱効率の向上が図れ、スループットの向上を図ることができる。   (1) According to the first, second, and sixth aspects of the invention, since the heat treatment plate having a thin plate thickness in which the heat medium flow path and the suction flow path are integrally formed is provided, a space for arranging the heat treatment plate is possible. By making it as small as possible, it is possible to reduce the size of the apparatus and increase the number of substrates stored. In addition, since the heat medium flow path having a complicated shape can be easily formed, the degree of freedom of the heat medium flow path can be improved, the heat transfer efficiency to the substrate can be improved, and the throughput can be improved. be able to.

(2)請求項3,4,7記載の発明によれば、熱処理プレートに剛性をもたせることができると共に、プレート表面を硬度にすることができるので、上記(1)に加えて、更に熱処理プレートの平面精度の向上により熱処理の精度の向上が図れる。   (2) According to the inventions of claims 3, 4 and 7, since the heat treatment plate can be given rigidity and the surface of the plate can be made hard, in addition to (1) above, the heat treatment plate is further provided. By improving the planar accuracy, the accuracy of heat treatment can be improved.

(3)請求項5記載の発明によれば、熱媒体の流路及び吸引流路と同時に支持ピンの取付穴を成形することができるので、熱処理プレートの表面に容易に支持ピンを突設することができると共に、支持ピンの位置決めを高精度にすることができる。   (3) According to the invention described in claim 5, since the mounting hole of the support pin can be formed simultaneously with the flow path of the heat medium and the suction flow path, the support pin is easily projected on the surface of the heat treatment plate. In addition, the support pins can be positioned with high accuracy.

(4)請求項8記載の発明によれば、熱処理プレートを構成する薄板同士を拡散接合にて結合することにより、薄板同士の積層部を一体化した状態で結合することができるので、熱処理プレートの平面性を高精度にすることができ、熱処理の効率の向上が図れる。   (4) According to the invention described in claim 8, since the thin plates constituting the heat treatment plate are joined together by diffusion bonding, the laminated portions of the thin plates can be joined in an integrated state. The flatness of the film can be made highly accurate, and the efficiency of the heat treatment can be improved.

(5)請求項9記載の発明によれば、基板の熱処理温度を変更することができ、基板の熱処理を目的に応じて容易に変更することができるので、同一の熱処理プレートを用いて異なる温度の熱処理を施すことができる。   (5) According to the invention described in claim 9, since the heat treatment temperature of the substrate can be changed and the heat treatment of the substrate can be easily changed according to the purpose, different temperatures are used using the same heat treatment plate. The heat treatment can be performed.

この発明に係る基板熱処理装置を適用したレジスト塗布・現像処理装置の一例を示す概略平面図である。1 is a schematic plan view showing an example of a resist coating / development processing apparatus to which a substrate heat treatment apparatus according to the present invention is applied. 上記レジスト塗布・現像処理装置の概略斜視図である。It is a schematic perspective view of the said resist application | coating / development processing apparatus. 上記レジスト塗布・現像処理装置の概略図であって、処理部の単位ブロックのみを平面状態で重ねて示す概略構成図である。It is the schematic of the said resist application | coating / development processing apparatus, Comprising: It is a schematic block diagram which overlaps and shows only the unit block of a process part in a planar state. 上記レジスト塗布・現像処理装置における処理ブロックの単位ブロック(DEV層)を示す概略斜視図である。It is a schematic perspective view which shows the unit block (DEV layer) of the processing block in the said resist application | coating / development processing apparatus. この発明に係る基板熱処理装置を備える基板収納部を示す概略側面図である。It is a schematic side view which shows a substrate accommodating part provided with the substrate heat processing apparatus which concerns on this invention. 上記基板収納部を示す概略斜視図である。It is a schematic perspective view which shows the said board | substrate accommodating part. 上記レジスト塗布・現像処理装置における処理ブロックの単位ブロック(COT層)を示す概略平面図である。It is a schematic plan view showing a unit block (COT layer) of a processing block in the resist coating / developing apparatus. 上記レジスト塗布・現像処理装置における処理ブロックの処理ユニットの一例を示す概略断面図である。It is a schematic sectional drawing which shows an example of the process unit of the process block in the said resist application | coating / development processing apparatus. この発明における冷却プレートの一例を示す側面図である。It is a side view which shows an example of the cooling plate in this invention. この発明における冷却プレートの要部を示す断面図である。It is sectional drawing which shows the principal part of the cooling plate in this invention. この発明における冷却プレート本体と、メインアーム及び受渡しアームとの関係を示す概略平面図である。It is a schematic plan view which shows the relationship between the cooling plate main body in this invention, a main arm, and a delivery arm. この発明におけるベースブロック、冷却プレート本体及び基板吸着プレートの積層状態を示す分解斜視図である。It is a disassembled perspective view which shows the lamination | stacking state of the base block in this invention, a cooling plate main body, and a board | substrate adsorption | suction plate. この発明における冷却プレート本体の別の積層状態を示す断面図である。It is sectional drawing which shows another lamination state of the cooling plate main body in this invention. この発明に係る基板熱処理装置の別の実施形態の要部を示す概略断面図である。It is a schematic sectional drawing which shows the principal part of another embodiment of the substrate heat processing apparatus which concerns on this invention. この発明に係る基板熱処理装置の更に別の実施形態の要部を示す概略断面図である。It is a schematic sectional drawing which shows the principal part of further another embodiment of the substrate heat processing apparatus which concerns on this invention.

以下に、この発明の実施形態を添付図面に基づいて詳細に説明する。ここでは、この発明に係る基板熱処理装置を半導体ウエハのレジスト塗布・現像処理装置に適用した場合について説明する。   Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. Here, a case where the substrate heat treatment apparatus according to the present invention is applied to a semiconductor wafer resist coating / development processing apparatus will be described.

上記レジスト塗布・現像処理装置は、図1ないし図8に示すように、基板である半導体ウエハW(以下にウエハWという)が例えば13枚密閉収容されたキャリア20を搬入出するためのキャリアブロックS1と、複数個例えば5個の単位ブロックB1〜B5を縦に配列して構成された処理ブロックS2と、インターフェイスブロックS3と、第2の処理ブロックである露光装置S4と、を備えている。   As shown in FIGS. 1 to 8, the resist coating / developing apparatus includes a carrier block for carrying in and out a carrier 20 in which, for example, 13 semiconductor wafers W (hereinafter referred to as wafers W) are hermetically contained. S1, a processing block S2 configured by vertically arranging a plurality of, for example, five unit blocks B1 to B5, an interface block S3, and an exposure apparatus S4 as a second processing block.

上記キャリアブロックS1には、複数個(例えば4個)のキャリア20を載置可能な載置台21と、この載置台21から見て前方の壁面に設けられる開閉部22と、開閉部22を介してキャリア20からウエハWを取り出すためのトランスファーアームCとが設けられている。このトランスファーアームCは、後述する基板収納部を構成する棚ユニットU5に設けられた受渡しステージTRS1,TRS2との間でウエハWの受け渡しを行うように、水平のX,Y方向及び鉛直のZ方向に移動自在、並びに鉛直軸回りに回転自在に移動自在に構成されている。   The carrier block S <b> 1 includes a mounting table 21 on which a plurality of (for example, four) carriers 20 can be mounted, an opening / closing unit 22 provided on a wall surface in front of the mounting table 21, and an opening / closing unit 22. And a transfer arm C for taking out the wafer W from the carrier 20. This transfer arm C has horizontal X and Y directions and vertical Z directions so as to transfer wafers W to and from transfer stages TRS1 and TRS2 provided on a shelf unit U5 that constitutes a substrate storage unit to be described later. It is configured to be freely movable and to be rotatable about the vertical axis.

キャリアブロックS1の奥側には筐体24にて周囲を囲まれる処理ブロックS2が接続されている。処理ブロックS2は、この例では、下方側から、下段側の2段が現像処理を行うための第1及び第2の単位ブロック(DEV層)B1,B2、レジスト膜の下層側に形成される反射防止膜(以下「第1の反射防止膜」という)の形成処理を行うための第1の反射防止膜形成用単位ブロックである第3の単位ブロック(BCT層)B3、レジスト液の塗布処理を行うための塗布膜形成用単位ブロックである第4の単位ブロック(COT層)B4、レジスト膜の上層側に形成される反射防止膜(以下「第2の反射防止膜」という)の形成処理を行うための第2の反射防止膜形成用単位ブロックである第5の単位ブロック(TCT層)B5として割り当てられている。ここで上記DEV層B1,B2が現像処理用の単位ブロック、BCT層B3、COT層B4、TCT層B5が塗布膜形成用の単位ブロックに相当する。   A processing block S2 surrounded by a casing 24 is connected to the back side of the carrier block S1. In this example, the processing block S2 is formed on the lower side of the resist film from the lower side to the first and second unit blocks (DEV layers) B1 and B2 for performing development processing on the lower two stages. Third unit block (BCT layer) B3, which is a unit block for forming a first antireflection film for forming an antireflection film (hereinafter referred to as “first antireflection film”), a coating process of a resist solution Processing for forming a fourth unit block (COT layer) B4, which is a unit block for forming a coating film for performing coating, and an antireflection film (hereinafter referred to as "second antireflection film") formed on the upper layer side of the resist film Is assigned as a fifth unit block (TCT layer) B5, which is a second antireflection film forming unit block for performing the above. Here, the DEV layers B1 and B2 correspond to unit blocks for development processing, and the BCT layer B3, COT layer B4, and TCT layer B5 correspond to unit blocks for coating film formation.

次に、第1〜第5の単位ブロックB(B1〜B5)の構成について説明する。これら各単位ブロックB1〜B5は、前面側に配設され、ウエハWに対して薬液を塗布するための液処理ユニットと、背面側に配設され、上記液処理ユニットにて行なわれる処理の前処理及び後処理を行なうための各種の加熱ユニット等の処理ユニットと、前面側に配設される上記液処理ユニットと背面側に配設される加熱ユニット等の処理ユニットとの間でウエハWの受け渡しを行うための専用の基板搬送手段であるメインアームA1,A3〜A5と、を備えている。   Next, the configuration of the first to fifth unit blocks B (B1 to B5) will be described. Each of these unit blocks B1 to B5 is disposed on the front surface side, and a liquid processing unit for applying a chemical solution to the wafer W, and disposed on the back surface side, before the processing performed in the liquid processing unit. Between the processing units such as various heating units for processing and post-processing, and the liquid processing unit disposed on the front side and the processing units such as the heating unit disposed on the back side, the wafer W Main arms A1, A3 to A5, which are dedicated substrate transfer means for delivering, are provided.

これら単位ブロックB1〜B5は、この例では、各単位ブロックB1〜B5の間で、上記液処理ユニットと、加熱ユニット等の処理ユニットと、搬送手段との配置レイアウトが同じに形成されている。ここで、配置レイアウトが同じであるとは、各処理ユニットにおけるウエハWを載置する中心つまり液処理ユニットにおけるウエハWの保持手段であるスピンチャックの中心や、加熱ユニットにおける加熱プレートや冷却プレートの中心が同じという意味である。   In this example, the unit blocks B1 to B5 are formed in the same arrangement layout of the liquid processing unit, the processing unit such as a heating unit, and the conveying means between the unit blocks B1 to B5. Here, the same arrangement layout means that the center of the wafer W in each processing unit, that is, the center of the spin chuck that is a holding means of the wafer W in the liquid processing unit, the heating plate and the cooling plate in the heating unit, and so on. It means that the center is the same.

上記DEV層B1,B2は同様に構成されており、この場合、共通に形成されている。このDEV層B1,B2は、図1に示すように、DEV層B1,B2のほぼ中央には、DEV層B1,B2の長さ方向(図中Y方向)に、キャリアブロックS1とインターフェイスブロックS3とを接続するためのウエハWの搬送領域R1(メインアームA1の水平移動領域)が形成されている。   The DEV layers B1 and B2 are similarly configured, and in this case, are formed in common. As shown in FIG. 1, the DEV layers B1 and B2 are substantially at the center of the DEV layers B1 and B2, and in the length direction of the DEV layers B1 and B2 (Y direction in the figure), the carrier block S1 and the interface block S3. A transfer area R1 (horizontal movement area of the main arm A1) for the wafer W is formed.

この搬送領域R1のキャリアブロックS1側から見た両側には、手前側(キャリアブロックS1側)から奥側に向かって右側に、上記液処理ユニットとして、現像処理を行うための複数個の現像処理部を備えた現像ユニット31が例えば2段設けられている。各単位ブロックは、手前側から奥側に向かって左側に、順に加熱系のユニットを多段化した例えば4個の棚ユニットU1,U2,U3,U4が設けられており、この図では現像ユニット31にて行なわれる処理の前処理及び後処理を行なうための各種ユニットを複数段、例えば3段ずつに積層した構成とされている。このようにして上記搬送領域R1によって現像ユニット31と棚ユニットU1〜U4が区画されており、搬送領域R1に洗浄エアを噴出させて排気することにより、当該領域内のパーティクルの浮遊を抑制するようになっている。   On both sides of the transport region R1 viewed from the carrier block S1 side, a plurality of development processes for performing the development process as the liquid processing unit on the right side from the front side (carrier block S1 side) to the back side. For example, two stages of developing units 31 having a section are provided. Each unit block is provided with, for example, four shelf units U1, U2, U3, U4 in which heating units are multi-staged in order from the front side to the left side. The various units for performing the pre-processing and post-processing of the processing performed in the above are stacked in a plurality of stages, for example, three stages. In this way, the developing unit 31 and the shelf units U1 to U4 are partitioned by the transport region R1, and the cleaning air is ejected and exhausted to the transport region R1, thereby suppressing the floating of particles in the region. It has become.

上述の前処理及び後処理を行うための各種ユニットの中には、例えば図4に示すように、露光後のウエハWを加熱処理するポストエクスポージャーベーキングユニットなどと呼ばれている加熱ユニット(PEB1)や、現像処理後のウエハWの水分を飛ばすために加熱処理するポストベーキングユニット等と呼ばれている加熱ユニット(POST1)等が含まれている。これら加熱ユニット(PEB1、POST1)等の各処理ユニットは、それぞれ処理容器51内に収容されており、棚ユニットU1〜U4は、上記処理容器51が3段ずつ積層されて構成され、各処理容器51の搬送領域R1に臨む面にはウエハ搬出入口52が形成されている。   Among the various units for performing the above pre-processing and post-processing, for example, as shown in FIG. 4, a heating unit (PEB1) called a post-exposure baking unit that heat-processes the wafer W after exposure. In addition, a heating unit (POST1) called a post-baking unit or the like for performing heat treatment to remove moisture of the wafer W after development processing is included. Each processing unit such as the heating unit (PEB1, POST1) is accommodated in a processing container 51, and the shelf units U1 to U4 are configured by stacking the processing containers 51 in three stages. A wafer loading / unloading port 52 is formed on the surface facing the transfer region R1.

上記搬送領域R1には上記メインアームA1が設けられている。このメインアームA1は、当該DEV層B1内の全てのモジュール(ウエハWが置かれる場所)、例えば棚ユニットU1〜U4の各処理ユニット、現像ユニット31,棚ユニットU5の各部との間でウエハの受け渡しを行うように構成されており、このために水平のX,Y方向及び鉛直のZ方向に移動自在、鉛直軸回りに回転自在に構成されている。   The main arm A1 is provided in the transfer region R1. This main arm A1 is used for all modules in the DEV layer B1 (where the wafer W is placed), for example, each processing unit of the shelf units U1 to U4, developing unit 31, and each part of the shelf unit U5. For this purpose, it is configured to be movable in the horizontal X and Y directions and the vertical Z direction, and to be rotatable about the vertical axis.

また、上記塗布膜形成用の単位ブロックB3〜B5は、いずれも同様に構成されており、上述の現像処理用の単位ブロックB1,B2と同様に構成されている。具体的にCOT層B4を例にして図3,図7及び図8を参照して説明すると、液処理ユニットとしてウエハWに対してレジスト液の塗布処理を行うための塗布ユニット32が設けられ、COT層B4の棚ユニットU1〜U4には、レジスト液塗布後のウエハWを加熱処理する加熱ユニット(CLHP4)や、レジスト液とウエハWとの密着性を向上させるための疎水化処理ユニット(ADH)を備えており、DEV層B1,B2と同様に構成されている。すなわち、塗布ユニット32と加熱ユニット(CLHP4)及び疎水化処理ユニット(ADH)とをメインアームA4の搬送領域R4(メインアームA4の水平移動領域)によって区画するように構成されている。そして、このCOT層B4では、メインアームA4により、棚ユニットU5の受渡しステージTRS1と、塗布ユニット32と、棚ユニットU1〜U4の各処理ユニットと、に対してウエハWの受け渡しが行われるようになっている。なお上記疎水化処理ユニット(ADH)は、HMDS雰囲気内でガス処理を行なうものであるが、塗布膜形成用の単位ブロックB3〜B5のいずれかに設けられればよい。   The unit blocks B3 to B5 for forming the coating film are all configured in the same manner, and are configured in the same manner as the unit blocks B1 and B2 for development processing described above. Specifically, the COT layer B4 will be described as an example with reference to FIGS. 3, 7, and 8. As a liquid processing unit, a coating unit 32 for performing a resist liquid coating process on the wafer W is provided. The shelf units U1 to U4 of the COT layer B4 include a heating unit (CLHP4) that heat-treats the wafer W after application of the resist solution, and a hydrophobic treatment unit (ADH) that improves the adhesion between the resist solution and the wafer W. ), And is configured in the same manner as the DEV layers B1 and B2. That is, the coating unit 32, the heating unit (CLHP4), and the hydrophobizing unit (ADH) are configured to be partitioned by the transfer region R4 of the main arm A4 (horizontal movement region of the main arm A4). In the COT layer B4, the wafer W is delivered to the delivery stage TRS1 of the shelf unit U5, the coating unit 32, and the processing units of the shelf units U1 to U4 by the main arm A4. It has become. The hydrophobic treatment unit (ADH) performs gas treatment in an HMDS atmosphere, but may be provided in any one of the unit blocks B3 to B5 for forming a coating film.

また、BCT層B3は、液処理ユニットとして、ウエハWに対して第1の反射防止膜の形成処理を行うための第1の反射防止膜形成ユニット33が設けられ、棚ユニットU1〜U4には、反射防止膜形成処理後のウエハWを加熱処理する加熱ユニット(CLHP3)を備えており、COT層B4と同様に構成されている。すなわち、第1の反射防止膜形成ユニット33と加熱ユニット(CLHP3)とをメインアームA3の搬送領域R3(メインアームA3の水平移動領域)によって区画するように構成されている。そして、この第3の単位ブロックB3では、メインアームA3により、棚ユニットU5の受渡しステージTRS1と、第1の反射防止膜形成ユニット33と、棚ユニットU1〜U4の各処理ユニットと、に対してウエハWの受け渡しが行われるようになっている。   The BCT layer B3 is provided with a first antireflection film forming unit 33 for performing a first antireflection film forming process on the wafer W as a liquid processing unit. A heating unit (CLHP3) for heat-treating the wafer W after the antireflection film formation processing is provided, and is configured in the same manner as the COT layer B4. That is, the first antireflection film forming unit 33 and the heating unit (CLHP3) are configured to be partitioned by the transport area R3 of the main arm A3 (horizontal movement area of the main arm A3). And in this 3rd unit block B3, with respect to each delivery unit TRS1 of shelf unit U5, the 1st antireflection film formation unit 33, and each processing unit of shelf units U1-U4 by main arm A3, The delivery of the wafer W is performed.

また、TCT層B5は、液処理ユニットとして、ウエハWに対して第2の反射防止膜の形成処理を行うための第2の反射防止膜形成ユニット34が設けられ、棚ユニットU1〜U4には、反射防止膜形成処理後のウエハWを加熱処理する加熱ユニット(CLPH5)や、周辺露光装置(WEE)を備えている以外はCOT層B4と同様に構成されている。すなわち、第2の反射防止膜形成ユニット34と加熱ユニット(CLHP5)及び周辺露光装置(WEE)とをメインアームA5の搬送領域R5(メインアームA5の水平移動領域)によって区画するように構成されている。そして、このTCT層B5では、メインアームA5により、棚ユニットU5の受渡しステージTRS1と、第2の反射防止膜形成ユニット34と、棚ユニットU1〜U4の各処理ユニットと、に対してウエハWの受け渡しが行われるようになっている。   The TCT layer B5 is provided with a second antireflection film forming unit 34 for performing a second antireflection film forming process on the wafer W as a liquid processing unit. The configuration is the same as that of the COT layer B4 except that it includes a heating unit (CLPH5) for heating the wafer W after the antireflection film forming process and a peripheral exposure device (WEE). That is, the second antireflection film forming unit 34, the heating unit (CLHP5), and the peripheral exposure device (WEE) are configured to be partitioned by the transport region R5 of the main arm A5 (horizontal movement region of the main arm A5). Yes. In the TCT layer B5, the main arm A5 causes the wafer W to be transferred to the delivery stage TRS1 of the shelf unit U5, the second antireflection film forming unit 34, and the processing units of the shelf units U1 to U4. Delivery is to be performed.

また、処理ブロックS2には、棚ユニットU5に設けられた受渡しステージTRS2とインターフェイスブロックS3側の棚ユニットU6との間でウエハWの受け渡しを行う基板搬送手段であるシャトルアームAが水平のY方向に移動自在及び鉛直のZ方向に昇降自在に配設されている。   Further, in the processing block S2, a shuttle arm A, which is a substrate transfer means for delivering the wafer W between the delivery stage TRS2 provided in the shelf unit U5 and the shelf unit U6 on the interface block S3 side, has a horizontal Y direction. It can be moved freely and can be moved up and down in the vertical Z direction.

なお、シャトルアームAの搬送領域と上記メインアームA1,A3〜A5の搬送領域R1,R3〜R5は、それぞれ区画されている。   The transfer area of the shuttle arm A and the transfer areas R1, R3 to R5 of the main arms A1, A3 to A5 are partitioned.

また、処理ブロックS2とキャリアブロックS1との間の領域は、ウエハWの受渡し領域R2となっていて、この領域R2には、図1に示すように、トランスファーアームCとメインアームA1,A3〜A5,シャトルアームAがアクセスできる位置に基板収納部である棚ユニットU5が設けられると共に、この棚ユニットU5に対してウエハWの受け渡しを行うための基板受渡し手段をなす受渡しアームDを備えている。この場合、棚ユニットU5は、メインアームA1,A3〜A5,シャトルアームAの水平移動方向(Y方向)の軸線上に配置されており、メインアームA1,A3〜A5,シャトルアームAの進退方向(Y方向)に第1の開口部11が設けられると共に、受渡しアームDの進退方向(X方向)に第2の開口部12が設けられている。   Further, the area between the processing block S2 and the carrier block S1 is a transfer area R2 for the wafer W. In this area R2, as shown in FIG. 1, the transfer arm C and the main arms A1, A3 to A3. A shelf unit U5, which is a substrate storage unit, is provided at a position accessible by A5 and the shuttle arm A, and a delivery arm D serving as a substrate delivery means for delivering the wafer W to the shelf unit U5 is provided. . In this case, the shelf unit U5 is disposed on the axis of the horizontal movement direction (Y direction) of the main arms A1, A3 to A5 and the shuttle arm A, and the main arms A1, A3 to A5 and the forward and backward movement directions of the shuttle arm A A first opening 11 is provided in the (Y direction), and a second opening 12 is provided in the forward / backward direction (X direction) of the delivery arm D.

また、上記棚ユニットU5は、図3,図5及び図6に示すように、各単位ブロックB1〜B5のメインアームA1,A3〜A5及びシャトルアームAとの間でウエハWの受け渡しを行うように、例えば2個の受渡しステージTRS1,TRS2を備えており、また、単位ブロックB1〜B5に対応すべく複数に区画された収納ブロック10a〜10dを備えると共に、各収納ブロック10a〜10dに、複数の載置棚13、及びレジスト塗布前にウエハWを所定温度に調整するためや、反射防止膜形成処理前にウエハWを所定温度に調整するためや、露光処理後に加熱処理されたウエハWを所定温度に調整するための、この発明における熱処理プレートである冷却プレート14(CPL1〜CPL6)を備えている。   Further, as shown in FIGS. 3, 5 and 6, the shelf unit U5 delivers the wafer W between the main arms A1, A3 to A5 and the shuttle arm A of each of the unit blocks B1 to B5. In addition, for example, two delivery stages TRS1 and TRS2 are provided, and storage blocks 10a to 10d divided into a plurality of units corresponding to the unit blocks B1 to B5 are provided, and a plurality of storage blocks 10a to 10d are provided. In order to adjust the wafer W to a predetermined temperature before the application of the mounting shelf 13 and the resist, to adjust the wafer W to a predetermined temperature before the antireflection film forming process, and to heat the wafer W heated after the exposure process. The cooling plate 14 (CPL1-CPL6) which is the heat processing plate in this invention for adjusting to predetermined temperature is provided.

この場合、第1収納ブロック10aは第1及び第2の単位ブロックB1,B2(DEV層)に対応し、第2収納ブロック10bは第3の単位ブロックB3(BCT層)に対応し、第3収納ブロック10cは第4の単位ブロックB4(COT層)に対応し、第4収納ブロック10dは第5の単位ブロックB5(TCT層)に対応している。   In this case, the first storage block 10a corresponds to the first and second unit blocks B1 and B2 (DEV layer), the second storage block 10b corresponds to the third unit block B3 (BCT layer), and the third The storage block 10c corresponds to the fourth unit block B4 (COT layer), and the fourth storage block 10d corresponds to the fifth unit block B5 (TCT layer).

第1収納ブロック10aに配設される冷却プレート14A(CPL7,CPL8)は、枠体16に架設された保持板17上に支持柱17aを介して横設されており、この冷却プレート14A(CPL7,CPL8)には3本の支持ピン15が立設されている。この冷却プレート14A(CPL7,CPL8)はメインアームA1又は受渡しアームDとの間でウエハWの受渡しの機能を有している。   The cooling plate 14A (CPL7, CPL8) disposed in the first storage block 10a is horizontally provided on a holding plate 17 installed on the frame 16 via a support column 17a. The cooling plate 14A (CPL7) , CPL8), three support pins 15 are provided upright. The cooling plate 14A (CPL7, CPL8) has a function of transferring the wafer W between the main arm A1 and the transfer arm D.

また、冷却プレート14(CPL1〜CPL6)は、図6,図9,図10及び図12に示すように、熱媒体である冷媒流体例えば恒温の冷却水の供給流路61及び排出流路62を有するベースブロック60と、該ベースブロック60の上部に積層され、供給流路61及び排出流路62に連通する冷媒流路63を有する1又は複数(図では2個の場合を示す)の冷却プレート本体64と、冷却プレート本体64の下面に一体に形成される基板吸着プレート67と、ベースブロック60と冷却プレート本体64及び基板吸着プレート67を着脱可能に連結する連結部材すなわち連結ボルト66と、を具備している。なお、冷却プレート14は、恒温の冷却水を循環させる水冷方式のものを使用することができるが、水冷方式以外の方式であってもよい。   Further, the cooling plate 14 (CPL1 to CPL6) includes a supply flow path 61 and a discharge flow path 62 of a coolant fluid as a heat medium, for example, constant temperature cooling water, as shown in FIGS. 6, 9, 10 and 12. One or a plurality of (two are shown in the figure) cooling plates each having a base block 60 and a refrigerant channel 63 stacked on top of the base block 60 and communicating with the supply channel 61 and the discharge channel 62 A main body 64, a substrate suction plate 67 integrally formed on the lower surface of the cooling plate main body 64, and a connecting member that connects the base block 60, the cooling plate main body 64 and the substrate suction plate 67 in a detachable manner, that is, a connection bolt 66. It has. In addition, although the cooling plate 14 can use the thing of the water cooling system which circulates constant temperature cooling water, systems other than a water cooling system may be used.

この場合、上記ベースブロック60は、例えばステンレス製部材にて形成されており、一つの角部がカットされた略立方体にて形成されている。このベースブロック60の一側面には、図示しない冷却水供給源に接続する供給配管71が接続する供給口60aと、排出配管72が接続する排出口60bと、図示しない吸引手段例えば真空ポンプに接続する吸引配管73が接続する吸引口60cが設けられている。また、供給口60aに連通する供給流路61と、排出口60bに連通する排出流路62とが、ベースブロック60の上面に開口するように垂直方向に平行に設けられている。これら供給流路61と排出流路62の開口端部には、シール部材であるOリング(図示せず)が設けられている。   In this case, the base block 60 is formed of a stainless steel member, for example, and is formed of a substantially cube with one corner cut. On one side of the base block 60, a supply port 60a connected to a supply pipe 71 connected to a cooling water supply source (not shown), a discharge port 60b connected to a discharge pipe 72, and a suction means (not shown) such as a vacuum pump are connected. A suction port 60c to which the suction pipe 73 to be connected is connected is provided. Further, a supply channel 61 communicating with the supply port 60 a and a discharge channel 62 communicating with the discharge port 60 b are provided in parallel in the vertical direction so as to open on the upper surface of the base block 60. An O-ring (not shown), which is a seal member, is provided at the opening ends of the supply flow path 61 and the discharge flow path 62.

上記冷却プレート本体64は、例えば銅製部材にて形成されており、図10及び図12に示すように、ベースブロック60の上面の形状と同じ形状の略矩形状の取付基部64aと、取付基部64aの角部から外方に突出する腕部64bの先端に形成される円板部64cとで構成されている。この冷却プレート本体64の取付基部64aには、ベースブロック60の供給流路61に連通する供給流路61aが設けられ、腕部64b及び円板部64cには供給流路61aに連通する冷媒流路63が設けられ、円板部64cにはベースブロック60の排出流路62に連通する排出流路62aが設けられている。   The cooling plate main body 64 is formed of, for example, a copper member. As shown in FIGS. 10 and 12, the substantially rectangular mounting base 64a having the same shape as the upper surface of the base block 60, and the mounting base 64a. And a disc portion 64c formed at the tip of the arm portion 64b protruding outward from the corner portion. The mounting base portion 64a of the cooling plate body 64 is provided with a supply flow passage 61a that communicates with the supply flow passage 61 of the base block 60, and the arm portion 64b and the disc portion 64c have a refrigerant flow that communicates with the supply flow passage 61a. A passage 63 is provided, and a discharge passage 62 a communicating with the discharge passage 62 of the base block 60 is provided in the disc portion 64 c.

また、冷却プレート本体64の円板部64cの上面の複数箇所例えば5箇所には、円板部64c表面との間に僅かに隙間例えば50μm〜100μmをおいてウエハWを支持する支持ピンであるプロキシミティピン64eが後述する取付穴64h内に嵌挿されて突設されている。また、円板部64cにおける冷媒流路63を回避した位置の4箇所には、吸着用孔64fが穿設されている。また、取付基部64aの辺部側の4箇所には連結ボルト66を貫挿する取付孔75が設けられている。   Further, a plurality of, for example, five locations on the upper surface of the disk portion 64c of the cooling plate body 64 are support pins that support the wafer W with a slight gap, for example, 50 μm to 100 μm between the surface of the disk portion 64c. Proximity pins 64e are fitted and protruded into mounting holes 64h described later. In addition, suction holes 64f are formed at four positions in the disk portion 64c where the refrigerant flow path 63 is avoided. Also, mounting holes 75 through which the connecting bolts 66 are inserted are provided at four locations on the side of the mounting base 64a.

この場合、冷却プレート本体64は、板厚が例えば0.5mmの複数枚例えば10枚の銅製薄板1を積層してなり、後述する基板吸着プレート67を構成する銅製薄板1に積層されて拡散接合によって結合されている。すなわち、予めエッチング処理によって供給流路61a,排出流路62a,冷媒流路63,吸着用孔64f又はプロキシミティピン64eの取付穴64hの一部を構成する孔やスリット等を開設(加工)した銅製薄板1と、孔やスリット等を有しない銅製薄板1同士を密着させ、銅の融点以下の温度条件で、塑性変形をできるだけ生じない程度に加圧して、接合面間に生じる原子の拡散を利用して接合する拡散接合方法によって結合されている。なお、上記のような銅製薄板1の積層構造では、拡散接合の際に加圧できない箇所があると、接合強度が低下するので、流路の壁2は接合しろSを少なくとも5mm確保する必要がある(図10参照)。また、銅製の冷却プレート本体64の場合、流路上の板厚Tは、拡散接合時の銅軟化を抑えるために、最低1mmは必要である(図10参照)。   In this case, the cooling plate main body 64 is formed by laminating a plurality of, for example, 10 copper thin plates 1 having a thickness of, for example, 0.5 mm, and is laminated on the copper thin plate 1 constituting the substrate suction plate 67 described later to be diffusion bonded. Are bound by. That is, a hole, a slit, or the like that constitutes a part of the attachment hole 64h of the supply channel 61a, the discharge channel 62a, the refrigerant channel 63, the suction hole 64f, or the proximity pin 64e is opened (processed) in advance by an etching process. The copper thin plate 1 and the copper thin plate 1 having no holes, slits, or the like are brought into close contact with each other, pressed under a temperature condition equal to or lower than the melting point of copper to the extent that plastic deformation does not occur as much as possible, and diffusion of atoms generated between the joining surfaces. They are joined by the diffusion joining method of joining using. In addition, in the laminated structure of the copper thin plate 1 as described above, if there is a portion where pressure cannot be applied during diffusion bonding, the bonding strength decreases. Therefore, the flow path wall 2 needs to secure at least 5 mm of the joining margin S. Yes (see FIG. 10). In the case of the copper cooling plate main body 64, the plate thickness T on the flow path needs to be at least 1 mm in order to suppress copper softening during diffusion bonding (see FIG. 10).

上記説明では、冷却プレート本体64が複数枚の銅製薄板1のみを拡散接合によって積層結合した場合について説明したが、図13に示すように、冷却プレート本体64の最上層及び最下層の薄板1a,1bを内層の薄板1cに比べて耐強度性を有する材料、例えばステンレス,チタン又はニッケル製薄板にて形成してもよい。このように冷却プレート本体64の最上層及び最下層の薄板1a,1bを内層の薄板1cに比べて耐強度性を有する材料にて形成することにより、冷却プレート本体64ひいては冷却プレート14に強度をもたせることができると共に、平面精度の向上により熱処理の精度の向上を図ることができる。この場合、ステンレス,チタン又はニッケルは銅に対して熱膨張率が異なるが、ステンレス,チタン又はニッケル製の薄板1a,1bを上下に配置することで、拡散接合時の歪みを抑制することができる。   In the above description, the cooling plate body 64 has been described with respect to a case where only a plurality of copper thin plates 1 are laminated and bonded by diffusion bonding. However, as shown in FIG. 13, the uppermost and lowermost thin plates 1a, 1b may be formed of a material having resistance to strength as compared with the inner thin plate 1c, for example, a thin plate made of stainless steel, titanium, or nickel. In this way, the uppermost and lowermost thin plates 1a and 1b of the cooling plate main body 64 are formed of a material having strength resistance compared to the inner thin plate 1c, whereby the strength of the cooling plate main body 64 and thus the cooling plate 14 is increased. In addition, the accuracy of the heat treatment can be improved by improving the planar accuracy. In this case, although the thermal expansion coefficient of stainless steel, titanium, or nickel is different from that of copper, the strain at the time of diffusion bonding can be suppressed by arranging the thin plates 1a, 1b made of stainless steel, titanium, or nickel vertically. .

また、冷却プレート本体64の円板部64cの外周の6箇所には、棚ユニットU5の第1の開口部11から進入するメインアームメインアームA1,A3〜A5(以下、符号A1で代表する)、及び棚ユニットU5の第2の開口部12から進入する受渡しアームDが、冷却プレート14にウエハWを受け渡す際の昇降移動の干渉を回避するための切欠き64gが設けられている(図11参照)。この場合、受渡しアームDのアーム本体90は、一方の湾曲アーム片91が他方の湾曲アーム片92より先端側に延在する変形馬蹄形状に形成されると共に、両アーム片91,92の先端側下部及びアーム本体90の基部側下部の3箇所にウエハWを支持する支持爪93を設けている。また、メインアームA1のアーム本体80は、馬蹄形状に突出する一対の湾曲アーム片81,82の先端側下部及びアーム本体80の基部側下部の4箇所にウエハWを支持する支持爪83を設けている。なお、冷却プレート本体64の円板部64cの外周に設けられる6箇所の切欠き64gは、メインアームA1の支持爪83及び受渡しアームDの支持爪93に対応して設けられている。   Further, main arm main arms A1, A3 to A5 (hereinafter, represented by reference numeral A1) entering from the first opening 11 of the shelf unit U5 are provided at six locations on the outer periphery of the disc portion 64c of the cooling plate body 64. In addition, a notch 64g is provided for avoiding the interference of the up-and-down movement when the delivery arm D entering from the second opening 12 of the shelf unit U5 delivers the wafer W to the cooling plate 14 (FIG. 11). In this case, the arm main body 90 of the delivery arm D is formed in a deformed horseshoe shape in which one curved arm piece 91 extends from the other curved arm piece 92 to the distal end side, and the distal end side of both arm pieces 91 and 92 Supporting claws 93 for supporting the wafer W are provided at three locations on the lower part and the lower part on the base side of the arm main body 90. In addition, the arm main body 80 of the main arm A1 is provided with support claws 83 for supporting the wafer W at four locations on the lower end side of the pair of curved arm pieces 81 and 82 projecting in a horseshoe shape and on the lower base side of the arm main body 80. ing. The six notches 64g provided on the outer periphery of the disc portion 64c of the cooling plate main body 64 are provided corresponding to the support claws 83 of the main arm A1 and the support claws 93 of the delivery arm D.

このように冷却プレート本体64の円板部64cの外周に切欠き64gを設けることにより、支持ピンを要することなく、冷却プレート14に対するメインアームA1及び受渡しアームDのウエハWの受渡しを行うことができる。   Thus, by providing the notch 64g on the outer periphery of the disc portion 64c of the cooling plate main body 64, the wafer W of the main arm A1 and the delivery arm D can be delivered to the cooling plate 14 without requiring support pins. it can.

上記基板吸着プレート67は、例えば銅製部材にて形成されており、図10及び図12に示すように、ベースブロック60の上面の形状と同じ形状の略矩形状の取付基部67aと、取付基部67aの角部から外方に突出する腕部67bの先端に形成される略円形の吸着部67cとで構成されている。取付基部67aには、ベースブロック60の供給流路61と連通する供給流路61aと、ベースブロック60の排出流路62と冷却プレート本体64の冷媒流路とを連通する排出流路62aが設けられている。   The substrate suction plate 67 is formed of a copper member, for example, and as shown in FIGS. 10 and 12, a substantially rectangular mounting base 67a having the same shape as the upper surface of the base block 60, and a mounting base 67a. It is comprised with the substantially circular adsorption | suction part 67c formed in the front-end | tip of the arm part 67b which protrudes outward from the corner | angular part. The attachment base 67 a is provided with a supply flow path 61 a that communicates with the supply flow path 61 of the base block 60, and a discharge flow path 62 a that communicates the discharge flow path 62 of the base block 60 and the refrigerant flow path of the cooling plate body 64. It has been.

なお、基板吸着プレート67の取付基部67aの辺部側の4箇所には連結ボルト66を貫挿する取付孔75が設けられている。また、基板吸着プレート67には、ベースブロック60に設けられた吸引口60cと連通し、かつ冷却プレート本体64に設けられた吸着用孔64fに連通する吸引流路67dが設けられている。   Note that mounting holes 75 through which the connecting bolts 66 are inserted are provided at four locations on the side of the mounting base 67 a of the substrate suction plate 67. In addition, the substrate suction plate 67 is provided with a suction channel 67 d that communicates with a suction port 60 c provided in the base block 60 and communicates with a suction hole 64 f provided in the cooling plate main body 64.

この場合、基板吸着プレート67は、冷却プレート本体64と同様に、板厚が例えば0.5mmの複数枚例えば10枚の銅製薄板1を積層してなり、冷却プレート本体64を構成する銅製薄板1に積層されて拡散接合によって結合されている。すなわち、予めエッチング処理によって吸着用孔64fに連通する吸引流路67dの一部を構成する孔やスリット等を開設(加工)した銅製薄板1と、孔やスリット等を有しない銅製薄板1同士を密着させ、銅の融点以下の温度条件で、塑性変形をできるだけ生じない程度に加圧して、接合面間に生じる原子の拡散を利用して接合する拡散接合方法によって結合されている。   In this case, similarly to the cooling plate body 64, the substrate suction plate 67 is formed by stacking a plurality of, for example, 10 copper thin plates 1 having a thickness of 0.5 mm, for example, and the copper thin plate 1 constituting the cooling plate main body 64. And bonded by diffusion bonding. That is, the copper thin plate 1 in which a hole, a slit, or the like constituting a part of the suction channel 67d communicating with the suction hole 64f in advance by etching is opened (processed), and the copper thin plate 1 having no hole, a slit, or the like are connected to each other. Bonding is performed by a diffusion bonding method in which pressure is applied to the extent that plastic deformation does not occur as much as possible under a temperature condition equal to or lower than the melting point of copper, and bonding is performed using diffusion of atoms generated between the bonding surfaces.

なお、積層結合された冷却プレート本体64と基板吸着プレート67における最上層及び最下層の薄板を内層の薄板に比べて耐強度性を有する材料、例えばステンレス,チタン又はニッケル製薄板にて形成してもよい。また、冷却プレート本体64の最上層及び最下層の薄板と基板吸着プレート67の最下層の薄板を内層の薄板に比べて耐強度性を有する材料、例えばステンレス,チタン又はニッケル製薄板にて形成してもよい。このように形成することにより、拡散接合時の歪みを抑制することができ、かつ、冷却プレート14に強度をもたせることができると共に、平面精度の向上により熱処理の精度の向上を図ることができる。   Note that the uppermost and lowermost thin plates of the laminated cooling plate main body 64 and the substrate adsorption plate 67 are made of a material having resistance to strength compared to the inner thin plate, for example, a thin plate made of stainless steel, titanium, or nickel. Also good. Further, the uppermost and lowermost thin plates of the cooling plate body 64 and the lowermost thin plate of the substrate suction plate 67 are formed of a material having resistance to strength compared to the inner thin plate, for example, a thin plate made of stainless steel, titanium, or nickel. May be. By forming in this way, distortion at the time of diffusion bonding can be suppressed, the cooling plate 14 can be given strength, and the accuracy of heat treatment can be improved by improving the planar accuracy.

なお、冷却プレート14を複数段積層する場合は、図9及び図12に示すように、下段の冷却プレート本体64の取付基部64aの上面にスペーサ76を介在して上段の冷却プレート14すなわち裏面に基板吸着プレート67を一体に形成した冷却プレート本体64を積層することができる。この場合、スペーサ76は、ベースブロック60と同様に、一つの角部がカットされた略立方体にて形成されており、直下に位置する冷却プレート本体64の供給流路61a及び排出流路62aと連通する供給流路61b及び排出流路62bが設けられると共に、辺部側の4箇所には連結ボルト66を貫挿する取付孔(図示せず)が設けられている。また、スペーサ76の供給流路61b及び排出流路62bにおける下段側の冷却プレート本体64との間、及び上段側の基板吸着プレート67との間には夫々シール部材であるOリング(図示せず)が介在されて、供給流路61及び排出流路62の気水密が維持されている。なお、スペーサ76には基板吸着プレート67の吸引流路67dが連通する吸引口60cが設けられている。   When the cooling plates 14 are stacked in a plurality of stages, as shown in FIG. 9 and FIG. 12, the upper cooling plate 14, that is, the back surface is interposed on the upper surface of the mounting base portion 64 a of the lower cooling plate body 64 with a spacer 76 interposed. The cooling plate main body 64 integrally formed with the substrate suction plate 67 can be laminated. In this case, like the base block 60, the spacer 76 is formed in a substantially cubic shape with one corner cut, and the supply flow path 61a and the discharge flow path 62a of the cooling plate main body 64 located immediately below the spacer 76. A supply flow path 61b and a discharge flow path 62b that communicate with each other are provided, and attachment holes (not shown) through which the connection bolts 66 are inserted are provided at four positions on the side. In addition, an O-ring (not shown) serving as a seal member is provided between the supply flow path 61b and the discharge flow path 62b of the spacer 76 between the lower cooling plate body 64 and the upper substrate suction plate 67. ) Is interposed, and the air-water tightness of the supply flow path 61 and the discharge flow path 62 is maintained. The spacer 76 is provided with a suction port 60c through which the suction channel 67d of the substrate suction plate 67 communicates.

なお、上記説明ではスペーサ76を介して複数の冷却プレート14を積層する場合について説明したが、スペーサ76を冷却プレート本体64の取付基部64a又は基板吸着プレート67の取付基部67aに一体に形成した構造としてもよい。   In the above description, the case where the plurality of cooling plates 14 are stacked via the spacers 76 has been described. However, the structure in which the spacers 76 are integrally formed on the mounting base portion 64 a of the cooling plate body 64 or the mounting base portion 67 a of the substrate suction plate 67. It is good.

上記のように構成される冷却プレート14のベースブロック60と、ベースブロック60に設けられた供給流路61及び排出流路62に接続する供給配管71及び排出配管72と、ベースブロック60に設けられた吸引口60cに接続する吸引配管73は、ベースプレートに一体に固定されている。なお、ベースプレート77の一側端下部にはベースプレート77を枠体16に固定するための取付ブラケット78が設けられており、取付ボルト79によってベースプレート77が枠体16に固定されるようになっている。   The base block 60 of the cooling plate 14 configured as described above, the supply pipe 71 and the discharge pipe 72 connected to the supply flow path 61 and the discharge flow path 62 provided in the base block 60, and the base block 60 are provided. The suction pipe 73 connected to the suction port 60c is integrally fixed to the base plate. A mounting bracket 78 for fixing the base plate 77 to the frame body 16 is provided at one side end lower portion of the base plate 77, and the base plate 77 is fixed to the frame body 16 by mounting bolts 79. .

このようにして冷却プレート14を一体化したベースプレート77は、基板収納部である棚ユニットU5を構成する枠体16に対して引出可能に装着されている。したがって、冷却プレート14を棚ユニットU5に対して引出可能に取り付けることができるので、冷却プレート14の交換や保守・点検等のメンテナンスの向上が図れる。   The base plate 77 in which the cooling plate 14 is integrated in this way is attached to the frame body 16 constituting the shelf unit U5, which is a substrate storage unit, so that it can be pulled out. Therefore, since the cooling plate 14 can be attached to the shelf unit U5 so as to be able to be pulled out, it is possible to improve maintenance such as replacement of the cooling plate 14 and maintenance / inspection.

なお、載置棚13は、図6に示すように、棚ユニットU5の一側から該棚ユニットU5内に突入する複数の板状アーム13aにて形成されている。この場合、板状アーム13aは、例えば先端に約120°の角度で分岐される二又部13bを具備しており、この二又部13bを含む板状アーム13aの先端部における同心円状の等分された3箇所に、ウエハWを板状アーム13aの表面より僅かに隙間例えば約0.5mmをおいて支持するプロキシミティピン18a,18b,18cを突設すると共に、その一つの第1ピン18aを受渡しアームDが棚ユニットU5内に進入する方向に平行に配置している。   As shown in FIG. 6, the mounting shelf 13 is formed by a plurality of plate-like arms 13 a that enter the shelf unit U <b> 5 from one side of the shelf unit U <b> 5. In this case, the plate-like arm 13a includes, for example, a bifurcated portion 13b branched at an angle of about 120 ° at the tip, and a concentric circle at the tip of the plate-like arm 13a including the bifurcated portion 13b. Proximity pins 18a, 18b, and 18c for supporting the wafer W with a slight gap, for example, about 0.5 mm from the surface of the plate-like arm 13a are projected at three divided locations, and one of the first pins is provided. 18a is arranged in parallel to the direction in which the delivery arm D enters the shelf unit U5.

なお、上記説明では、載置棚13の板状アーム13aは二又部13bを具備する場合について説明したが、第1の開口部11から進入するメインアームのアーム本体80と第2の開口部12から進入する受渡しアームDのアーム本体90が干渉しなければ任意の形状でよく、例えば円形状に形成してもよい。   In the above description, the plate-like arm 13a of the mounting shelf 13 has been described as having a bifurcated portion 13b. However, the arm main body 80 and the second opening of the main arm entering from the first opening 11 are explained. As long as the arm main body 90 of the delivery arm D entering from 12 does not interfere, it may have an arbitrary shape, for example, a circular shape.

また、板状アーム13aは、棚ユニットU5の枠体16の一部に一端が取り付けられて棚ユニットU5の一側から該棚ユニットU5内に突入するように設けられており、各板状アーム13aの基端部同士はスペーサ19を介して連結部材例えば連結ボルト(図示せず)によって着脱可能に積層状に連結固定されている。このように、載置棚13を構成する板状アーム13aを連結ボルトによって着脱可能に積層状に連結固定することにより、処理スケジュールや処理時間に対応させて載置棚13の段数すなわち板状アーム13aの数の増減を容易にすることができる。   The plate-like arm 13a is provided so that one end is attached to a part of the frame 16 of the shelf unit U5 and enters the shelf unit U5 from one side of the shelf unit U5. The base ends of 13a are connected and fixed in a detachable manner in a stacked manner by connecting members such as connecting bolts (not shown) via spacers 19. In this way, by connecting and fixing the plate-like arms 13a constituting the placement shelf 13 in a detachable manner by connecting bolts, the number of stages of the placement shelf 13, that is, the plate-like arms, corresponding to the processing schedule and processing time. The increase / decrease of the number of 13a can be made easy.

なお、図5に示すように、棚ユニットUのキャリアブロックS1側から所定流量の清浄気体を棚ユニットU5内に供給するように構成されている。   In addition, as shown in FIG. 5, it is comprised so that the clean gas of predetermined flow volume may be supplied in the shelf unit U5 from the carrier block S1 side of the shelf unit U.

なお、受渡しアームDは、図11に示すように、上記湾曲アーム片91,92と支持爪93を有するアーム本体90が棚ユニットU5に対して進退自在に構成されると共に、移動機構(図示せず)により、鉛直のZ方向に昇降自在に構成されている。このようにしてアーム本体90は、X方向に進退自在及び昇降自在に構成され、棚ユニットU5の各収納ブロック10a〜10d、受渡しステージTRS1との間でウエハWの受け渡しを行うことができるようになっている。このような受渡しアームDは、後述する制御部100からの指令に基づいて図示しないコントローラにより駆動が制御される。   As shown in FIG. 11, the delivery arm D is configured such that the arm main body 90 having the curved arm pieces 91 and 92 and the support claws 93 is movable forward and backward with respect to the shelf unit U5, and a moving mechanism (not shown). 3) can be moved up and down in the vertical Z direction. In this way, the arm main body 90 is configured to be movable back and forth and up and down in the X direction so that the wafer W can be transferred between the storage blocks 10a to 10d of the shelf unit U5 and the transfer stage TRS1. It has become. Driving of such a delivery arm D is controlled by a controller (not shown) based on a command from the control unit 100 described later.

上記メインアームA1,A3〜A5及びシャトルアームAは基本的には同様に構成されており、シャトルアームAを代表して説明すると、冷却プレート本体64の円板部64c及び載置棚13の板状アーム13aに設けられたプロキシミティピン18a,18b,18cと干渉しない一対の湾曲アーム片81,82を有する馬蹄形状のアーム本体80を具備すると共に、各湾曲アーム片81,82の先端部及び基端部側下部の4箇所にウエハWを支持する支持爪83を設けている。   The main arms A1, A3 to A5 and the shuttle arm A are basically configured in the same manner. The shuttle arm A will be described as a representative example. The disk portion 64c of the cooling plate main body 64 and the plate of the mounting shelf 13 are described. A horseshoe-shaped arm body 80 having a pair of curved arm pieces 81 and 82 that do not interfere with the proximity pins 18a, 18b, and 18c provided on the arm 13a, and the distal ends of the curved arm pieces 81 and 82, Support claws 83 for supporting the wafer W are provided at four locations on the lower side on the base end side.

したがって、受渡しアームDの場合と同様に、載置棚13同士間のスペースをシャトルアームAのアーム本体80が鉛直方向に移動して載置棚13のプロキシミティピン18a,18b,18cとの間でウエハWの受け渡しが可能な最低限のスペースとすることができるので、限られたスペース内に多くの載置棚13を設けることができる。また、シャトルアームAは、馬蹄形状のアーム本体80の3箇所に支持爪83を設けるので、ウエハWを安定した状態で支持して搬送することができる。   Accordingly, as in the case of the delivery arm D, the arm main body 80 of the shuttle arm A moves in the vertical direction in the space between the mounting shelves 13, and the proximity pins 18 a, 18 b, 18 c of the mounting shelf 13. Therefore, it is possible to provide a minimum space in which the wafers W can be delivered, so that many mounting shelves 13 can be provided in the limited space. Further, since the shuttle arm A is provided with the support claws 83 at three positions of the horseshoe-shaped arm main body 80, the wafer W can be supported and transferred in a stable state.

なお、上記複数の載置棚13の間隔は、受渡しアームDのアーム本体90の厚み及びメインアームAのアーム本体80の厚みよりも狭く形成されている。これにより、棚ユニットU5の収納スペースを可能な限り小さくすることができ、棚ユニットU5内へのウエハWの収納枚数の増大、あるいは、ウエハWの収納枚数が少ない場合には装置の小型化が図れる。   The intervals between the plurality of mounting shelves 13 are formed narrower than the thickness of the arm main body 90 of the delivery arm D and the thickness of the arm main body 80 of the main arm A. As a result, the storage space of the shelf unit U5 can be reduced as much as possible, and the number of wafers W stored in the shelf unit U5 can be increased, or the apparatus can be downsized when the number of wafers W stored is small. I can plan.

なお、メインアームA1(A3〜A5)は、同様に構成されており、図4に示すように、回転駆動機構84、水平ガイドレール86及び垂直ガイドレール87に沿って移動するための移動機構85によって、X方向に進退自在,Y方向に移動自在,昇降自在及び鉛直軸回りに回転自在に構成され、棚ユニットU1〜U6の各ユニットや受渡しステージTRS1、液処理ユニットとの間でウエハWの受け渡しを行うことができるようになっている。このようなメインアームA1は、制御部100からの指令に基づいて図示しないコントローラにより駆動が制御される。また、メインアームA1(A3〜A5)の加熱ユニットでの蓄熱を防止するために、ウエハWの受け取り順番をプログラムで任意に制御できるようになっている。   The main arm A1 (A3 to A5) is configured in the same manner, and as shown in FIG. 4, a moving mechanism 85 for moving along the rotation drive mechanism 84, the horizontal guide rail 86, and the vertical guide rail 87. Can be moved forward and backward in the X direction, movable in the Y direction, freely movable up and down, and rotatable about the vertical axis. The wafer W can be moved between each of the shelf units U1 to U6, the delivery stage TRS1, and the liquid processing unit. It can be handed over. The driving of the main arm A1 is controlled by a controller (not shown) based on a command from the control unit 100. Further, in order to prevent heat storage in the heating unit of the main arm A1 (A3 to A5), the order of receiving the wafers W can be arbitrarily controlled by a program.

また、上記処理ブロックS2とインターフェイスブロックS3の隣接する領域には、図1及び図3に示すように、メインアームA1,シャトルアームAがアクセスできる位置に棚ユニットU6が設けられている。この棚ユニットU6は、図3に示すように、各DEV層B1,B2のメインアームA1との間でウエハWの受け渡しを行うように、この例では各DEV層B1,B2は、2個の受渡しステージTRS3を備えている。   Further, in the area adjacent to the processing block S2 and the interface block S3, as shown in FIGS. 1 and 3, a shelf unit U6 is provided at a position where the main arm A1 and the shuttle arm A can access. As shown in FIG. 3, the shelf unit U6 transfers two wafers W to and from the main arm A1 of each DEV layer B1, B2. In this example, each DEV layer B1, B2 has two DEV layers B1, B2. A delivery stage TRS3 is provided.

また、棚ユニットU6の上部には上記棚ユニットU5と同様に、各単位ブロックB1〜B5のメインアームA1,A3〜A5及びシャトルアームAとの間でウエハWの受け渡しを行うように、例えば2個の受渡しステージTRS4,TRS5を備えており、また、単位ブロックB1〜B5に対応すべく複数に区画された収納ブロック10e〜10hを備えると共に、各収納ブロック10e〜10hに、複数の載置棚13、及び反射防止膜形成処理後にウエハWを所定温度に調整するためや、露光処理後に加熱処理されたウエハWを所定温度に調整するための冷却プレート14(CPL9〜CPL16)と、バッファ用の載置棚13を備えている。   Similarly to the shelf unit U5, the upper portion of the shelf unit U6 is, for example, 2 to transfer the wafer W between the main arms A1, A3 to A5 and the shuttle arm A of the unit blocks B1 to B5. Each of the storage blocks 10e to 10h is provided with a plurality of storage blocks 10e to 10h. The storage blocks 10e to 10h include a plurality of mounting shelves. 13 and a cooling plate 14 (CPL9 to CPL16) for adjusting the wafer W to a predetermined temperature after the antireflection film forming process or adjusting the wafer W heated after the exposure process to a predetermined temperature, and a buffer A mounting shelf 13 is provided.

この場合、第1収納ブロック10eは第1及び第2の単位ブロックB1,B2(DEV層)に対応し、第2収納ブロック10fは第3の単位ブロックB3(BCT層)に対応し、第3収納ブロック10gは第4の単位ブロックB4(COT層)に対応し、第4収納ブロック10hは第5の単位ブロックB5(TCT層)に対応している。   In this case, the first storage block 10e corresponds to the first and second unit blocks B1, B2 (DEV layer), the second storage block 10f corresponds to the third unit block B3 (BCT layer), and the third The storage block 10g corresponds to the fourth unit block B4 (COT layer), and the fourth storage block 10h corresponds to the fifth unit block B5 (TCT layer).

また、棚ユニットU6のX方向の背部側には上記基板受渡しアームDと同様の構造の受渡しアームEが配設されており、この受渡しアームEによって各収納ブロック10e〜10hの冷却プレート14,14A(CPL9〜CPL16)や載置棚13に対してウエハWを受渡しすることができるように構成されている。   A delivery arm E having the same structure as the substrate delivery arm D is disposed on the back side in the X direction of the shelf unit U6. The delivery arm E allows the cooling plates 14, 14A of the storage blocks 10e to 10h to be cooled. The wafer W can be delivered to (CPL9 to CPL16) and the mounting shelf 13.

なお、図8はこれら処理ユニットのレイアウトの一例を示すものであって、このレイアウトは便宜上のものであり、処理ユニットは加熱ユニット(CLHP、PEB、POST),疎水化処理装置(ADH),周縁露光装置(WEE)に限らず、他の処理ユニットを設けるようにしてもよいし、実際の装置では各処理ユニットの処理時間などを考慮してユニットの設置数が決められる。   FIG. 8 shows an example of the layout of these processing units. This layout is for convenience, and the processing units are a heating unit (CLHP, PEB, POST), a hydrophobizing apparatus (ADH), a peripheral edge. In addition to the exposure apparatus (WEE), other processing units may be provided. In an actual apparatus, the number of units installed is determined in consideration of the processing time of each processing unit.

一方、処理ブロックS2における棚ユニットU6の奥側には、インターフェイスブロックS3を介して第2の処理ブロックである露光装置S4が接続されている。インターフェイスブロックS3には、処理ブロックS2のDEV層B1,B2の棚ユニットU6の各部と露光装置S4とに対してウエハWの受け渡しを行うためのインターフェイスアームFを備えている。このインターフェイスアームFは、処理ブロックS2と露光装置S4との間に介在するウエハWの搬送手段をなすものであり、この例では、上記DEV層B1,B2の受渡しステージTRS3に対してウエハWの受け渡しを行うように、水平のX,Y方向及び鉛直のZ方向に移動自在、鉛直軸回りに回転自在に構成されている。   On the other hand, an exposure apparatus S4, which is a second processing block, is connected to the back side of the shelf unit U6 in the processing block S2 via an interface block S3. The interface block S3 includes an interface arm F for delivering the wafer W to each part of the shelf unit U6 of the DEV layers B1 and B2 of the processing block S2 and the exposure apparatus S4. The interface arm F serves as a means for transporting the wafer W interposed between the processing block S2 and the exposure apparatus S4. In this example, the interface arm F moves the wafer W to the delivery stage TRS3 of the DEV layers B1 and B2. It is configured to be movable in the horizontal X and Y directions and the vertical Z direction, and to be rotatable about the vertical axis so as to perform delivery.

上記のように構成されるレジスト塗布・現像処理装置では、5段に積層された各単位ブロックB1〜B5の間で、上述の受渡しアームD,Eにより、それぞれ受渡しステージTRS1〜TRS5を介して、自由にウエハWの受け渡しを行なうことができると共に、上述のインターフェイスアームFにより、現像処理用の単位ブロックB1,B2を介して処理ブロックS2と露光装置S4との間でウエハWの受け渡しを行うことができるように構成されている。   In the resist coating / development processing apparatus configured as described above, between the unit blocks B1 to B5 stacked in five stages, the transfer arms D and E described above pass through the transfer stages TRS1 to TRS5, respectively. The wafer W can be freely transferred and the wafer W can be transferred between the processing block S2 and the exposure apparatus S4 via the development processing unit blocks B1 and B2 by the interface arm F described above. It is configured to be able to.

次に、上記のように構成されるレジスト塗布・現像処理装置におけるウエハWの搬送処理態様について、図1〜図4、図7及び図8を参照して説明する。なお、ここでは、棚ユニットU5の収納ブロック10a〜10dの最下段の第1収納ブロック10aには、2段の冷却プレートCPL7,CPL8が配置され、その上段の第2収納ブロック10bには、2段の冷却プレートCPL1,CPL2と複数の載置棚13(BUF1)が配置され、その上段の第3収納ブロック10cには、2段の冷却プレートCPL3,CPL4と複数の載置棚13(BUF2)が配置され、そして、その上段すなわち最上段の第4収納ブロック10dには、2段の冷却プレートCPL5,CPL6と複数の載置棚13(BUF3)が配置される場合について説明する。また、棚ユニットU6の収納ブロック10e〜10hの最下段の第1収納ブロック10eには、2段の冷却プレートCPL9,CPL10が配置され、その上段の第2収納ブロック10fには、2段の冷却プレートCPL11,CPL12と複数の載置棚13(BUF1)が配置され、その上段の第3収納ブロック10cには、2段の冷却プレートCPL13,CPL14と複数の載置棚13(BUF2)が配置され、そして、その上段すなわち最上段の第4収納ブロック10dには、2段の冷却プレートCPL15,CPL16と複数の載置棚13(BUF3)が配置される場合について説明する。   Next, the transfer processing mode of the wafer W in the resist coating / developing apparatus configured as described above will be described with reference to FIGS. 1 to 4, 7 and 8. Here, in the lowermost first storage block 10a of the storage blocks 10a to 10d of the shelf unit U5, two cooling plates CPL7 and CPL8 are arranged, and in the upper second storage block 10b, 2 Two-stage cooling plates CPL1, CPL2 and a plurality of mounting shelves 13 (BUF1) are arranged, and the upper third storage block 10c has two-stage cooling plates CPL3, CPL4 and a plurality of mounting shelves 13 (BUF2). A case where two cooling plates CPL5 and CPL6 and a plurality of mounting shelves 13 (BUF3) are arranged in the upper storage, that is, the uppermost fourth storage block 10d will be described. In addition, two cooling plates CPL9 and CPL10 are disposed in the lowermost first storage block 10e of the storage blocks 10e to 10h of the shelf unit U6, and two stages of cooling are provided in the upper second storage block 10f. Plates CPL11 and CPL12 and a plurality of placement shelves 13 (BUF1) are arranged, and two stages of cooling plates CPL13 and CPL14 and a plurality of placement shelves 13 (BUF2) are arranged in the upper third storage block 10c. A case where two stages of cooling plates CPL15 and CPL16 and a plurality of mounting shelves 13 (BUF3) are arranged in the upper storage, that is, the uppermost fourth storage block 10d will be described.

<レジスト膜の下側に反射防止膜を形成する搬送処理形態>
まず、外部からキャリア20がキャリアブロック21に搬入され、トランスファーアームCによりこのキャリア20内からウエハWが取り出される。ウエハWは、トランスファーアームCから受渡しアームDに受け渡された後、受渡しアームDにより棚ユニットU5の第2収納ブロック10bの冷却プレート14(CPL1)まで搬送され、この冷却プレートCPL1上に載置されて所定の冷却温度例えば室温に温度調整される。その後、BCT層B3のメインアームA3に受け渡される。
<Conveying treatment form in which an antireflection film is formed under the resist film>
First, the carrier 20 is carried into the carrier block 21 from the outside, and the wafer W is taken out from the carrier 20 by the transfer arm C. After the wafer W is transferred from the transfer arm C to the transfer arm D, the wafer W is transferred by the transfer arm D to the cooling plate 14 (CPL1) of the second storage block 10b of the shelf unit U5 and placed on the cooling plate CPL1. Then, the temperature is adjusted to a predetermined cooling temperature such as room temperature. Then, it is delivered to the main arm A3 of the BCT layer B3.

そしてBCT層B3では、メインアームA3により、第1の反射防止膜形成ユニット33→加熱ユニット(CLHP3)→棚ユニットU5の第2収納ブロック10bの載置棚BUF1の順序で搬送されて、第1の反射防止膜が形成される。第2収納ブロック10b内の載置棚BUF1に載置されたウエハWは、受渡しアームDによって第3収納ブロック10cの冷却プレートCPL3(CPL4)に搬送され、この冷却プレートCPL3(CPL4)上に載置されて所定温度(例えば室温)に温度調整される。   In the BCT layer B3, the first antireflection film forming unit 33 → the heating unit (CLHP3) → the mounting shelf BUF1 of the second storage block 10b of the shelf unit U5 is conveyed by the main arm A3 in the order of the first antireflection film forming unit 33 → the heating unit (CLHP3). An antireflection film is formed. The wafer W mounted on the mounting shelf BUF1 in the second storage block 10b is transferred to the cooling plate CPL3 (CPL4) of the third storage block 10c by the delivery arm D, and mounted on the cooling plate CPL3 (CPL4). The temperature is adjusted to a predetermined temperature (for example, room temperature).

続いて第3収納ブロック10cのウエハWはメインアームA3により、塗布ユニット32→加熱ユニットCLHP4→棚ユニットU5の第3収納ブロック10cの載置棚BUF2の順序で搬送されて、第1の反射防止膜の上層にレジスト膜が形成される。第3収納ブロック10cの載置棚BUF2に載置されたウエハWは、受渡しアームDによって第3収納ブロック10cの冷却プレートCPL3(CPL4)に搬送され、この冷却プレートCPL3(CPL4)上に載置されて所定温度(例えば室温)に温度調整される。   Subsequently, the wafer W of the third storage block 10c is transported by the main arm A3 in the order of the coating unit 32 → the heating unit CLHP4 → the mounting shelf BUF2 of the third storage block 10c of the shelf unit U5, and the first antireflection. A resist film is formed on the upper layer of the film. The wafer W placed on the placement shelf BUF2 of the third storage block 10c is transferred to the cooling plate CPL3 (CPL4) of the third storage block 10c by the delivery arm D, and placed on the cooling plate CPL3 (CPL4). Then, the temperature is adjusted to a predetermined temperature (for example, room temperature).

その後、受渡しアームDが棚ユニットU5の第3収納ブロック10cの冷却プレートCPL3(CPL4)に進入してウエハWを受け取り、棚ユニットU5の受渡しステージTRS2に受け渡す。続いてシャトルアームAにより棚ユニットU6の受渡しステージTRS5に搬送される。続いて受渡しステージTRS5のウエハWは、インターフェイスアームFにより露光装置S4に搬送され、ここで所定の露光処理が行われる。   Thereafter, the delivery arm D enters the cooling plate CPL3 (CPL4) of the third storage block 10c of the shelf unit U5, receives the wafer W, and delivers it to the delivery stage TRS2 of the shelf unit U5. Subsequently, the shuttle arm A is transported to the delivery stage TRS5 of the shelf unit U6. Subsequently, the wafer W on the delivery stage TRS5 is transferred to the exposure apparatus S4 by the interface arm F, where a predetermined exposure process is performed.

露光処理後のウエハWは、インターフェイスアームFにより、棚ユニットU6の受渡しステージTRS3→加熱ユニット(PEB1)→棚ユニットU6の冷却プレートCPL9(CPL10)→現像ユニット31→加熱ユニット(POST1)に搬送され、所定の現像処理が行われる。このようにして現像処理が行われたウエハWは、トランスファーアームCにウエハWを受け渡すために、棚ユニットU5の第1収納ブロック10aの冷却プレートCPL7(CPL8)に搬送されて所定温度に調整された後、トランスファーアームCにより、キャリアブロックS1に載置されている元のキャリア20に戻される。   The wafer W after the exposure processing is transferred by the interface arm F to the delivery stage TRS3 of the shelf unit U6 → the heating unit (PEB1) → the cooling plate CPL9 (CPL10) of the shelf unit U6 → the developing unit 31 → the heating unit (POST1). A predetermined development process is performed. The wafer W thus developed is transferred to the cooling plate CPL7 (CPL8) of the first storage block 10a of the shelf unit U5 and adjusted to a predetermined temperature in order to deliver the wafer W to the transfer arm C. After that, the transfer arm C returns the original carrier 20 placed on the carrier block S1.

<レジスト膜の上側に反射防止膜を形成する搬送処理形態>
まず、外部からキャリア20がキャリアブロック21に搬入され、トランスファーアームCによりこのキャリア20内からウエハWが取り出される。ウエハWは、トランスファーアームCにより、棚ユニットU5の受渡しステージTRS1に搬送された後、受渡しアームDにより、棚ユニットU5の第3収納ブロック10cの冷却プレートCPL3まで搬送され、この冷却プレートCPL3上に載置されて所定の冷却温度例えば室温に温度調整される。その後、COT層B4のメインアームA4に受け渡される。そして、ウエハWは、メインアームA4により、疎水化処理ユニット(ADH)→棚ユニットU5の第3収納ブロック10cの冷却プレートCPL4に搬送され、冷却プレートCPL4上に載置されて所定温度(室温)に温度調整される。次に、メインアームA4によって棚ユニットU5から取り出されたウエハWは、塗布ユニット32に搬送されて、塗布ユニット32においてレジスト膜が形成される。レジスト膜が形成されたウエハWは、メインアームA4によって加熱ユニット(CLHP4)に搬送されて、溶剤をレジスト膜から蒸発させるためのプリベークが施される。その後、ウエハWは、メインアームA4によって棚ユニットU5の第3収納ブロック10cの載置棚BUF2上に収納されて一時待機する。
<Conveying treatment mode in which an antireflection film is formed on the upper side of the resist film>
First, the carrier 20 is carried into the carrier block 21 from the outside, and the wafer W is taken out from the carrier 20 by the transfer arm C. The wafer W is transferred to the transfer stage TRS1 of the shelf unit U5 by the transfer arm C, and then transferred to the cooling plate CPL3 of the third storage block 10c of the shelf unit U5 by the transfer arm D. On the cooling plate CPL3 The temperature is adjusted to a predetermined cooling temperature, for example, room temperature. Then, it is delivered to the main arm A4 of the COT layer B4. Then, the wafer W is transferred by the main arm A4 to the cooling plate CPL4 of the third storage block 10c of the hydrophobizing unit (ADH) → the shelf unit U5, and is placed on the cooling plate CPL4 at a predetermined temperature (room temperature). The temperature is adjusted. Next, the wafer W taken out from the shelf unit U5 by the main arm A4 is transferred to the coating unit 32, and a resist film is formed in the coating unit 32. The wafer W on which the resist film is formed is transferred to the heating unit (CLHP4) by the main arm A4, and pre-baked to evaporate the solvent from the resist film. Thereafter, the wafer W is stored on the mounting shelf BUF2 of the third storage block 10c of the shelf unit U5 by the main arm A4 and temporarily stands by.

続いて第3収納ブロック10cのウエハWは、受渡しアームDによって棚ユニットU5の第4収納ブロック10dの冷却プレートCPL5(CPL6)に搬送され、冷却プレートCPL5(CPL6)上に載置されて所定温度(室温)に温度調整された後、メインアームA5によりTCT層B5のメインアームA5に受け渡される。そして、TCT層B5では、メインアームA5により、第2の反射防止膜形成ユニット34→加熱ユニット(CLHP5)→棚ユニットU5の第4収納ブロック10cの載置棚BUF3の順序で搬送されて、第2の反射防止膜が形成される。なお、この場合、加熱ユニット(CLHP5)による加熱処理後に周辺露光装置(WEE)に搬送して、周辺露光処理を行った後に、棚ユニットU5の第4収納ブロック10cの載置棚BUF3に搬送してもよい。   Subsequently, the wafer W of the third storage block 10c is transferred to the cooling plate CPL5 (CPL6) of the fourth storage block 10d of the shelf unit U5 by the delivery arm D, and is placed on the cooling plate CPL5 (CPL6) to a predetermined temperature. After the temperature is adjusted to (room temperature), it is transferred by the main arm A5 to the main arm A5 of the TCT layer B5. In the TCT layer B5, the main arm A5 transports the second antireflection film forming unit 34 → the heating unit (CLHP5) → the mounting shelf BUF3 of the fourth storage block 10c of the shelf unit U5 in the order Two antireflection films are formed. In this case, after the heat treatment by the heating unit (CLHP5), the wafer is transferred to the peripheral exposure apparatus (WEE), and after the peripheral exposure process, the wafer is transferred to the mounting shelf BUF3 of the fourth storage block 10c of the shelf unit U5. May be.

その後、受渡しアームDが棚ユニットU5の第4収納ブロック10dの載置棚BUF3に進入してウエハWを受け取り、棚ユニットU5の受渡しステージTRS2に受け渡す。続いてシャトルアームAにより棚ユニットU6の受渡しステージTRS5に搬送される。続いて受渡しステージTRS5のウエハWは、インターフェイスアームFにより露光装置S4に搬送され、ここで所定の露光処理が行われる。   Thereafter, the delivery arm D enters the mounting shelf BUF3 of the fourth storage block 10d of the shelf unit U5, receives the wafer W, and delivers it to the delivery stage TRS2 of the shelf unit U5. Subsequently, the shuttle arm A is transported to the delivery stage TRS5 of the shelf unit U6. Subsequently, the wafer W on the delivery stage TRS5 is transferred to the exposure apparatus S4 by the interface arm F, where a predetermined exposure process is performed.

露光処理後のウエハWは、インターフェイスアームFにより、棚ユニットU6の受渡しステージTRS3→加熱ユニット(PEB1)→棚ユニットU6の冷却プレートCPL9(CPL10)→現像ユニット31→加熱ユニット(POST1)に搬送され、所定の現像処理が行われる。このようにして現像処理が行われたウエハWは、トランスファーアームCにウエハWを受け渡すために、棚ユニットU5の第1収納ブロック10aの冷却プレートCPL7(CPL8)に搬送されて所定温度に調整された後、トランスファーアームCにより、キャリアブロックS1に載置されている元のキャリア20に戻される。   The wafer W after the exposure processing is transferred by the interface arm F to the delivery stage TRS3 of the shelf unit U6 → the heating unit (PEB1) → the cooling plate CPL9 (CPL10) of the shelf unit U6 → the developing unit 31 → the heating unit (POST1). A predetermined development process is performed. The wafer W thus developed is transferred to the cooling plate CPL7 (CPL8) of the first storage block 10a of the shelf unit U5 and adjusted to a predetermined temperature in order to deliver the wafer W to the transfer arm C. After that, the transfer arm C returns the original carrier 20 placed on the carrier block S1.

上記説明では、レジスト膜の下側に反射防止膜を形成する搬送処理形態と、レジスト膜の下側に反射防止膜を形成する搬送処理形態について説明したが、その他の搬送処理形態例えば、レジスト膜の下側及び上側に反射防止膜を形成する搬送処理形態や反射防止膜無しの搬送処理形態についても上記の搬送処理形態の各工程を組み合わせてウエハWに処理を施すことができる。   In the above description, the conveyance processing mode in which the antireflection film is formed below the resist film and the conveyance processing mode in which the antireflection film is formed below the resist film have been described. With respect to the transfer processing mode in which the antireflection film is formed on the lower side and the upper side and the transfer processing mode without the antireflection film, the wafer W can be processed by combining the steps of the transfer processing mode.

以上において、上述の塗布・現像処理装置は、各処理ユニットのレシピの管理や、ウエハWの搬送フロー(搬送経路)のスケジュール管理や、各処理ユニットにおける処理や、メインアームA1,A3〜A5、トランスファーアームC、受渡しアームD,E、インターフェイスアームFの駆動制御を行うコンピュータからなる制御部100を備えており、この制御部100にて、単位ブロックB1〜B5を使用してウエハWを搬送させ、処理が行われるようになっている。   In the above, the coating / development processing apparatus described above manages the recipe of each processing unit, schedule management of the transfer flow (transfer path) of the wafer W, processing in each processing unit, main arms A1, A3 to A5, A control unit 100 including a computer that controls driving of the transfer arm C, the transfer arms D and E, and the interface arm F is provided. The control unit 100 uses the unit blocks B1 to B5 to transfer the wafer W. The process is to be performed.

上記搬送フローのスケジュールは単位ブロック内のウエハWの搬送経路(搬送の順番)を指定したものであり、単位ブロックB1〜B5毎に、形成する塗布膜の種類に応じて作成され、これにより単位ブロックB1〜B5毎に複数個の搬送フローのスケジュールが制御部100に格納されている。   The transfer flow schedule designates the transfer path (transfer order) of the wafers W in the unit block, and is created for each of the unit blocks B1 to B5 according to the type of coating film to be formed. A plurality of transport flow schedules are stored in the control unit 100 for each of the blocks B1 to B5.

また、形成する塗布膜によって、全ての単位ブロックB1〜B5にウエハWを搬送するモードと、現像処理を行なう単位ブロック(DEV層B1,B2)とレジスト液の塗布を行なう単位ブロック(COT層B4)と第1の反射防止膜を形成するための単位ブロック(BCT層B3)とにウエハWを搬送するモードと、現像処理を行なう単位ブロック(DEV層B1,B2)とレジスト液の塗布を行なう単位ブロック(COT層B4)と第2の反射防止膜を形成するための単位ブロック(TCT層B5)とにウエハWを搬送するモードと、現像処理を行なう単位ブロック(DEV層B1,B2)のみにウエハWを搬送するモードとがあり、制御部100のモード選択手段により、形成しようとする塗布膜の種類に応じてウエハWを搬送する単位ブロックを選択すると共に、かつ選択された単位ブロック毎に用意された複数の搬送フローのスケジュールから最適なレシピを選択することにより、形成する塗布膜に応じて使用する単位ブロックが選択されて、当該単位ブロックでは、各処理ユニットやアームの駆動が制御され、一連の処理が行われるようになっている。   Further, depending on the coating film to be formed, a mode in which the wafer W is transferred to all the unit blocks B1 to B5, a unit block (DEV layer B1, B2) for performing development processing, and a unit block (COT layer B4) for applying a resist solution. ) And a unit block (BCT layer B3) for forming the first antireflection film, a mode in which the wafer W is conveyed, and a unit block (DEV layers B1 and B2) for performing development processing and a resist solution are applied. Only the mode for transporting the wafer W to the unit block (COT layer B4) and the unit block (TCT layer B5) for forming the second antireflection film, and the unit blocks (DEV layers B1, B2) for performing development processing There is a mode in which the wafer W is transferred, and the mode selection unit of the control unit 100 is used to transfer the wafer W according to the type of coating film to be formed. By selecting the block and selecting the optimum recipe from a plurality of transport flow schedules prepared for each selected unit block, the unit block to be used is selected according to the coating film to be formed, In the unit block, driving of each processing unit and arm is controlled, and a series of processing is performed.

このような塗布・現像処理装置では、キャリアブロックS1と処理ブロックS2との間、及び処理ブロックS2と第2の処理ブロックS4(露光装置)との間に、夫々メインアームA1〜A5又は受渡しアームD,Eから受け取ったウエハWを載置して冷却する、支持ピンを不要とする冷却プレート14を具備する棚ユニットU5,U6(基板収納部)を設けるので、支持ピンの昇降時間を省くことができると共に、冷却プレート14による冷却時間を延ばすことができる。したがって、スループットの向上及び処理精度の向上が図れる。また、支持ピンの駆動機構の削減ができるため、冷却プレート14の故障リスクが減り、メンテナンスを容易にすることができ、かつ冷却プレート14の高さ方向のスペースを小さくすることができ、装置の小型化が図れる。   In such a coating / development processing apparatus, main arms A1 to A5 or delivery arms are provided between the carrier block S1 and the processing block S2 and between the processing block S2 and the second processing block S4 (exposure apparatus), respectively. Since the shelf units U5 and U6 (substrate storage units) including the cooling plate 14 which mounts and cools the wafer W received from D and E and does not require the support pins are provided, the lifting time of the support pins can be saved. The cooling time by the cooling plate 14 can be extended. Therefore, throughput and processing accuracy can be improved. Further, since the drive mechanism of the support pins can be reduced, the risk of failure of the cooling plate 14 can be reduced, maintenance can be facilitated, and the space in the height direction of the cooling plate 14 can be reduced. Miniaturization can be achieved.

更にまた、冷却プレート14は、冷媒流体の供給流路61及び排出流路62を有するベースブロック60の上部に、供給流路61及び排出流路62に連通する冷媒流路63を有する冷却プレート本体64の必要数を積層固定してなるので、冷却プレート14の搭載数を増やすことができ、生産性の向上を図ることができる。   Furthermore, the cooling plate 14 has a cooling plate body having a refrigerant flow path 63 communicating with the supply flow path 61 and the discharge flow path 62 at the upper part of the base block 60 having the supply flow path 61 and the discharge flow path 62 of the refrigerant fluid. Since the necessary number of 64 is stacked and fixed, the number of cooling plates 14 can be increased and productivity can be improved.

なお、上記実施形態では、この発明に係る基板熱処理装置を半導体ウエハのレジスト塗布・現像処理システムに適用した場合について説明したが、この発明に係る基板熱処理装置は、FPD基板のレジスト塗布・現像処理システムにも適用できることは勿論である。   In the above-described embodiment, the case where the substrate heat treatment apparatus according to the present invention is applied to a resist coating / development processing system for a semiconductor wafer has been described. However, the substrate heat treatment apparatus according to the present invention is a resist coating / development process for an FPD substrate. Of course, it can also be applied to the system.

また、上記実施形態では、熱処理プレートが冷媒の流路を有する冷却プレート14にて形成される場合について説明したが、所定の温度に設定された熱媒体を流路内に供給して基板を所定温度に加熱又は維持する加熱プレートにて熱処理プレートを形成してもよい。   In the above embodiment, the case where the heat treatment plate is formed by the cooling plate 14 having the flow path of the refrigerant has been described. However, the heating medium set at a predetermined temperature is supplied into the flow path to thereby determine the substrate. You may form a heat processing plate with the heating plate heated or maintained to temperature.

また、上記実施形態では、熱処理プレート例えば冷却プレート14に所定の温度の冷媒を供給してウエハWを冷却する場合について説明したが、熱処理プレートに異なる温度の熱媒体を切り換え可能に供給するようにしてもよい。例えば、図14に示すように、供給流路61a及び排出流路62aの少なくとも供給流路61aの供給口60aと、異なる温度の熱媒体の供給源3,4とを温度切換機構例えば切換弁6を介設した熱媒体供給管路8にて接続して、熱処理プレート14Bに異なる温度の熱媒体を切り換え可能に供給するようにしてもよい。なお、この場合、切換弁6を切換操作して複数の熱媒体供給源3,4から異なる温度の熱媒体を熱処理プレート14Bに供給しているが、必ずしもこの構造に限定されるものではない。例えば、図15に示すように、熱処理プレート14Bの供給流路61a及び排出流路62aの少なくとも供給流路61aの供給口60aと、一つの熱媒体供給源5とを接続する熱媒体供給管路8Aに温度切換機構である温調機構7を介設し、例えば制御部100からの制御信号に基づいて温調機構7を温調制御することにより熱媒体を所定の温度に設定して、熱処理プレート14Bに供給するようにしてもよい。   In the above-described embodiment, the case where the wafer W is cooled by supplying a coolant having a predetermined temperature to the heat treatment plate, for example, the cooling plate 14 has been described. However, the heat medium having different temperatures is supplied to the heat treatment plate in a switchable manner. May be. For example, as shown in FIG. 14, a temperature switching mechanism such as a switching valve 6 includes a supply port 60a of at least the supply channel 61a of the supply channel 61a and the discharge channel 62a, and the supply sources 3 and 4 of the heat medium having different temperatures. It is also possible to connect the heat medium at a different temperature to the heat treatment plate 14B so that the heat medium can be switched. In this case, the switching valve 6 is switched to supply heat media having different temperatures from the plurality of heat medium supply sources 3 and 4 to the heat treatment plate 14B. However, the present invention is not necessarily limited to this structure. For example, as shown in FIG. 15, a heat medium supply conduit that connects at least the supply port 60 a of the supply flow path 61 a of the heat treatment plate 14 B and the discharge flow path 62 a to one heat medium supply source 5. 8A is provided with a temperature control mechanism 7 as a temperature switching mechanism, for example, by controlling the temperature control mechanism 7 based on a control signal from the control unit 100, the heat medium is set to a predetermined temperature, and heat treatment is performed. You may make it supply to the plate 14B.

W 半導体ウエハ(基板)
1 銅製薄板
1a 最上層薄板
1b 最下層薄板
3,4,5 熱媒体供給源
6 切換弁(温度切換機構)
7 温調機構(温度切換機構)
8,8A 熱媒体供給管路
14 冷却プレート(熱処理プレート)
14B 熱処理プレート
60a 供給口
61,61a 供給流路
62,62a 排出流路
63 冷媒流路(熱媒体流路)
64 冷却プレート本体
64e プロキシミティピン(支持ピン)
64f 吸着用孔
64h 取付穴
67 基板吸着プレート
67d 吸引流路
W Semiconductor wafer (substrate)
DESCRIPTION OF SYMBOLS 1 Copper thin plate 1a Uppermost layer thin plate 1b Lowermost layer thin plate 3, 4, 5 Heating medium supply source 6 Switching valve (temperature switching mechanism)
7 Temperature control mechanism (temperature switching mechanism)
8,8A Heat medium supply line 14 Cooling plate (heat treatment plate)
14B Heat treatment plate 60a Supply port 61, 61a Supply flow path 62, 62a Discharge flow path 63 Refrigerant flow path (heat medium flow path)
64 Cooling plate body 64e Proximity pin (support pin)
64f Suction hole 64h Mounting hole 67 Substrate suction plate 67d Suction flow path

Claims (9)

載置された基板を保持しつつ該基板を所定温度に熱処理する熱処理プレートを具備する基板熱処理装置であって、
上記熱処理プレートは、複数の熱伝導性材料からなる薄板を積層してなり、かつ、上記薄板を積層することで開設される、熱媒体の供給流路、排出流路及びこれら流路に連通する熱媒体流路と吸着用孔を形成する熱処理プレート本体を具備する、
ことを特徴とする基板熱処理装置。
A substrate heat treatment apparatus comprising a heat treatment plate for heat-treating a substrate to a predetermined temperature while holding the placed substrate,
The heat treatment plate is formed by laminating a plurality of thin plates made of a heat conductive material, and is connected to the heat medium supply channel, the discharge channel, and these channels established by laminating the thin plates. Comprising a heat treatment plate body forming a heat medium flow path and an adsorption hole;
A substrate heat treatment apparatus.
請求項1記載の基板熱処理装置において、
複数の熱伝導性材料からなる薄板を積層してなり、かつ、上記薄板を積層することで開設され、上記吸着用孔に連通する吸引流路を形成する基板吸着プレートを更に具備する、ことを特徴とする基板熱処理装置。
The substrate heat treatment apparatus according to claim 1,
It further comprises a substrate adsorption plate that is formed by laminating a plurality of thin plates made of a heat conductive material, and is formed by laminating the thin plates, and forms a suction channel that communicates with the adsorption holes. A substrate heat treatment apparatus.
請求項1又は2記載の基板熱処理装置において、
上記熱処理プレートの最上層及び最下層の薄板が内部層の薄板に比べて耐強度性を有する材料からなる薄板である、ことを特徴とする基板熱処理装置。
The substrate heat treatment apparatus according to claim 1 or 2,
A substrate heat treatment apparatus, wherein the thinnest layer of the uppermost layer and the lowermost layer of the heat treatment plate is a thin plate made of a material having strength resistance compared to the thin plate of the inner layer.
請求項1記載の基板熱処理装置において、
上記熱処理プレート本体の最上層及び最下層の薄板が内部層の薄板に比べて耐強度性を有する材料からなる薄板である、ことを特徴とする基板熱処理装置。
The substrate heat treatment apparatus according to claim 1,
A substrate heat treatment apparatus, wherein the thinnest layer of the uppermost layer and the lowermost layer of the heat treatment plate main body is a thin plate made of a material having strength resistance compared to a thin plate of an inner layer.
請求項1ないし4のいずれかに記載の基板熱処理装置において、
上記熱処理プレートにおける上部側の複数の薄板における任意の複数箇所に取付穴を設け、この取付穴に基板を支持する支持ピンを嵌合立設してなる、ことを特徴とする基板熱処理装置。
The substrate heat treatment apparatus according to any one of claims 1 to 4,
A substrate heat treatment apparatus, characterized in that mounting holes are provided at arbitrary positions in a plurality of thin plates on the upper side of the heat treatment plate, and support pins for supporting the substrate are fitted and erected in the attachment holes.
請求項1又は2記載の基板熱処理装置において、
上記薄板が銅製薄板である、ことを特徴とする基板熱処理装置。
The substrate heat treatment apparatus according to claim 1 or 2,
A substrate heat treatment apparatus, wherein the thin plate is a copper thin plate.
請求項3又は4記載の基板熱処理装置において、
上記最上層及び最下層の薄板がステンレス,チタン又はニッケル製薄板であり、上記内部層の薄板が銅製薄板である、ことを特徴とする基板熱処理装置。
The substrate heat treatment apparatus according to claim 3 or 4,
The substrate heat treatment apparatus characterized in that the thin plates of the uppermost layer and the lowermost layer are stainless steel, titanium or nickel thin plates, and the thin plate of the inner layer is a thin copper plate.
請求項1ないし7のいずれかに記載の基板熱処理装置において、
上記薄板同士が拡散接合にて結合されている、ことを特徴とする基板熱処理装置。
The substrate heat treatment apparatus according to any one of claims 1 to 7,
A substrate heat treatment apparatus, wherein the thin plates are bonded together by diffusion bonding.
請求項1ないし8のいずれかに記載の基板熱処理装置において、
上記供給流路及び排出流路の少なくとも供給流路の供給口と熱媒体供給源とを、温度切換機構を介設した供給管路にて接続してなる、ことを特徴とする基板熱処理装置。
The substrate heat treatment apparatus according to any one of claims 1 to 8,
A substrate heat treatment apparatus, characterized in that at least a supply port of the supply flow path and the discharge flow path and a heat medium supply source are connected by a supply line having a temperature switching mechanism.
JP2009065483A 2009-03-18 2009-03-18 Substrate heat treatment equipment Active JP4811881B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2009065483A JP4811881B2 (en) 2009-03-18 2009-03-18 Substrate heat treatment equipment
KR1020100023535A KR101529338B1 (en) 2009-03-18 2010-03-17 Substrate heat processing apparatus
CN2010101404790A CN101840847B (en) 2009-03-18 2010-03-18 Substrate heat processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009065483A JP4811881B2 (en) 2009-03-18 2009-03-18 Substrate heat treatment equipment

Publications (2)

Publication Number Publication Date
JP2010219363A true JP2010219363A (en) 2010-09-30
JP4811881B2 JP4811881B2 (en) 2011-11-09

Family

ID=42744147

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009065483A Active JP4811881B2 (en) 2009-03-18 2009-03-18 Substrate heat treatment equipment

Country Status (3)

Country Link
JP (1) JP4811881B2 (en)
KR (1) KR101529338B1 (en)
CN (1) CN101840847B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012184969A (en) * 2011-03-03 2012-09-27 Horiba Ltd Thermal infrared sensor
US10092955B2 (en) 2014-02-17 2018-10-09 Kochi University, National University Corporation Method for producing nickel powder

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8829396B2 (en) * 2010-11-30 2014-09-09 Tp Solar, Inc. Finger drives for IR wafer processing equipment conveyors and lateral differential temperature profile methods
JP5490741B2 (en) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 Substrate transport apparatus position adjustment method and substrate processing apparatus

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142559A (en) * 1992-09-25 1995-06-02 Canon Inc Substrate holder and exposure device using substrate holder
JPH0917770A (en) * 1995-06-28 1997-01-17 Sony Corp Plasma treatment method and plasma apparatus used for it
JPH11233520A (en) * 1998-02-09 1999-08-27 Kokusai Electric Co Ltd Cooling structure
JPH11252672A (en) * 1998-03-02 1999-09-17 Haruyuki Kato Speaker system
JP2001332608A (en) * 2000-05-25 2001-11-30 Sumitomo Heavy Ind Ltd Cooling plate for wafer chuck and wafer chuck
JP2002270484A (en) * 2001-03-07 2002-09-20 Tokyo Electron Ltd Cooling apparatus and method therefor
JP2003077779A (en) * 2001-08-31 2003-03-14 Kyocera Corp Wafer heater
JP2003080375A (en) * 2001-09-05 2003-03-18 Ngk Insulators Ltd Manufacturing method of semiconductor wafer supporting member joined body and semiconductor wafer supporting member joined body
JP2003204586A (en) * 2002-01-08 2003-07-18 Hasehiro Kogyo:Kk Loudspeaker box for back load horn
JP2003524885A (en) * 1999-09-29 2003-08-19 東京エレクトロン株式会社 Multi-area resistance heater
JP2004171845A (en) * 2002-11-18 2004-06-17 Seiko Epson Corp Work transfer device and work treatment device equipped with the same
JP2006173344A (en) * 2004-12-15 2006-06-29 Seiko Epson Corp Substrate retaining device, substrate retaining method and substrate heating device
JP2007158190A (en) * 2005-12-07 2007-06-21 Nikon Corp Suction device, polishing device, semiconductor device manufacturing method, and semiconductor device manufactured by the method
JP2007266342A (en) * 2006-03-29 2007-10-11 Tokyo Electron Ltd Mounting stand and vacuum processor
JP2007288029A (en) * 2006-04-19 2007-11-01 Tokyo Electron Ltd Substrate carrying and processing apparatus
WO2008021668A2 (en) * 2006-08-08 2008-02-21 Applied Materials, Inc. Heating and cooling of substrate support
JP2008119758A (en) * 2006-11-08 2008-05-29 Aronshiya:Kk Sucker
JP2008177303A (en) * 2007-01-17 2008-07-31 Tokyo Electron Ltd Device and method for treating substrate and storage medium

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142559A (en) * 1992-09-25 1995-06-02 Canon Inc Substrate holder and exposure device using substrate holder
JPH0917770A (en) * 1995-06-28 1997-01-17 Sony Corp Plasma treatment method and plasma apparatus used for it
JPH11233520A (en) * 1998-02-09 1999-08-27 Kokusai Electric Co Ltd Cooling structure
JPH11252672A (en) * 1998-03-02 1999-09-17 Haruyuki Kato Speaker system
JP2003524885A (en) * 1999-09-29 2003-08-19 東京エレクトロン株式会社 Multi-area resistance heater
JP2001332608A (en) * 2000-05-25 2001-11-30 Sumitomo Heavy Ind Ltd Cooling plate for wafer chuck and wafer chuck
JP2002270484A (en) * 2001-03-07 2002-09-20 Tokyo Electron Ltd Cooling apparatus and method therefor
JP2003077779A (en) * 2001-08-31 2003-03-14 Kyocera Corp Wafer heater
JP2003080375A (en) * 2001-09-05 2003-03-18 Ngk Insulators Ltd Manufacturing method of semiconductor wafer supporting member joined body and semiconductor wafer supporting member joined body
JP2003204586A (en) * 2002-01-08 2003-07-18 Hasehiro Kogyo:Kk Loudspeaker box for back load horn
JP2004171845A (en) * 2002-11-18 2004-06-17 Seiko Epson Corp Work transfer device and work treatment device equipped with the same
JP2006173344A (en) * 2004-12-15 2006-06-29 Seiko Epson Corp Substrate retaining device, substrate retaining method and substrate heating device
JP2007158190A (en) * 2005-12-07 2007-06-21 Nikon Corp Suction device, polishing device, semiconductor device manufacturing method, and semiconductor device manufactured by the method
JP2007266342A (en) * 2006-03-29 2007-10-11 Tokyo Electron Ltd Mounting stand and vacuum processor
JP2007288029A (en) * 2006-04-19 2007-11-01 Tokyo Electron Ltd Substrate carrying and processing apparatus
WO2008021668A2 (en) * 2006-08-08 2008-02-21 Applied Materials, Inc. Heating and cooling of substrate support
JP2008119758A (en) * 2006-11-08 2008-05-29 Aronshiya:Kk Sucker
JP2008177303A (en) * 2007-01-17 2008-07-31 Tokyo Electron Ltd Device and method for treating substrate and storage medium

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012184969A (en) * 2011-03-03 2012-09-27 Horiba Ltd Thermal infrared sensor
US10092955B2 (en) 2014-02-17 2018-10-09 Kochi University, National University Corporation Method for producing nickel powder
US10220446B2 (en) 2014-02-17 2019-03-05 Sumitomo Metal Mining Co., Ltd. Method for producing nickel powder

Also Published As

Publication number Publication date
CN101840847B (en) 2012-07-04
JP4811881B2 (en) 2011-11-09
KR101529338B1 (en) 2015-06-16
KR20100105456A (en) 2010-09-29
CN101840847A (en) 2010-09-22

Similar Documents

Publication Publication Date Title
JP4614455B2 (en) Substrate transfer processing equipment
KR101515247B1 (en) Substrate processing apparatus
JP6190645B2 (en) Substrate transfer method
CN107611060B (en) Joining system
JP2002217267A (en) Substrate-processing device
JP4541966B2 (en) Coating processing method, coating processing apparatus, and computer program
TWI523134B (en) Substrate treatment system, substrate transfer method and computer-readable storage medium
JP2007115831A (en) Coating/developing apparatus, and method thereof
JP4687682B2 (en) Coating and developing apparatus and method, and storage medium
JP2003347186A (en) Substrate treatment device
KR102073996B1 (en) Joining device, joining system, joining method and computer storage medium
US10201824B2 (en) Substrate processing apparatus and substrate processing method
JP4811881B2 (en) Substrate heat treatment equipment
JP3774283B2 (en) Processing system
JP6616181B2 (en) Joining device
JP4785905B2 (en) Substrate transfer processing equipment
JP2013069874A (en) Substrate processing system, substrate transfer method, program and computer storage medium
JP2010232415A (en) Substrate heat treatment apparatus
JP5059685B2 (en) Substrate processing apparatus and substrate processing method
JP4471944B2 (en) Coating device
JP2004266283A (en) Equipment for processing substrate
JP2001118782A (en) Substrate treater
JP2010192559A (en) Substrate processing system
JP2006344986A (en) Coating and development device and pattern forming method
JP2013069873A (en) Substrate processing system, substrate transfer method, program and computer storage medium

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110602

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110817

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110817

R150 Certificate of patent or registration of utility model

Ref document number: 4811881

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140902

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250