JP2010135849A - Pressure control method of subject processing apparatus - Google Patents

Pressure control method of subject processing apparatus Download PDF

Info

Publication number
JP2010135849A
JP2010135849A JP2010048974A JP2010048974A JP2010135849A JP 2010135849 A JP2010135849 A JP 2010135849A JP 2010048974 A JP2010048974 A JP 2010048974A JP 2010048974 A JP2010048974 A JP 2010048974A JP 2010135849 A JP2010135849 A JP 2010135849A
Authority
JP
Japan
Prior art keywords
chamber
load lock
pressure
cor
heat treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010048974A
Other languages
Japanese (ja)
Other versions
JP5001388B2 (en
Inventor
Jun Ozawa
潤 小澤
Takeshi Takahashi
岳 高橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2010048974A priority Critical patent/JP5001388B2/en
Publication of JP2010135849A publication Critical patent/JP2010135849A/en
Application granted granted Critical
Publication of JP5001388B2 publication Critical patent/JP5001388B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a pressure control method of a subject processing apparatus which enables a plurality of processes to be carried out efficiently. <P>SOLUTION: A vacuum treatment apparatus 100 having a load lock chamber 50, a COR treatment chamber 10, a heat treatment chamber 30, and an atmosphere transfer module 70 puts the load lock chamber 50 in an atmospheric state while putting the heat treatment chamber 30 in a vacuum state to transfer a body to be processed before a COR treatment into the load lock chamber 50 from the atmosphere transfer module 70, finishes evacuating the heat treatment chamber 30 and evacuates the load lock chamber 50 up to set pressure, finishes evacuating the load lock chamber 50 when the load lock chamber 50 reaches the set pressure, evacuates the heat treatment chamber 30 so that pressure in the load lock chamber is larger than pressure in the heat treatment chamber, and links the load lock chamber 50 and heat treatment chamber to each other after the pressure condition is met. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は、被処理体を処理する被処理体処理装置の圧力制御方法に関し、特に、ドライエッチング及びウェットエッチングに代わるCOR(Chemical Oxide Removal)処理やCVD処理を実行する被処理体処理装置の圧力制御方法に関する。   The present invention relates to a pressure control method for a target object processing apparatus for processing a target object, and in particular, the pressure of a target object processing apparatus for performing COR (Chemical Oxide Removal) processing or CVD processing instead of dry etching and wet etching. It relates to a control method.

従来から、化学反応を利用した薄膜の形状加工として、エッチングがある。通常、エッチング工程はリソグラフィ工程とセットになっており、リソグラフィ工程ではレジストパターンを形成し、エッチング工程では形成されたレジストパターンのとおりに薄膜を形状加工する。   Conventionally, there is etching as a shape processing of a thin film using a chemical reaction. Usually, the etching process is a set with the lithography process. In the lithography process, a resist pattern is formed, and in the etching process, the thin film is shaped according to the formed resist pattern.

エッチングには、ドライエッチングとウェットエッチングの2種類がある。ドライエッチングの中で最も一般的なものが平行平板型反応性イオンエッチングである。この平行平板型反応性イオンエッチングでは、真空処理装置(被処理体処理装置)が備える真空処理室を真空にして、当該真空処理室内に被処理体であるウエハを入れ、その後にエッチングガスを真空処理室に導入する。   There are two types of etching, dry etching and wet etching. The most common dry etching is parallel plate type reactive ion etching. In this parallel plate type reactive ion etching, a vacuum processing chamber provided in a vacuum processing apparatus (object processing apparatus) is evacuated, a wafer as an object to be processed is placed in the vacuum processing chamber, and then an etching gas is evacuated. Introduce into the processing chamber.

真空処理室内にはウエハを載置する載置台と、この載置台のウエハ載置面に平行に対面した上部電極とが配設されている。載置台に高周波電圧を加えると、エッチングガスはプラズマ化する。このプラズマ中では正・負のイオンや電子等の荷電粒子、エッチング種である中性活性種等がバラバラな状態で存在している。エッチング種がウエハ表面の薄膜に吸着されると、ウエハ表面で化学反応が起こり、生成物はウエハ表面から離脱して真空処理室の外部に排気され、エッチングが進行する。また、条件によってはウエハ表面にエッチング種がスパッタリングされて物理的反応によりエッチングが進行する。   In the vacuum processing chamber, a mounting table on which a wafer is mounted and an upper electrode facing the wafer mounting surface of the mounting table in parallel are arranged. When a high frequency voltage is applied to the mounting table, the etching gas is turned into plasma. In this plasma, charged particles such as positive and negative ions and electrons, neutral active species as etching species, and the like exist in various states. When the etching species are adsorbed by the thin film on the wafer surface, a chemical reaction occurs on the wafer surface, and the product is detached from the wafer surface and exhausted to the outside of the vacuum processing chamber, and etching proceeds. Further, depending on conditions, etching species are sputtered on the wafer surface, and etching proceeds by a physical reaction.

この際、高周波電界がウエハ表面に垂直にかかるためエッチング種(ラジカル)もウエハ表面に垂直な方向に運動を行う。したがって、エッチングはウエハ表面に垂直な方向に進み、ウエハ表面上を等方的に進むことはない。すなわち、エッチングはウエハ表面上を横へ広がることはない。このため、ドライエッチングは微細加工に向いている。   At this time, since the high-frequency electric field is applied perpendicularly to the wafer surface, the etching species (radicals) also move in the direction perpendicular to the wafer surface. Therefore, the etching proceeds in a direction perpendicular to the wafer surface and does not travel isotropically on the wafer surface. That is, the etching does not spread laterally on the wafer surface. For this reason, dry etching is suitable for fine processing.

しかしながら、ドライエッチングでは、レジストパターンどおりの高精度微細加工を行うために、被エッチング材とレジスト材とのエッチング速度の比を大きく取り、結晶欠陥の発生や不純物汚染等によるエッチングダメージに注意しなければならない。   However, in dry etching, in order to perform high-precision microfabrication according to the resist pattern, the etching rate ratio between the material to be etched and the resist material must be increased, and attention must be paid to the occurrence of crystal defects and etching damage due to impurity contamination. I must.

一方、ウェットエッチングには、薬液の入ったエッチング槽にウエハを浸すディップ式と、ウエハを回転させながらウエハに薬液をスプレーするスピン式とがある。これらの何れであっても、エッチングは等方的に進行するのでサイドエッチが生じてしまう。このため、ウェットエッチングは微細加工には利用できない。ただし、薄膜を全面的に除去する等の工程では現在も利用されている。   On the other hand, wet etching includes a dip type in which a wafer is immersed in an etching tank containing a chemical solution and a spin type in which a chemical solution is sprayed on the wafer while rotating the wafer. In any case, side etching occurs because etching proceeds isotropically. For this reason, wet etching cannot be used for microfabrication. However, it is still used in processes such as removing the thin film entirely.

また、化学反応を利用した薄膜の形成法として、CVD(Chemical Vapor Deposition:化学気相成長)法がある。CVD法では、2種類以上の反応ガスが気相中、或いはウエハの表面近傍等で反応し、該反応によって生成された生成物がウエハ表面に薄膜として形成される。このとき、ウエハが加熱され、該加熱されたウエハからの熱輻射によって反応ガスへ活性化エネルギが供給され、上述した反応ガスの反応が励起される。   As a method for forming a thin film using a chemical reaction, there is a CVD (Chemical Vapor Deposition) method. In the CVD method, two or more kinds of reaction gases react in the gas phase or in the vicinity of the wafer surface, and a product generated by the reaction is formed as a thin film on the wafer surface. At this time, the wafer is heated, activation energy is supplied to the reaction gas by heat radiation from the heated wafer, and the reaction of the reaction gas described above is excited.

従来、フラットパネルディスプレイを含む集積回路及びその他の電子素子の製造において上述したCVD処理を含む成膜処理、酸化処理、拡散処理、上述した形状加工処理としてのエッチング処理、アニール処理等の各種の処理を行うために、真空処理装置が用いられてきた。真空処理装置は、通常1つ以上のロードロック室と、少なくとも1つのトランスファ室と、1つ以上の処理室とを備えている。このような真空処理装置は、少なくとも2つのタイプが知られている。   Conventionally, various processes such as a film forming process including the above-described CVD process, an oxidizing process, a diffusion process, an etching process as the above-described shape processing process, and an annealing process in the manufacture of an integrated circuit including a flat panel display and other electronic devices. Vacuum processing equipment has been used to perform the above. The vacuum processing apparatus usually includes one or more load lock chambers, at least one transfer chamber, and one or more processing chambers. At least two types of such vacuum processing apparatuses are known.

1つのタイプは、マルチチャンバー型の真空処理装置である。この真空処理装置は、3つ乃至6つの真空処理室としてのプロセスチャンバと、これら各プロセスチャンバに被処理体としての半導体ウエハを搬入・搬出する搬送機構を備えた真空予備室(ロードロック室)と、各プロセスチャンバ及びロードロック室が周配され、それぞれにゲートバルブを介して気密に連通する複数個の接続口を周壁に有した多角形のトランスファチャンバと、このトランスファチャンバ内に設置された旋回及び伸縮可能な搬送アームとから構成されている(例えば、特許文献1参照)。   One type is a multi-chamber type vacuum processing apparatus. This vacuum processing apparatus includes a process chamber as three to six vacuum processing chambers, and a vacuum preliminary chamber (load lock chamber) provided with a transfer mechanism for loading and unloading a semiconductor wafer as an object to be processed into each of the process chambers. And a polygonal transfer chamber having a plurality of connection ports on the peripheral wall, each of which is arranged around each process chamber and the load lock chamber and communicated with each other in an airtight manner via a gate valve, and is installed in the transfer chamber. It is comprised from the conveyance arm which can be rotated and expanded / contracted (for example, refer patent document 1).

また、もう1つのタイプは、直列型チャンバを備える真空処理装置である。この真空処理装置は、半導体ウエハをエッチング処理する真空処理室と、この真空処理室との間でウエハの受け渡しを行うための搬送手段としてスカラ型シングルピックタイプ若しくはスカラ型ツインピックタイプの搬送アームを内蔵したロードロック室とを備えている。つまり、真空処理室と搬送アームを内蔵したロードロック室とを1つのモジュールとしている(例えば、特許文献2及び特許文献3参照)。   Another type is a vacuum processing apparatus including a series chamber. This vacuum processing apparatus includes a vacuum processing chamber for etching a semiconductor wafer, and a transfer arm of a scalar type single pick type or a scalar type twin pick type as transfer means for transferring the wafer between the vacuum processing chamber. It has a built-in load lock chamber. That is, the vacuum processing chamber and the load lock chamber including the transfer arm are used as one module (see, for example, Patent Document 2 and Patent Document 3).

尚、上述したいずれの真空処理装置においても、ロードロック室において真空/大気の切換が行われ、ウエハキャリアにセットされたウエハを搬送するローダ及び真空処理室間における円滑なウエハの受け渡しを実現している。   In any of the vacuum processing apparatuses described above, switching between vacuum / atmosphere is performed in the load lock chamber, and smooth transfer of wafers between the loader for transporting the wafer set on the wafer carrier and the vacuum processing chamber is realized. ing.

エッチング処理の場合、いずれの真空処装置においても、真空処理室に導入したエッチングガス(反応処理ガス)に高周波電圧を印加することによって反応処理ガスをプラズマ化して、エッチングを行っていた。これらドライエッチングは、エッチング種が印加電圧によって追随制御されることで垂直異方性に優れたエッチング加工がなされ、リソグラフィの要求線幅通りのエッチングが可能である。   In the case of the etching process, in any of the vacuum processing apparatuses, the reaction process gas is turned into plasma by applying a high frequency voltage to the etching gas (reaction process gas) introduced into the vacuum process chamber to perform etching. In these dry etching processes, the etching process is controlled according to the applied voltage, so that an etching process with excellent vertical anisotropy is performed, and etching according to the required line width of lithography is possible.

しかし、ウエハ表面に回路パターンを焼き付けるフォトリソグラフィ工程での微細加工技術の開発が進められている中、フォトリソグラフィの光源としてKrFエキシマレーザー(波長=248nm)の紫外線で露光するプロセスが実用化され、さらに、より短い波長(波長=193nm)のArFエキシマレーザーを使用したプロセスも実用化されつつある。さらに、2005年の次世代プロセスにおいては、F2レーザー(波長=157nm)を用いたフォトリソグラフィが70nm以下の微細パターンを形成できる技術として最有力になっている。ところが、耐ドライエッチ性を損なうことなく、150〜200nmの膜厚で線幅65nm以下の1:1ラインアンドスペースの微細パターン化を可能とするレジスト材料が開発されておらず、在来のレジスト材料によってはアウトガスによるパーティクル汚染という実用上の問題が生じており、異方性ドライエッチによる微細パターン化は限界に近づいている。   However, while the development of microfabrication technology in the photolithography process for printing circuit patterns on the wafer surface is underway, a process of exposing with ultraviolet rays from a KrF excimer laser (wavelength = 248 nm) has been put to practical use as a photolithography light source. Furthermore, a process using an ArF excimer laser having a shorter wavelength (wavelength = 193 nm) is being put into practical use. Furthermore, in the next-generation process in 2005, photolithography using an F2 laser (wavelength = 157 nm) has become the most prominent technique for forming a fine pattern of 70 nm or less. However, a resist material capable of forming a 1: 1 line and space fine pattern with a film thickness of 150 to 200 nm and a line width of 65 nm or less without deteriorating dry etch resistance has not been developed. Depending on the material, there is a practical problem of particle contamination due to outgas, and fine patterning by anisotropic dry etching is approaching its limit.

そこで、ドライエッチング及びウェットエッチングに代わる微細化エッチング処理方法としてCOR(Chemical Oxide Removal)が期待されている。COR処理は被処理体の酸化膜にガス分子を化学反応させて生成物を付着させるものであり、その後、ウエハを加熱して生成物を除去することによってリソパターンの線幅よりも細い線幅を得ることができる。また、CORは緩やかな等方性エッチであり、エッチレート圧力は、ガス濃度、ガス濃度比、処理温度、ガス流量、ガス流量比等のパラメータにより制御され、所定の処理時間以上で処理量が飽和することによってエッチングが終了する(エッチストップ)。このように、飽和のポイントを制御することによって所望のエッチレートを得ることができる。   Therefore, COR (Chemical Oxide Removal) is expected as a miniaturized etching treatment method that replaces dry etching and wet etching. In the COR process, gas molecules are chemically reacted to the oxide film of the object to be processed to adhere the product, and then the wafer is heated to remove the product, thereby reducing the line width thinner than the line width of the litho pattern. Can be obtained. COR is a gentle isotropic etch, and the etch rate pressure is controlled by parameters such as gas concentration, gas concentration ratio, processing temperature, gas flow rate, gas flow rate ratio, etc. The etching is finished by being saturated (etch stop). Thus, a desired etch rate can be obtained by controlling the saturation point.

このようなCOR処理は、ソース/ドレイン拡散活性化焼鈍と、後に除去され且つポリシリコンゲート領域に置き換えられるダミーゲート領域の直前で発生する金属シリケイド化とを有するダマシンゲート・プロセスを使用する、最小厚の多空乏層、金属シリサイド層が形成されたソース/ドレイン接合部及び極低膜抵抗ポリゲートを備えるサブ0.1μmの金属酸化物半導体電界効果トランジスタ装置の製造に適している(例えば、特許文献4参照)。   Such COR processing uses a damascene gate process with source / drain diffusion activated annealing and metal suicide that occurs immediately before the dummy gate region that is later removed and replaced with a polysilicon gate region. It is suitable for manufacturing a sub-0.1 μm metal oxide semiconductor field effect transistor device including a thick multi-depletion layer, a source / drain junction formed with a metal silicide layer, and a very low film resistance polygate (for example, Patent Documents). 4).

特開平08−46013号公報Japanese Patent Laid-Open No. 08-46013 特開2001−53131号公報JP 2001-53131 A 特開2000−150618号公報JP 2000-150618 A 米国特許第6440808号明細書US Pat. No. 6,440,808

従来のエッチング処理を行う真空処理装置では複数の処理をより効率良く行うことが求められている。また、COR処理やCVD処理を行う真空処理装置に対しても、これらの処理では加熱されたウエハを冷却する処理が必要となるため、特に、複数の処理をより効率良く行うことが求められるが、従来の真空処理装置では、上述したように、ロードロック室において真空/大気の切換を行う一方、当該ロードロック室が搬送アームとウエハを冷却する冷却機構とを備えるため、ロードロック室の容積は必然的に大きくなり、真空/大気の切換に時間を要する。また、ロードロック室内に搬入されたウエハは長時間、真空/大気の切換に起因する空気の対流に晒されるため、該対流によって舞い上がったパーティクルが付着するというおそれもある。   Conventional vacuum processing apparatuses that perform etching processes are required to perform a plurality of processes more efficiently. In addition, since vacuum processing apparatuses that perform COR processing and CVD processing require processing for cooling a heated wafer, it is particularly required to perform a plurality of processing more efficiently. In the conventional vacuum processing apparatus, as described above, the vacuum / atmosphere switching is performed in the load lock chamber, while the load lock chamber includes a transfer arm and a cooling mechanism for cooling the wafer. Inevitably grows, and it takes time to switch between the vacuum and the atmosphere. In addition, since the wafer carried into the load lock chamber is exposed to air convection for a long time due to the switching of the vacuum / atmosphere, there is a possibility that particles that have risen by the convection adhere.

本発明の目的は、複数の処理を効率良く行うことができる被処理体処理装置の圧力制御方法を提供することにある。   The objective of this invention is providing the pressure control method of the to-be-processed object processing apparatus which can perform a some process efficiently.

上記目的を達成するために、請求項1記載の被処理体処理装置の圧力制御方法は、ロードロック室と、被処理体にCOR処理するCOR処理室と、前記COR処理を受けた被処理体に熱処理を施す熱処理室と、前記被処理体を前記ロードロック室との間で搬出入する大気搬送モジュールと、を有する被処理体処理装置の圧力制御方法であって、前記熱処理室の真空引き中に、前記ロードロック室を大気状態にして前記COR処理前の被処理体を前記大気搬送モジュールから前記ロードロック室に搬入する搬入ステップと、前記熱処理室の真空引きを終了して前記ロードロック室を設定圧力まで真空引きするロードロック室真空引きステップと、前記ロードロック室が前記設定圧力に到達した後に当該ロードロック室の真空引きを終了し、“ロードロック室内圧力>熱処理室内圧力”の圧力条件が満たされるように前記熱処理室を真空引きする熱処理室真空引きステップと、前記圧力条件が満たされた後に、前記ロードロック室と前記熱処理室とを連通させる第1の連通ステップと、を有することを特徴とする。   In order to achieve the above object, a pressure control method for an object processing apparatus according to claim 1 includes a load lock chamber, a COR processing chamber for performing COR processing on the object to be processed, and an object to be processed that has undergone the COR processing. A pressure control method for a target object processing apparatus, comprising: a heat processing chamber for performing heat processing on the substrate; and an atmospheric transfer module for transferring the target object to and from the load lock chamber. A loading step of bringing the object to be processed before COR processing into the load lock chamber from the atmospheric transfer module with the load lock chamber being in an atmospheric state, and evacuating the heat treatment chamber to finish the load lock. A load lock chamber evacuation step for evacuating the chamber to a set pressure, and evacuating the load lock chamber after the load lock chamber reaches the set pressure, A heat treatment chamber evacuation step for evacuating the heat treatment chamber so that a pressure condition of “drock chamber pressure> heat treatment chamber pressure” is satisfied, and the load lock chamber and the heat treatment chamber communicate with each other after the pressure condition is satisfied. And a first communication step.

請求項2記載の被処理体処理装置の圧力制御方法は、請求項1記載の被処理体処理装置の圧力制御方法において、前記第1の連通ステップに続いて、前記熱処理室を排気しながら当該熱処理室内の圧力をモニタする第1の圧力モニタステップと、“熱処理室内圧力<COR処理室内圧力”の圧力条件が満たされるように前記COR処理室内を排気するCOR処理室排気ステップと、前記圧力条件が満たされた時点で前記COR処理室の排気を終了して、前記熱処理室と前記COR処理室とを連通させる第2の連通ステップと、を有することを特徴とする。   The pressure control method for the object processing apparatus according to claim 2 is the pressure control method for the object processing apparatus according to claim 1, wherein the heat treatment chamber is evacuated following the first communication step. A first pressure monitoring step for monitoring the pressure in the heat treatment chamber; a COR treatment chamber exhaust step for evacuating the COR treatment chamber so that a pressure condition of “heat treatment chamber pressure <COR treatment chamber pressure” is satisfied; and the pressure condition And a second communication step of terminating the exhaust of the COR processing chamber when the condition is satisfied and causing the heat treatment chamber and the COR processing chamber to communicate with each other.

請求項3記載の被処理体処理装置の圧力制御方法は、請求項2記載の被処理体処理装置の圧力制御方法において、前記第2の連通ステップの後に、前記ロードロック室及び前記COR処理室に流体を流入させる流入ステップをさらに有することを特徴とする。   The pressure control method for an object processing apparatus according to claim 3 is the pressure control method for an object processing apparatus according to claim 2, wherein the load lock chamber and the COR processing chamber are provided after the second communication step. The method further includes an inflow step for causing a fluid to flow into the liquid.

請求項4記載の被処理体処理装置の圧力制御方法は、請求項3記載の被処理体処理装置の圧力制御方法において、前記ロードロック室から前記熱処理室への流体の流量及び前記COR処理室から前記熱処理室への流体の流量が等しいことを特徴とする。   The pressure control method for an object processing apparatus according to claim 4 is the pressure control method for an object processing apparatus according to claim 3, wherein the flow rate of fluid from the load lock chamber to the heat treatment chamber and the COR processing chamber are set. The flow rate of fluid to the heat treatment chamber is equal.

請求項5記載の被処理体処理装置の圧力制御方法は、請求項2乃至4のいずれか1項に記載の被処理体処理装置の圧力制御方法において、前記COR処理が施された被処理体を前記COR処理室から搬出した後に、前記熱処理室及び前記COR処理室を排気して前記COR処理室の圧力をESC残留電荷除去のための除電圧力にする排気ステップをさらに有することを特徴とする。   The pressure control method for the object processing apparatus according to claim 5 is the pressure control method for the object processing apparatus according to any one of claims 2 to 4, wherein the COR process is performed. And a step of evacuating the heat treatment chamber and the COR treatment chamber so that the pressure in the COR treatment chamber is reduced to a voltage removal force for removing the ESC residual charge. .

上記目的を達成するために、請求項6記載の被処理体処理装置の圧力制御方法は、被処理体にCOR処理するCOR処理室と、前記COR処理を受けた被処理体に熱処理を施す熱処理室と、を有する被処理体処理装置の圧力制御方法であって、前記熱処理室を排気しながら当該熱処理室内の圧力をモニタする圧力モニタステップと、“熱処理室内圧力<COR処理室内圧力”の圧力条件が満たされるように前記COR処理室内を排気するCOR処理室排気ステップと、前記圧力条件が満たされた時点で前記COR処理室の排気を終了して、前記熱処理室と前記COR処理室とを連通させる連通ステップと、を有することを特徴とする。   In order to achieve the above object, a pressure control method for a target object processing apparatus according to claim 6 includes: a COR processing chamber for performing a COR process on the target object; and a heat treatment for performing a heat treatment on the target object subjected to the COR process. A pressure control step of monitoring the pressure in the heat treatment chamber while exhausting the heat treatment chamber, and a pressure of “heat treatment chamber pressure <COR treatment chamber pressure” A COR processing chamber exhausting step for exhausting the COR processing chamber so that a condition is satisfied, and exhausting the COR processing chamber when the pressure condition is satisfied, and the heat treatment chamber and the COR processing chamber And a communication step for communicating.

請求項1記載の被処理体処理装置の圧力制御方法によれば、ロードロック室と熱処理室とを連通した後、「ロードロック室内圧力>熱処理室内圧力」の条件を満たすように熱処理室を排気するので、熱処理室内の雰囲気がロードロック室内に回り込むことを防ぐことができる。   According to the pressure control method for the object processing apparatus according to claim 1, after the load lock chamber communicates with the heat treatment chamber, the heat treatment chamber is exhausted so as to satisfy the condition of “load lock chamber pressure> heat treatment chamber pressure”. Therefore, it is possible to prevent the atmosphere in the heat treatment chamber from entering the load lock chamber.

請求項2記載の被処理体処理装置の圧力制御方法によれば、ロードロック室と熱処理室とを連通した後も「熱処理室内圧力<COR処理室内圧力」の条件を満たすように熱処理室を排気するので、熱処理室内の雰囲気がロードロック室内に回り込むことを防げるとともに、熱処理室とCOR処理室とを連通した後も継続して熱処理室を排気することにより、熱処理室内の雰囲気がCOR処理室の内部に回り込むことを防ぐことができる。   According to the pressure control method of the object processing apparatus according to claim 2, the heat treatment chamber is evacuated so as to satisfy the condition of “heat treatment chamber pressure <COR treatment chamber pressure” even after the load lock chamber and the heat treatment chamber are communicated. Therefore, the atmosphere in the heat treatment chamber can be prevented from flowing into the load lock chamber, and the heat treatment chamber is continuously evacuated even after the heat treatment chamber and the COR treatment chamber communicate with each other. It is possible to prevent sneaking into the inside.

請求項3記載の被処理体処理装置の圧力制御方法によれば、ロードロック室及びCOR処理室に流体を流入させるので、熱処理室からの排気の際に対流等の発生を防ぐことができる。   According to the pressure control method for the object processing apparatus according to the third aspect, since the fluid is caused to flow into the load lock chamber and the COR processing chamber, it is possible to prevent the occurrence of convection during the exhaust from the heat treatment chamber.

請求項4記載の被処理体処理装置の圧力制御方法によれば、ロードロック室から熱処理室への流体の流量及びCOR処理室から熱処理室への流体の流量が等しいので、熱処理室の圧力平衡状態の維持を行い、さらに排気の流れる方向を一定とすることができる。   According to the pressure control method for the object processing apparatus according to claim 4, since the flow rate of the fluid from the load lock chamber to the heat treatment chamber and the flow rate of the fluid from the COR treatment chamber to the heat treatment chamber are equal, The state can be maintained, and the flow direction of the exhaust gas can be made constant.

請求項5記載の圧力制御方法によれば、COR処理を施した被処理体をCOR処理室から搬出した後に、熱処理室及びCOR処理室を排気してCOR処理室の圧力をESC残留電荷除去のための除電圧力にするので、熱処理室内の雰囲気がCOR処理室内に回り込むことなくESC除電が可能になる。   According to the pressure control method of the fifth aspect, after carrying out the COR-treated object to be processed from the COR processing chamber, the heat treatment chamber and the COR processing chamber are evacuated, and the pressure in the COR processing chamber is adjusted to remove the ESC residual charge. Therefore, the ESC charge removal is possible without the atmosphere in the heat treatment chamber flowing into the COR treatment chamber.

請求項6記載の圧力制御方法によれば、熱処理室とCOR処理室とを連通した後も継続して「熱処理室内圧力<COR処理室内圧力」の条件を満たすように熱処理室を排気することにより、熱処理室内の雰囲気がCOR処理室の内部に回り込むことを防ぐことができる。   According to the pressure control method of claim 6, by continuously exhausting the heat treatment chamber so as to satisfy the condition of “heat treatment chamber pressure <COR treatment chamber pressure” even after the heat treatment chamber and the COR treatment chamber are communicated with each other. It is possible to prevent the atmosphere in the heat treatment chamber from entering the inside of the COR treatment chamber.

本発明の第1の実施の形態に係る真空処理装置の概略構成を示す概略平面図である。1 is a schematic plan view showing a schematic configuration of a vacuum processing apparatus according to a first embodiment of the present invention. 図1の真空処理装置の概略構成を示す側面図である。It is a side view which shows schematic structure of the vacuum processing apparatus of FIG. 図1の真空処理装置100における被処理体の搬送シーケンスの流れの前半を示す図である。It is a figure which shows the first half of the flow of the conveyance sequence of the to-be-processed object in the vacuum processing apparatus of FIG. 図3に示した搬送シーケンスに続く後半の流れを示す図である。It is a figure which shows the flow of the second half following the conveyance sequence shown in FIG. 真空処理装置100の圧力調整におけるタイミングチャートを示す図である。It is a figure which shows the timing chart in the pressure adjustment of the vacuum processing apparatus. 本発明の第2の実施の形態に係る真空処理装置の概略構成を示す概略平面図である。It is a schematic plan view which shows schematic structure of the vacuum processing apparatus which concerns on the 2nd Embodiment of this invention. 図6の真空処理装置の概略構成を示す側面図である。It is a side view which shows schematic structure of the vacuum processing apparatus of FIG. 図6の真空処理装置600における被処理体の搬送シーケンスの流れを示す図である。It is a figure which shows the flow of the conveyance sequence of the to-be-processed object in the vacuum processing apparatus 600 of FIG.

以下、本発明の第1の実施の形態にかかる真空処理装置(被処理体処理装置)について図面を参照しながら説明する。   Hereinafter, a vacuum processing apparatus (an object processing apparatus) according to a first embodiment of the present invention will be described with reference to the drawings.

図1は、本発明の第1の実施の形態に係る真空処理装置の概略構成を示す概略平面図である。図2は、図1の真空処理装置の概略構成を示す側面図である。   FIG. 1 is a schematic plan view showing a schematic configuration of a vacuum processing apparatus according to the first embodiment of the present invention. FIG. 2 is a side view showing a schematic configuration of the vacuum processing apparatus of FIG.

図1において、真空処理装置100は、半導体ウエハ等の被処理体を処理する第1の真空処理室10(第1の処理室)、この第1の真空処理室10と連通自在且つ隣接して連結された、被処理体を処理する第2の真空処理室30(第2の処理室)、これらと縦列をなす位置で第2の真空処理室30に連通自在に連結されたロードロック室50、及びロードロック室50に連通自在に連結された大気搬送モジュール(LoaderModule)70を備えている。   In FIG. 1, a vacuum processing apparatus 100 is connected to and adjacent to a first vacuum processing chamber 10 (first processing chamber) for processing an object to be processed such as a semiconductor wafer, and the first vacuum processing chamber 10. A connected second vacuum processing chamber 30 (second processing chamber) for processing an object to be processed, and a load lock chamber 50 connected to the second vacuum processing chamber 30 so as to communicate with the second vacuum processing chamber 30 in a column and a second column. And an atmospheric transfer module (LoaderModule) 70 connected to the load lock chamber 50 so as to be freely communicated.

第1の真空処理室10の内部には処理の際に被処理体を載置しておく載置台11及び被処理体の受け渡しをするための被処理体保持器12が配設されている。図2に示すように第1の真空処理室10の外側上部にはNガス等を供給するガス供給系13が接続されており、外側下部には排気系圧力制御バルブ14が取り付けられている。また、第1の真空処理室10には室内の圧力を測定するための圧力測定器(図示せず)が取り付けられている。 Inside the first vacuum processing chamber 10, a mounting table 11 on which a processing object is mounted during processing and a processing object holder 12 for delivering the processing object are arranged. As shown in FIG. 2, a gas supply system 13 for supplying N 2 gas or the like is connected to the outer upper portion of the first vacuum processing chamber 10, and an exhaust system pressure control valve 14 is attached to the outer lower portion. . The first vacuum processing chamber 10 is attached with a pressure measuring device (not shown) for measuring the pressure in the chamber.

この第1の真空処理室10の側壁には被処理体を搬入搬出するための搬送口(図示せず)が穿設されている。同様に第1の搬送口(図示せず)が第2の真空処理室30にも穿設されている。第1の真空処理室10と第2の真空処理室30とは、それぞれの搬送口が穿設された部分同士を連結ユニット20によって連結されている。この連結ユニット20は、第1の真空処理室10と第2の真空処理室30との間の環境隔離をするためにゲートバルブ21や断熱ユニット22を備えている。   The side wall of the first vacuum processing chamber 10 is provided with a transfer port (not shown) for loading and unloading the object to be processed. Similarly, a first transfer port (not shown) is also formed in the second vacuum processing chamber 30. The first vacuum processing chamber 10 and the second vacuum processing chamber 30 are connected by a connecting unit 20 at portions where the respective transport ports are formed. The connecting unit 20 includes a gate valve 21 and a heat insulating unit 22 in order to isolate the environment between the first vacuum processing chamber 10 and the second vacuum processing chamber 30.

第2の真空処理室30には処理の際に被処理体を載置しておく載置台31及び被処理体の受け渡しをするための被処理体保持器32が配設されている。図2に示すように第2の真空処理室30の外側上部にはNガス等を供給するガス供給系33が接続されており、外側下部には排気系圧力制御バルブ34が取り付けられている。また、第2の真空処理室30には室内の圧力を測定するための圧力測定器(図示せず)が取り付けられている。 The second vacuum processing chamber 30 is provided with a mounting table 31 on which a processing object is mounted during processing and a processing object holder 32 for delivering the processing object. As shown in FIG. 2, a gas supply system 33 for supplying N 2 gas or the like is connected to the outer upper portion of the second vacuum processing chamber 30, and an exhaust system pressure control valve 34 is attached to the outer lower portion. . The second vacuum processing chamber 30 is provided with a pressure measuring device (not shown) for measuring the pressure in the chamber.

この第2の真空処理室30には上記の第1の搬送口の他に第2の搬送口(図示せず)が穿設されている。同様に第1の搬送口(図示せず)がロードロック室50にも穿設されている。第2の真空処理室30の第2の搬送口とロードロック室50の第1の搬送口とは、それぞれ搬送口が穿設された部分同士を連結ユニット40によって連結されている。これにより、第1の真空処理室10、第2の真空処理室30及びロードロック室50は縦列に配置される。連結ユニット40は、第2の真空処理室30とロードロック室50との間の環境隔離をするためにゲートバルブ41や断熱ユニット42を備えている。   The second vacuum processing chamber 30 is provided with a second transfer port (not shown) in addition to the first transfer port. Similarly, a first transfer port (not shown) is also formed in the load lock chamber 50. The second transfer port of the second vacuum processing chamber 30 and the first transfer port of the load lock chamber 50 are connected by a connecting unit 40 at portions where the transfer ports are formed. Thus, the first vacuum processing chamber 10, the second vacuum processing chamber 30, and the load lock chamber 50 are arranged in a column. The connection unit 40 includes a gate valve 41 and a heat insulating unit 42 in order to isolate the environment between the second vacuum processing chamber 30 and the load lock chamber 50.

ロードロック室50の内部には、被処理体の受け渡しをするために搬送中の被処理体を保持する被処理体保持部51並びに当該被処理体保持部51を第1の真空処理室10、第2の真空処理室30及び大気搬送モジュール70に搬送する搬送機構52(搬送アーム)が配設されている。被処理体を保持している被処理体保持部51を搬送機構52が搬送することにより、被処理体を第1の真空処理室10、第2の真空処理室30及び大気搬送モジュール70の間で搬送し、受け渡しをすることができる。   Inside the load lock chamber 50, the object holder 51 that holds the object to be processed for delivery of the object to be processed, and the object holder 51 are connected to the first vacuum processing chamber 10, A transport mechanism 52 (transport arm) that transports the second vacuum processing chamber 30 and the atmospheric transport module 70 is provided. The transport mechanism 52 transports the target object holding portion 51 that holds the target object, so that the target object is moved between the first vacuum processing chamber 10, the second vacuum processing chamber 30, and the atmospheric transport module 70. Can be transported and delivered.

図2に示すようにロードロック室50の外側上部にはNガス等を供給するガス供給系53が接続されており、外側下部には排気系80が接続されている。また、ロードロック室50には室内の圧力を測定するための圧力測定器(図示せず)が取り付けられている。 As shown in FIG. 2, a gas supply system 53 that supplies N 2 gas or the like is connected to the outer upper portion of the load lock chamber 50, and an exhaust system 80 is connected to the outer lower portion. The load lock chamber 50 is provided with a pressure measuring device (not shown) for measuring the indoor pressure.

このロードロック室50には上記の第1の搬送口の他に第2の搬送口(図示せず)が穿設されている。同様の搬送口(図示せず)が大気搬送モジュール70にも穿設されている。ロードロック室50と大気搬送モジュール70とは、それぞれの搬送口が穿設された部分同士を連結ユニット60によって連結されている。連結ユニット60は、ロードロック室50と大気搬送モジュール70との間の環境隔離をするためにドアーバルブ61や断熱ユニット62を備えている。   The load lock chamber 50 is provided with a second transfer port (not shown) in addition to the first transfer port. A similar transfer port (not shown) is also formed in the atmospheric transfer module 70. The load lock chamber 50 and the atmospheric transfer module 70 are connected by a connecting unit 60 at portions where the transfer ports are formed. The connecting unit 60 includes a door valve 61 and a heat insulating unit 62 in order to isolate the environment between the load lock chamber 50 and the atmospheric transfer module 70.

以上、真空処理装置100の構成を説明したが、真空処理室の数は第1の真空処理室10及び第2の真空処理室30の2つに限らず3つ以上の真空処理室を縦列に連結することもできる。   Although the configuration of the vacuum processing apparatus 100 has been described above, the number of vacuum processing chambers is not limited to two, the first vacuum processing chamber 10 and the second vacuum processing chamber 30, and three or more vacuum processing chambers are arranged in tandem. It can also be linked.

上述した真空処理装置100では、後述する被処理体の搬送シーケンスが実行されるが、被処理体が正常に搬送されないとき、被処理体に不正な処理が施されるのを防止するため、被処理体の搬送シーケンスは直ちに中断される必要がある。従って、真空処理装置100は搬送される被処理体の位置を正確に把握する機能を有することが必要である。そのため、真空処理装置100は下記に示す複数の位置センサを備える。   In the vacuum processing apparatus 100 described above, a transfer sequence of the object to be processed, which will be described later, is executed. However, when the object to be processed is not normally transferred, the object to be processed is prevented from being illegally processed. The transfer sequence of the processing body needs to be interrupted immediately. Therefore, the vacuum processing apparatus 100 needs to have a function of accurately grasping the position of the object to be conveyed. Therefore, the vacuum processing apparatus 100 includes a plurality of position sensors described below.

まず、被処理体に直接接触する載置台31(若しくは、被処理体保持器32)、搬送機構52(若しくは、被処理体保持部51)及びロードロック室50内に備えられた被処理体を一時保持するための載置台(不図示)の各々は位置センサを備え、該位置センサによって被処理体の有無を検知すると共に、第1の真空処理室10内の載置台11は内蔵するESCチャックの状態や位置センサによって被処理体の有無を検知する。これら検知された情報から被処理体の位置を検出するソフトウエアを作成することはいわゆる真空処理装置の当業者にとって容易な事項であり、このようなソフトウエアにより、例えば、搬送機構52等の動作を制御する制御部(不図示)は、真空処理装置100の内部において搬送される被処理体の位置を検出することができる。   First, the object to be processed provided in the mounting table 31 (or object holder 32), the transport mechanism 52 (or object holder 51), and the load lock chamber 50 that directly contacts the object to be processed. Each of the mounting tables (not shown) for temporary holding includes a position sensor that detects the presence or absence of an object to be processed by the position sensor, and the mounting table 11 in the first vacuum processing chamber 10 has a built-in ESC chuck. The presence or absence of the object to be processed is detected by the state or position sensor. Creating software for detecting the position of the object to be processed from the detected information is an easy matter for those skilled in the art of so-called vacuum processing apparatuses. With such software, for example, the operation of the transport mechanism 52 and the like is performed. A control unit (not shown) that controls the position of the object to be processed conveyed inside the vacuum processing apparatus 100 can be detected.

さらに、第1の真空処理室10、第2の真空処理室30及びロードロック室50には、被処理体の搬送経路に沿って各ゲートバルブ21,41及びドアーバルブ61を挟んだ位置の各々に、位置センサユニット90,91,92,93,94及び95が配設される。各位置センサユニットは、被処理体の外縁に指向する3つの位置センサ、例えばレーザセンサからなり、各レーザセンサは上記外縁に向かって放射状に配置されるか、若しくは被処理体の外縁に対応した位置に配置され、被処理体の位置を検出するだけでなく、被処理体の中心位置をも検出する。   Further, the first vacuum processing chamber 10, the second vacuum processing chamber 30, and the load lock chamber 50 are respectively located at positions where the gate valves 21 and 41 and the door valve 61 are sandwiched along the conveyance path of the object to be processed. Position sensor units 90, 91, 92, 93, 94 and 95 are arranged. Each position sensor unit includes three position sensors directed to the outer edge of the object to be processed, such as laser sensors, and each laser sensor is arranged radially toward the outer edge or corresponds to the outer edge of the object to be processed. In addition to detecting the position of the object to be processed, the center position of the object to be processed is also detected.

制御部は、搬送前のロードロック室70における被処理体の中心位置(以下「初期位置」という。)と載置台11,31の中心位置との第1の相対的な位置関係を検出し、該検出された第1の相対的な位置関係に基づいて被処理体の搬送経路を決定し、該決定された搬送経路に沿って被処理体を搬送させ、載置台11,31へ搬送された被処理体の中心位置と初期位置との第2の相対的な位置関係を検出し、第1及び第2の相対的な位置関係の差異に基づいて載置台11,31上における被処理体の位置を補正する。これにより、各載置台への搬送経路を短く設定することができると共に、被処理体を載置台11,31に対して正確な位置に載置することができ、搬送作業の効率を向上することができ、もって複数の処理を効率良く行うことができる。   The control unit detects a first relative positional relationship between the center position of the object to be processed (hereinafter referred to as “initial position”) in the load lock chamber 70 before transport and the center position of the mounting tables 11 and 31. The transport path of the object to be processed is determined based on the detected first relative positional relationship, the object to be processed is transported along the determined transport path, and transported to the mounting tables 11 and 31. The second relative positional relationship between the center position of the object to be processed and the initial position is detected, and the object to be processed on the mounting tables 11 and 31 is detected based on the difference between the first and second relative positional relations. Correct the position. Thereby, while being able to set the conveyance path | route to each mounting base short, a to-be-processed object can be mounted in the exact position with respect to the mounting bases 11 and 31, and the efficiency of a conveyance operation | work is improved. Therefore, a plurality of processes can be performed efficiently.

搬送機構52はスカラ型シングルピックタイプ若しくはスカラ型ツインピックタイプ等の多関節アームを備える搬送アームであり、搬送アーム基部には連結プーリが配され、該連結プーリはタイミングベルトを介してアームの関節部に配された支持プーリに連結され、回転駆動力を支持プーリに伝達する。また、連結プーリは別のタイミングベルトを介してアームの回転角を検出するエンコーダが有する回転角プーリにも接続される。   The transport mechanism 52 is a transport arm having a multi-joint arm such as a scalar type single pick type or a scalar type twin pick type, and a connection pulley is arranged on the base of the transfer arm, and the connection pulley is connected to the joint of the arm via a timing belt. It is connected to a support pulley disposed in the section, and transmits rotational driving force to the support pulley. The connecting pulley is also connected to a rotation angle pulley of an encoder that detects the rotation angle of the arm via another timing belt.

エンコーダは、回転角プーリの回転開始位置、すわなち、搬送アームの移動開始位置を原点として電気的に保存する一方、上記別のタイミングベルトによって回転駆動される回転角プーリの回転角を回転角センサによってデジタル信号の形式で検出することによって搬送アームの移動距離を検出し、該検出された移動距離を被処理体の搬送、例えば、被処理体の位置決め等が正確に実行されているか否かの判定に使用するティーチングデータとして出力する。   The encoder is electrically stored with the rotation start position of the rotation angle pulley, that is, the movement start position of the transfer arm as the origin, while the rotation angle of the rotation angle pulley driven by the other timing belt is set as the rotation angle. Whether the movement distance of the transfer arm is detected by detecting it in the form of a digital signal by a sensor, and whether the detected movement distance is accurately carried out, for example, positioning of the object to be processed It is output as teaching data used for judgment.

真空処理装置100は、位置センサによって検出された被処理体の位置とエンコーダが出力するティーチングデータとを比較することによって、被処理体の位置決め、特に、載置台11や13において被処理体の位置決めが正確に行われているか否かを判定する。   The vacuum processing apparatus 100 compares the position of the object to be processed detected by the position sensor with the teaching data output from the encoder, thereby positioning the object to be processed, in particular, positioning of the object to be processed on the mounting tables 11 and 13. It is determined whether or not is correctly performed.

また、搬送機構52としての搬送アームは少なくとも2つの腕状部材からなり、該2つの腕状部材は互いに一端同士が回転自在に接続され、2つの腕状部材のうち一方の腕状部材における他端には被処理体保持部51が接続される。そして、被処理体保持部51が一方の腕状部材における他端を中心として被処理体の表面と平行に回転すると共に、一方の腕状部材が一端を中心として上記表面と平行に回転し且つ他の腕状部材が他端を中心として上記表面と平行に回転する。これにより、被処理体を第2の真空処理室30及び第1の真空処理室10における任意の位置へ、任意の搬送経路に沿って搬送することができ、搬送作業の効率を向上することができ、もって複数の処理を効率良く行うことができる。   Further, the transport arm as the transport mechanism 52 includes at least two arm-shaped members, and the two arm-shaped members are rotatably connected to each other, and the other arm of the two arm-shaped members is the other one. A workpiece holding unit 51 is connected to the end. And the to-be-processed object holding | maintenance part 51 rotates in parallel with the surface of a to-be-processed object centering on the other end in one arm-shaped member, and one arm-shaped member rotates in parallel with the said surface centering on one end, and The other arm-shaped member rotates around the other end in parallel with the surface. As a result, the object to be processed can be transferred to any position in the second vacuum processing chamber 30 and the first vacuum processing chamber 10 along any transfer path, and the efficiency of transfer work can be improved. Therefore, a plurality of processes can be performed efficiently.

さらに、上述した2つの腕状部材及び被処理体保持部51が任意の搬送経路、例えば、載置台11,31の配列方向に沿って被処理体を移動させるべく協動して回転する。これにより、さらに被処理体の搬送経路を短くすることができ、作業効率をより向上することができる。   Further, the above-described two arm-shaped members and the object-to-be-processed holding unit 51 rotate in cooperation so as to move the object to be processed along an arbitrary conveyance path, for example, the arrangement direction of the mounting tables 11 and 31. Thereby, the conveyance path | route of a to-be-processed object can be shortened further, and work efficiency can be improved more.

また、被処理体保持部51は、載置台11,31上において、被処理体であるウエハのオリエンテーションフラット(基準面)の位置を所定の位置に合わせるべく被処理体を保持したまま回転する。これにより、載置台11,31に対してウエハのオリエンテーションフラットの位置を所定の位置に容易に合わせることができ、作業効率をより向上することができる。   In addition, the workpiece holder 51 rotates on the mounting tables 11 and 31 while holding the workpiece so that the position of the orientation flat (reference surface) of the wafer as the workpiece is aligned with a predetermined position. Thereby, the position of the orientation flat of the wafer can be easily adjusted to a predetermined position with respect to the mounting tables 11 and 31, and the working efficiency can be further improved.

次に、真空処理装置100が実行する被処理体処理方法及び該方法における被処理体の搬送シーケンスについて説明する。   Next, an object processing method executed by the vacuum processing apparatus 100 and an object transfer sequence in the method will be described.

図3は、図1の真空処理装置100における被処理体の搬送シーケンスの流れの前半を示す図である。図4は、図3に示した搬送シーケンスに続く後半の流れを示す図である。   FIG. 3 is a diagram showing the first half of the flow of the sequence of conveying the object to be processed in the vacuum processing apparatus 100 of FIG. FIG. 4 is a diagram illustrating the latter half of the flow following the conveyance sequence illustrated in FIG. 3.

以下の説明では、真空処理装置100は被処理体に従来のエッチング処理(ドライエッチング、ウェットエッチング)を行う代わりにCOR(Chemical Oxide Removal)処理及びPHT(Post Heat Treatment)処理(熱処理)を行うものを例にあげる。COR処理は、被処理体の酸化膜にガス分子を化学反応させて生成物を付着させる処理であり、PHT処理は、COR処理を施された被処理体を加熱して、COR処理の化学反応によって被処理体に生成した生成物を気化・熱酸化(Thermal Oxidation)させて被処理体から飛ばすように除去する処理である。   In the following description, the vacuum processing apparatus 100 performs COR (Chemical Oxide Removal) processing and PHT (Post Heat Treatment) processing (heat treatment) instead of performing conventional etching processing (dry etching, wet etching) on an object to be processed. Take as an example. The COR process is a process in which a gas molecule is chemically reacted to the oxide film of the object to be processed to adhere a product, and the PHT process is a chemical reaction of the COR process by heating the object to be subjected to the COR process. In this process, the product generated on the object to be processed is vaporized and thermally oxidized (Thermal Oxidation) to be removed from the object to be processed.

ここで、下地をなす基板と該基板上に形成された所定の層とを有する被処理体に施されるCOR処理では、上記所定の層におけるゲート領域のポリシリコン層を除去した後に露出するポリシリコン、若しくは酸化物の層(酸化膜)が選択的にエッチングされるが、このCOR処理では当該エッチングの進行が基板の表面で停止するようにエッチレートが制御される。また、このCOR処理には、ゲート開口部を形成するために、HF及びNHの蒸気をエッチャント・ガスとして使用することによって低圧で実現可能な気相化学的酸化物除去プロセス(Vaporphase Chemical Oxide Removal Process)が含まれる。 Here, in the COR process applied to the object to be processed having the base substrate and the predetermined layer formed on the substrate, the polysilicon exposed after removing the polysilicon layer in the gate region in the predetermined layer. A silicon or oxide layer (oxide film) is selectively etched. In this COR process, the etching rate is controlled so that the progress of the etching stops at the surface of the substrate. The COR process also includes a vapor phase chemical oxide removal process (Vaporphase Chemical Oxide Removal) that can be realized at low pressure by using HF and NH 3 vapors as etchant gases to form gate openings. Process).

以下、第1の真空処理室10は被処理体にCOR処理を行うCOR処理室10とし、第2の真空処理室30は被処理体にPHT処理を行うPHT処理室30とする。ここでCOR処理室10におけるガス供給系13は、シャワーヘッドであるのが好ましく、この場合、COR処理室10内に均一に導入ガスを供給することが可能である。   Hereinafter, the first vacuum processing chamber 10 is a COR processing chamber 10 that performs COR processing on a target object, and the second vacuum processing chamber 30 is a PHT processing chamber 30 that performs PHT processing on a target object. Here, the gas supply system 13 in the COR processing chamber 10 is preferably a shower head. In this case, the introduced gas can be uniformly supplied into the COR processing chamber 10.

COR処理室10の容積は約30リットルであり、内部の圧力は0.5〜30mTorrであり、温度は15〜50℃であり、導入ガスはF含有の反応性ガス、還元性ガス、不活性ガス等である。不活性ガスはAr、He、Ne、Kr、Xe等のガスであるが、Arガスが好ましい。   The volume of the COR processing chamber 10 is about 30 liters, the internal pressure is 0.5-30 mTorr, the temperature is 15-50 ° C., and the introduced gas is F-containing reactive gas, reducing gas, inert gas Gas, etc. The inert gas is a gas such as Ar, He, Ne, Kr, or Xe, but Ar gas is preferable.

また、PHT処理室30の容積は約50リットルであり、内部の圧力は搬送時の圧力と異なるプロセス時の圧力に2段減圧される。また、2段減圧に限らず、プロセス条件に応じて2段以上の多段減圧であってもよい。また、内部の温度は80〜200℃であり、真空ポンプ排気速度は1600〜1800L/min(200mTorr時)であり、プロセス終了時(0.5mTorr時)には0〜100L/minであるが、PHT処理室30の真空度が達成されているとき、ポンプは作動しない。PHT処理室30に導入されるガスはパーティクル飛散防止及び冷却のためのガスであり、ダウンフローガス(N)である。 The volume of the PHT processing chamber 30 is about 50 liters, and the internal pressure is reduced by two stages to a pressure at the time of the process different from the pressure at the time of transfer. In addition to the two-stage decompression, a two-stage or more multi-stage decompression may be used depending on the process conditions. The internal temperature is 80 to 200 ° C., the vacuum pump exhaust speed is 1600 to 1800 L / min (at 200 mTorr), and 0 to 100 L / min at the end of the process (at 0.5 mTorr), When the degree of vacuum in the PHT process chamber 30 is achieved, the pump does not operate. The gas introduced into the PHT processing chamber 30 is a gas for preventing particle scattering and cooling, and is a downflow gas (N 2 ).

図3の(1)に示すように、始めに、被処理体W1が大気搬送モジュール70にあり、連結ユニット20,40のそれぞれのゲートバルブ21,41が閉じられており、COR処理室10とPHT処理室30とが隔離されている。一方、連結ユニット60のドアーバルブ61は開かれている。尚、被処理体W1は既に従来から有る処理によって表面に所定のパターンが形成されている。(2)に示すように、1枚目の被処理体W1を大気搬送モジュール70からロードロック室50に搬入し、連結ユニット60のドアーバルブ61を閉じる。次に、排気系圧力制御バルブ34を閉じてロードロック室50を真空引きする。ロードロック室50の真空引きが終了した後に、(3)に示すように排気系圧力制御バルブ34を開き、連結ユニット40のゲートバルブ41を開く。その後、連結ユニット20のゲートバルブ21を開く。   As shown in (1) of FIG. 3, first, the workpiece W1 is in the atmospheric transfer module 70, and the gate valves 21 and 41 of the connection units 20 and 40 are closed, so that the COR processing chamber 10 and The PHT processing chamber 30 is isolated. On the other hand, the door valve 61 of the connection unit 60 is opened. In addition, the to-be-processed object W1 has the predetermined pattern formed in the surface by the process already existing. As shown in (2), the first workpiece W1 is carried into the load lock chamber 50 from the atmospheric transfer module 70, and the door valve 61 of the connecting unit 60 is closed. Next, the exhaust system pressure control valve 34 is closed, and the load lock chamber 50 is evacuated. After the evacuation of the load lock chamber 50 is completed, the exhaust system pressure control valve 34 is opened and the gate valve 41 of the connection unit 40 is opened as shown in (3). Thereafter, the gate valve 21 of the connecting unit 20 is opened.

次に、(4)に示すように被処理体保持部51で保持した被処理体W1を搬送機構52によってCOR処理室10に搬入し、(5)に示すように被処理体保持部51及び搬送機構52がロードロック室50に戻った後にゲートバルブ21,41を閉じてCOR処理を開始する。この処理の間に、ロードロック室50を大気開放する。   Next, as shown in (4), the workpiece W1 held by the workpiece holder 51 is carried into the COR processing chamber 10 by the transport mechanism 52, and as shown in (5), the workpiece holder 51 and After the transport mechanism 52 returns to the load lock chamber 50, the gate valves 21 and 41 are closed and the COR process is started. During this process, the load lock chamber 50 is opened to the atmosphere.

次に、(6)及び(7)に示すように2枚目の被処理体W2を大気搬送モジュール70からロードロック室50に搬入し、ドアーバルブ61を閉じるとともに排気系圧力制御バルブ34を閉じてロードロック室50の真空引きを開始する。ロードロック室50の真空引きが終了した後に排気系圧力制御バルブ34及びゲートバルブ41を開けてCOR処理の終了を待つ。   Next, as shown in (6) and (7), the second workpiece W2 is carried into the load lock chamber 50 from the atmospheric transfer module 70, the door valve 61 is closed, and the exhaust system pressure control valve 34 is closed. The evacuation of the load lock chamber 50 is started. After the evacuation of the load lock chamber 50 is completed, the exhaust system pressure control valve 34 and the gate valve 41 are opened and the end of the COR processing is awaited.

(8)及び(9)に示すように、COR処理が終了した後にゲートバルブ21を開き、被処理体W1をCOR処理室10からPHT処理室30に移す。   As shown in (8) and (9), after the COR processing is completed, the gate valve 21 is opened, and the workpiece W1 is moved from the COR processing chamber 10 to the PHT processing chamber 30.

次に、(10)及び(11)に示すように、被処理体W2をロードロック室50からCOR処理室10に移し、(12)に示すように、被処理体保持部51及び搬送機構52がロードロック室50に戻った後にゲートバルブ21,41を閉じて、COR処理室10ではCOR処理を開始し、PHT処理室30ではPHT処理を開始する。   Next, as shown in (10) and (11), the workpiece W2 is moved from the load lock chamber 50 to the COR processing chamber 10, and as shown in (12), the workpiece holder 51 and the transport mechanism 52 are moved. After returning to the load lock chamber 50, the gate valves 21 and 41 are closed, the COR processing chamber 10 starts the COR processing, and the PHT processing chamber 30 starts the PHT processing.

PHT処理が終了した後は、(13)に示すようにゲートバルブ41を開いてPHT処理室30の被処理体W1をロードロック室50に移す。   After the PHT process is completed, the gate valve 41 is opened as shown in (13), and the workpiece W1 in the PHT process chamber 30 is moved to the load lock chamber 50.

次に、(14)乃至(16)に示すように、ゲートバルブ41を閉じてロードロック室50を大気開放した後に、ロードロック室50内の被処理体W1と大気搬送モジュール70に待機している3枚目の被処理体W3とを入れ換える。この後、(17)に示すようにロードロック室50を真空引きする。さらに、ゲートバルブ41を開いて被処理体W2に対するCOR処理が終了するまで待機する。上記搬送シーケンスには圧力制御を伴う。以上をロット(Lot)終了まで繰り返す。   Next, as shown in (14) to (16), after the gate valve 41 is closed and the load lock chamber 50 is opened to the atmosphere, the object to be processed W1 in the load lock chamber 50 and the atmospheric transfer module 70 are waited. The third processed object W3 is replaced. Thereafter, the load lock chamber 50 is evacuated as shown in (17). Further, the gate valve 41 is opened and the process waits until the COR process for the workpiece W2 is completed. The transfer sequence is accompanied by pressure control. The above is repeated until the lot ends.

以上説明した搬送シーケンスにおける(1)〜(16)の各ステップでは、上述した位置センサによって検出された被処理体の位置とティーチングデータとの比較に基づいた被処理体の位置決めの判定が実行されてもよく、或るステップにおいて被処理体の位置決めが正確に行われていない場合には、被処理体の搬送を中断すると共に、当該ステップと、当該ステップにおける被処理体の位置とを保存し、保存されたデータを再処理レシピの基礎データとして活用してもよい。   In each of the steps (1) to (16) in the conveyance sequence described above, the determination of the positioning of the object to be processed based on the comparison between the position of the object to be processed and the teaching data detected by the position sensor described above is executed. If positioning of the object to be processed is not accurately performed in a certain step, the conveyance of the object to be processed is interrupted and the step and the position of the object to be processed in the step are stored. The stored data may be used as basic data for the reprocessing recipe.

以上の説明は搬送方法の一例であり、例えば、ロードロック室50→第1の真空処理室10→ロードロック室50、ロードロック室50→第2の真空処理室30→ロードロック室50、ロードロック室50→第2の真空処理室30→第1の真空処理室10→ロードロック室50等の搬送パターンも可能である。   The above description is an example of the transfer method. For example, the load lock chamber 50 → the first vacuum processing chamber 10 → the load lock chamber 50, the load lock chamber 50 → the second vacuum processing chamber 30 → the load lock chamber 50, the load A transfer pattern such as the lock chamber 50 → the second vacuum processing chamber 30 → the first vacuum processing chamber 10 → the load lock chamber 50 is also possible.

さらに、必要に応じて第1の真空処理室10←→第2の真空処理室30間の往復も可能である。被処理体を上記のCOR処理室10(第1の真空処理室10)とPHT処理室30(第2の真空処理室30)との間で往復させて、COR処理及びPHT処理を繰り返すことにより、理論的には被処理体に形成するパターンの線幅をより細くすることができる。従って、パターンの微細化に対応することができる。   Furthermore, reciprocation between the first vacuum processing chamber 10 ← → the second vacuum processing chamber 30 is also possible as required. By reciprocating the object to be processed between the COR processing chamber 10 (first vacuum processing chamber 10) and the PHT processing chamber 30 (second vacuum processing chamber 30), and repeating the COR processing and PHT processing. Theoretically, the line width of the pattern formed on the object to be processed can be made thinner. Accordingly, it is possible to cope with pattern miniaturization.

本発明の第1の実施の形態に係る真空処理装置によれば、搬送機構52が、被処理体W1をロードロック室50に搬入し、ロードロック室50の真空引きが終了した後に、被処理体W1をCOR処理室10搬入し、COR処理が終了してから被処理体W1をCOR処理室10からPHT処理室30に移動させ、PHT処理が終了した後にPHT処理室30の被処理体W1をロードロック室50に移し、さらに、被処理体W1を大気搬送モジュール70に搬出するので、複数の処理室間での被処理体W1の搬入搬送の動作を簡易化でき、もって少なくとも1つのCOR処理を含む複数の処理を効率良く行うことができる。   According to the vacuum processing apparatus according to the first embodiment of the present invention, the transport mechanism 52 carries the workpiece W1 into the load lock chamber 50, and after the evacuation of the load lock chamber 50 is completed, the workpiece is processed. The body W1 is carried into the COR processing chamber 10, and after the COR processing is completed, the processing target W1 is moved from the COR processing chamber 10 to the PHT processing chamber 30, and after the PHT processing is completed, the processing target W1 in the PHT processing chamber 30 is completed. Is transferred to the load lock chamber 50, and the workpiece W1 is carried out to the atmospheric transfer module 70. Therefore, the operation of carrying the workpiece W1 between the plurality of processing chambers can be simplified, and at least one COR can be obtained. A plurality of processes including processes can be performed efficiently.

また、本発明の第1の実施の形態に係る真空処理装置によれば、次のプロセス条件を満たす場合には、第1の真空処理室10を待機させることなく、2つの連続した処理を効率よく行うことができる。   Moreover, according to the vacuum processing apparatus which concerns on the 1st Embodiment of this invention, when the following process conditions are satisfy | filled, two continuous processes are made efficient, without making the 1st vacuum processing chamber 10 stand by. Can be done well.

第1の真空処理室10における処理の時間:第1処理時間
第2の真空処理室30における処理の時間:第2処理時間
ロードロック室50と第2の真空処理室30との間の被処理体の入れ換え時間:第1の入換時間
ロードロック室50と大気搬送モジュール70との間の入換時間:第2の入換時間
プロセス条件:(第1処理時間)≧(第2処理時間)+(第1の入換時間)+(第2の入換時間)+(ロードロック室50の給排気時間)
第1の真空処理室10及び第2の真空処理室30の構成は、上記の例に限らず、エッチングシステム、成膜システム、コーティングシステム、計測システム、熱処理システム等の必要なモジュールを組み合わせて構成することができる。
Processing time in the first vacuum processing chamber 10: First processing time Processing time in the second vacuum processing chamber 30: Second processing time Processed between the load lock chamber 50 and the second vacuum processing chamber 30 Body replacement time: first replacement time Replacement time between load lock chamber 50 and atmospheric transfer module 70: second replacement time Process conditions: (first processing time) ≧ (second processing time) + (First exchange time) + (second exchange time) + (supply / exhaust time of the load lock chamber 50)
The configuration of the first vacuum processing chamber 10 and the second vacuum processing chamber 30 is not limited to the above example, and is configured by combining necessary modules such as an etching system, a film formation system, a coating system, a measurement system, and a heat treatment system. can do.

また、第1の真空処理室10及び第2の真空処理室30が常時真空の場合には、第2の真空処理室30及びロードロック室50を同時に真空引きする場合はないので、第2の真空処理室30及びロードロック室50の排気系80を共用することができる。   In addition, when the first vacuum processing chamber 10 and the second vacuum processing chamber 30 are constantly in vacuum, the second vacuum processing chamber 30 and the load lock chamber 50 are not simultaneously evacuated. The exhaust system 80 of the vacuum processing chamber 30 and the load lock chamber 50 can be shared.

次に、真空処理装置100の動作中における圧力調整について説明する。   Next, pressure adjustment during the operation of the vacuum processing apparatus 100 will be described.

図5は、真空処理装置100の圧力調整におけるタイミングチャートを示す図である。   FIG. 5 is a diagram illustrating a timing chart in the pressure adjustment of the vacuum processing apparatus 100.

1)PHT処理室30を真空引き中に、ロードロック室50を大気状態にしてCOR処理前の被処理体を大気搬送モジュール70からロードロック室50に搬入した後、PHT処理室30に取り付けられている排気系圧力制御バルブ34(以下、PHT排気バルブ34)を閉じ、ロードロック室50の真空引きを開始する。   1) While the PHT processing chamber 30 is evacuated, the load lock chamber 50 is brought into the atmospheric state, and the object to be processed before the COR processing is carried into the load lock chamber 50 from the atmospheric transfer module 70 and then attached to the PHT processing chamber 30. The exhaust system pressure control valve 34 (hereinafter referred to as PHT exhaust valve 34) is closed, and evacuation of the load lock chamber 50 is started.

ロードロック室50が設定圧力に到達した後、ロードロック室50の排気バルブ(図示せず。LLM排気バルブ)を閉じて、PHT排気バルブ34を開き、“ロードロック室50内圧力>PHT処理室30内圧力”となるように制御し、制御完了を確認後、ロードロック室50‐PHT処理室30間のゲートバルブ41(以下、PHT側ゲートバルブ41)を開いて、ロードロック室50とPHT処理室30とを連通させる。   After the load lock chamber 50 reaches a set pressure, the exhaust valve (not shown; LLM exhaust valve) of the load lock chamber 50 is closed and the PHT exhaust valve 34 is opened, and “pressure in the load lock chamber 50> PHT processing chamber 30 ”and after confirming the completion of the control, the gate valve 41 (hereinafter referred to as PHT side gate valve 41) between the load lock chamber 50 and the PHT processing chamber 30 is opened, and the load lock chamber 50 and the PHT are connected. The processing chamber 30 is communicated.

PHT側ゲートバルブ41を開いた後も継続してPHT排気バルブ34を開いておき、排気することによってPHT雰囲気がロードロック室50内に回り込むことを防げる。また、ロードロック室50から積極的に流体(N)を流し、対流の発生等を防ぐことも可能である。 Even after the PHT side gate valve 41 is opened, the PHT exhaust valve 34 is continuously opened to exhaust the PHT atmosphere into the load lock chamber 50 by exhausting. It is also possible to actively flow fluid (N 2 ) from the load lock chamber 50 to prevent convection.

2)PHT処理室30内の圧力をモニタし、“PHT処理室30内圧力<COR処理室10内圧力”となる様にCOR処理室10内の圧力を圧力制御する。   2) The pressure in the PHT processing chamber 30 is monitored, and the pressure in the COR processing chamber 10 is controlled so that “pressure in the PHT processing chamber 30 <pressure in the COR processing chamber 10”.

“PHT処理室30内圧力<COR処理室10内圧力”となった時点で、COR処理室10に取り付けられた排気系圧力制御バルブ14(以下、COR排気バルブ14)を閉じ、PHT処理室30‐COR処理室10間のゲートバルブ21(以下、COR側ゲートバルブ21)を開く。   When “the pressure in the PHT processing chamber 30 <the pressure in the COR processing chamber 10”, the exhaust system pressure control valve 14 (hereinafter referred to as the COR exhaust valve 14) attached to the COR processing chamber 10 is closed, and the PHT processing chamber 30 -The gate valve 21 between the COR processing chambers 10 (hereinafter, the COR side gate valve 21) is opened.

COR側ゲートバルブ21を開いた後も継続してPHT排気バルブ34を開いておき、排気することにより、PHT処理室30内の雰囲気がCOR処理室10の内部に回り込むことを防ぐことができる。また、COR処理室10から積極的に流体(N)を流し、対流の発生等を防ぐことも可能である。 Even after the COR-side gate valve 21 is opened, the PHT exhaust valve 34 is continuously opened and exhausted to prevent the atmosphere in the PHT processing chamber 30 from flowing into the COR processing chamber 10. Further, it is possible to actively flow a fluid (N 2 ) from the COR processing chamber 10 to prevent the occurrence of convection.

3)上述の1)に記述のシーケンスでPHT側ゲートバルブ41を開き、ロードロック室50とPHT処理室30とを1モジュールと想定して、上述の2)に記述のシーケンスでCOR側ゲートバルブ21を開く。PHT側ゲートバルブ41、COR側ゲートバルブ21が開いた後も継続してPHT排気バルブ34を開いておき、排気することにより、PHT処理室30内の雰囲気がロードロック室50の内部、COR処理室10の内部に回り込むことを防ぐことができる。   3) Assuming that the PHT side gate valve 41 is opened in the sequence described in 1) above and the load lock chamber 50 and the PHT processing chamber 30 are one module, the COR side gate valve in the sequence described in 2) above. 21 is opened. Even after the PHT side gate valve 41 and the COR side gate valve 21 are opened, the PHT exhaust valve 34 is continuously opened and exhausted, so that the atmosphere in the PHT processing chamber 30 is changed to the COR processing inside the load lock chamber 50. It is possible to prevent sneaking into the interior of the chamber 10.

また、積極的にロードロック室50、COR処理室10に流体(N)を流入させて、対流等の発生を防ぐことも可能であり、PHT処理室30への流体の流量を“ロードロック室50からの流量=COR処理室10からの流量”とすることによって、逆流が起こらないようにすることも可能である。 In addition, it is possible to prevent the occurrence of convection by causing the fluid (N 2 ) to actively flow into the load lock chamber 50 and the COR processing chamber 10. By setting “flow rate from the chamber 50 = flow rate from the COR processing chamber 10”, it is possible to prevent back flow.

4)上述の3)に記述のシーケンスにおいて、COR処理を施した被処理体をCOR処理室10から搬出した後にESC残留電荷除去のため、PHT排気系34を用いてCOR処理室10内を除電圧力になるように制御する。これにより、PHT処理室30内の雰囲気がCOR処理室10内に回り込むことなくESC除電が可能になる。   4) In the sequence described in 3) above, the inside of the COR processing chamber 10 is discharged using the PHT exhaust system 34 in order to remove the ESC residual charge after the processed object subjected to the COR processing is carried out of the COR processing chamber 10. Control to become pressure. Thereby, the ESC charge removal can be performed without the atmosphere in the PHT processing chamber 30 flowing into the COR processing chamber 10.

また、PHT処理室30及びCOR処理室10における処理を常時に真空状態で連続して行うことができるので、COR後の被処理体の酸化膜が大気の水分を吸収したりして化学反応を起こすことを防止できる。   In addition, since the processing in the PHT processing chamber 30 and the COR processing chamber 10 can be continuously performed in a vacuum state at all times, the oxide film of the object to be processed after COR absorbs moisture in the atmosphere and causes a chemical reaction. You can prevent it from happening.

尚、上述した搬送方法では製品となるウエハ(製品ウエハ)が被処理体として搬送されたが、搬送される被処理体は製品ウエハに限られず、真空処理装置100の各処理室やデバイスの作動を検査するためのダミーウエハや、各処理室のシーズニングに使用される他のダミーウエハであってもよい。   In the above-described transfer method, a product wafer (product wafer) is transferred as an object to be processed. However, the object to be transferred is not limited to a product wafer, and the operation of each processing chamber and device of the vacuum processing apparatus 100 is performed. It may be a dummy wafer for inspecting the above, or another dummy wafer used for seasoning each processing chamber.

次に、本発明の第2の実施の形態にかかる真空処理装置について図面を参照しながら説明する。   Next, a vacuum processing apparatus according to a second embodiment of the present invention will be described with reference to the drawings.

図6は、本発明の第2の実施の形態に係る真空処理装置の概略構成を示す概略平面図である。図7は、図6の真空処理装置の概略構成を示す側面図である。   FIG. 6 is a schematic plan view showing a schematic configuration of a vacuum processing apparatus according to the second embodiment of the present invention. FIG. 7 is a side view showing a schematic configuration of the vacuum processing apparatus of FIG.

図6において、真空処理装置600は、被処理体に真空処理を施す真空処理室601と、この真空処理室601と連通自在且つ縦列に連結された、被処理体に他の処理を施す大気処理室602と、真空処理室601及び大気処理室602の間に介在し、これらと列をなす位置で真空処理室601及び大気処理室602に連通自在に連結されたロードロック室603と、大気処理室602に連通自在に連結された大気搬送モジュール604とを備えている。   In FIG. 6, a vacuum processing apparatus 600 includes a vacuum processing chamber 601 that performs vacuum processing on an object to be processed, and an atmospheric process that communicates with the vacuum processing chamber 601 and that is connected in a column and performs other processing on the object to be processed. A chamber 602, a load lock chamber 603 that is interposed between the vacuum processing chamber 601 and the atmospheric processing chamber 602, and is connected to the vacuum processing chamber 601 and the atmospheric processing chamber 602 at a position in line with the chamber 602; And an atmospheric transfer module 604 connected to the chamber 602 so as to be freely communicated.

真空処理室601の内部には、処理の際、真空処理室601の内部においてプラズマを発生させるための高周波電圧が印加される下部電極及び被処理体を載置しておくための台座を兼ねる載置台605と、該載置台605に内蔵され且つ載置台605に載置された被処理体を加熱するヒータ606と、真空処理室601の内部に反応ガスを供給する供給系及び下部電極としての載置台605と協動して当該内部に高周波電界を発生させる上部電極を兼ねるシャワーヘッド607と、開閉自在なバルブ(図示せず)を有し且つ真空処理室601の内部に発生したプラズマや生成物の残滓を排出する排出口608と、室内の圧力を測定するための圧力測定器(図示せず)とが配設される。真空処理室601の内部は常時に真空状態であり、ここでは真空処理が実行可能な状況となっている。   In the vacuum processing chamber 601, a lower electrode to which a high-frequency voltage for generating plasma is applied in the vacuum processing chamber 601 and a base for mounting an object to be processed are placed. A mounting table 605, a heater 606 that is built in the mounting table 605 and that heats an object to be processed mounted on the mounting table 605, a supply system that supplies reaction gas into the vacuum processing chamber 601, and a mounting as a lower electrode Plasma or products generated in the vacuum processing chamber 601 having a shower head 607 also serving as an upper electrode that generates a high-frequency electric field in cooperation with the mounting table 605, and a valve (not shown) that can be freely opened and closed. A discharge port 608 for discharging the residue and a pressure measuring device (not shown) for measuring the pressure in the room are arranged. The inside of the vacuum processing chamber 601 is always in a vacuum state, and here, vacuum processing can be performed.

この真空処理室601の側壁には被処理体を搬入搬出するための搬送口(図示せず)が穿設されている。真空処理室601に隣接して配されるロードロック室603の側壁にも同様の搬送口(図示せず)が穿設されている。真空処理室601とロードロック室603とは、それぞれの搬送口が穿設された部分同士を連結ユニット611によって連結されている。この連結ユニット611は、真空処理室601とロードロック室603との間の環境隔離をするためにゲートバルブ612や断熱ユニット613を備えている。   The side wall of the vacuum processing chamber 601 is provided with a transfer port (not shown) for loading and unloading the object to be processed. A similar transfer port (not shown) is also formed in the side wall of the load lock chamber 603 disposed adjacent to the vacuum processing chamber 601. The vacuum processing chamber 601 and the load lock chamber 603 are connected by a connecting unit 611 at portions where the respective transport ports are formed. The connecting unit 611 includes a gate valve 612 and a heat insulating unit 613 in order to isolate the environment between the vacuum processing chamber 601 and the load lock chamber 603.

大気処理室602の内部には、被処理体を載置しておくための載置台609と、載置台609に載置された被処理体を保持する保持具610とが配設される。載置台609は、冷媒が循環可能な冷却機構として冷却回路(図示せず)を内蔵し、載置された被処理体を冷却する。また、大気処理室602は常時に大気開放され、その内部は大気圧状態にある。従って、大気処理室602はCVD処理等によって加熱された被処理体の冷却処理を大気圧状態において実行可能である。   Inside the atmospheric processing chamber 602, a mounting table 609 for mounting the target object and a holder 610 for holding the target object mounted on the mounting table 609 are disposed. The mounting table 609 incorporates a cooling circuit (not shown) as a cooling mechanism through which the refrigerant can circulate, and cools the object to be processed. Further, the atmosphere processing chamber 602 is always open to the atmosphere, and the inside thereof is in an atmospheric pressure state. Therefore, the atmospheric processing chamber 602 can perform a cooling process on a target object heated by a CVD process or the like in an atmospheric pressure state.

また、大気処理室602は冷却機構として、上述した冷却回路の他、冷却のためのダウンフローガス、例えば、N,Ar,Heガス等の不活性ガスを内部に導入する導入口を備えていてもよい。 In addition to the cooling circuit described above, the atmospheric processing chamber 602 includes an inlet for introducing a downflow gas for cooling, for example, an inert gas such as N 2 , Ar, or He gas, into the interior. May be.

この大気処理室602の側壁には被処理体を搬出入するための搬送口(図示せず)が穿設されている。大気処理室602に隣接して配されるロードロック室603の側壁にも上述した搬送口とは別に、他の搬送口(図示せず)が同様に穿設されている。大気処理室602とロードロック室603とは、それぞれ搬送口が穿設された部分同士を連結ユニット614によって連結されている。これにより、真空処理室601、ロードロック室603及び大気処理室602は順に列をなすように配置される。連結ユニット614は、大気処理室602とロードロック室603との間の環境隔離をするためにゲートバルブ615や断熱ユニット616を備えている。   A transfer port (not shown) for carrying in / out the object to be processed is formed in the side wall of the atmospheric processing chamber 602. In addition to the above-described transfer port, another transfer port (not shown) is similarly formed in the side wall of the load lock chamber 603 disposed adjacent to the atmospheric treatment chamber 602. The atmospheric processing chamber 602 and the load lock chamber 603 are connected to each other by a connecting unit 614 where the transfer ports are formed. As a result, the vacuum processing chamber 601, the load lock chamber 603, and the atmospheric processing chamber 602 are arranged in order. The connection unit 614 includes a gate valve 615 and a heat insulation unit 616 in order to isolate the environment between the atmosphere processing chamber 602 and the load lock chamber 603.

ロードロック室603の内部には、被処理体の受け渡しをするために搬送中の被処理体を保持する被処理体保持部617、並びに当該被処理体保持部617を真空処理室601及び大気処理室602に搬送する搬送機構618が配設されている。被処理体を保持している被処理体保持部617を搬送機構618が搬送することにより、被処理体を真空処理室601及び大気処理室602の間で搬送し、受け渡しをすることができる。また、ロードロック室603の内部の容積は、搬送機構618の作動を阻害しない程度の必要最小限度の空間が確保可能な容積に設定される。   Inside the load lock chamber 603, the object holder 617 that holds the object to be processed in order to deliver the object to be processed, and the object holder 617 include the vacuum processing chamber 601 and the atmospheric treatment. A transport mechanism 618 for transporting the chamber 602 is provided. By transporting the target object holding portion 617 holding the target object by the transport mechanism 618, the target object can be transported between the vacuum processing chamber 601 and the atmospheric processing chamber 602 and delivered. Further, the volume inside the load lock chamber 603 is set to a volume that can secure a necessary minimum space that does not hinder the operation of the transport mechanism 618.

図7に示すように、ロードロック室603の外側下部にはロードロック室603の内部と外部とを連通するパイプ619が配設され、該パイプ619にはターボ分子ポンプ等の真空引き用ポンプ623と、ロードロック室603の内部及び真空引き用ポンプ623の連通・遮断が自在なバルブ624とが配される。また、ロードロック室603には室内の圧力を測定するための圧力測定器(図示せず)が取り付けられている。さらに、ロードロック室603の外側下部にはNガス等を供給するガス供給系620が接続されている。従って、ロードロック室603はパイプ619とガス供給系620によってその内部の真空/大気の切換が可能な構成となっている。 As shown in FIG. 7, a pipe 619 that communicates the inside and the outside of the load lock chamber 603 is disposed at the lower outer portion of the load lock chamber 603, and the pipe 619 is provided with a vacuum pump 623 such as a turbo molecular pump. And a valve 624 that can freely communicate and block the inside of the load lock chamber 603 and the vacuum pump 623. The load lock chamber 603 is attached with a pressure measuring device (not shown) for measuring the indoor pressure. Further, a gas supply system 620 for supplying N 2 gas or the like is connected to the lower portion outside the load lock chamber 603. Therefore, the load lock chamber 603 can be switched between vacuum / atmosphere inside the pipe 619 and the gas supply system 620.

大気搬送モジュール604の内部には、上述した被処理体保持部617及び搬送機構618と同様の被処理体保持部625及び搬送機構626が配設されている。そして、被処理体保持部625及び搬送機構626によって被処理体を大気搬送モジュール604に取り付けられた被処理体のキャリア(図示せず)及び大気処理室602の間で搬送し、受け渡しをすることができる。   Inside the atmospheric transfer module 604, a target object holder 625 and a transfer mechanism 626 similar to the target object holder 617 and the transfer mechanism 618 described above are disposed. Then, the object to be processed is transferred between the carrier (not shown) of the object to be processed attached to the atmospheric transfer module 604 and the atmospheric processing chamber 602 by the object holding unit 625 and the transfer mechanism 626, and delivered. Can do.

この大気搬送モジュール604の側壁には搬送口(図示せず)が穿設されている。また、大気搬送モジュール604に隣接して配される大気処理室602の側壁にも上述した搬送口とは別に、他の搬送口(図示せず)が同様に穿設されている。大気搬送モジュール604と大気処理室602とは、それぞれ搬送口が穿設された部分同士を連結ユニット627によって連結されている。   A transfer port (not shown) is formed in the side wall of the atmospheric transfer module 604. In addition to the above-described transfer port, another transfer port (not shown) is similarly formed in the side wall of the atmospheric processing chamber 602 disposed adjacent to the atmospheric transfer module 604. The atmospheric transfer module 604 and the atmospheric processing chamber 602 are connected by a connecting unit 627 at portions where the transfer ports are formed.

以上、真空処理装置600の構成を説明したが、処理室の数は真空処理室601及び大気処理室602の2つに限らず3つ以上の処理室を縦列に連結することもできることは、本発明の第1の実施形態にかかる真空処理装置100と同様である。   Although the configuration of the vacuum processing apparatus 600 has been described above, the number of processing chambers is not limited to two, that is, the vacuum processing chamber 601 and the atmospheric processing chamber 602, and it is possible to connect three or more processing chambers in tandem. This is the same as the vacuum processing apparatus 100 according to the first embodiment of the invention.

次に、真空処理装置600が実行する被処理体処理方法及び該方法における被処理体の搬送シーケンスについて説明する。   Next, an object processing method executed by the vacuum processing apparatus 600 and an object transfer sequence in the method will be described.

図8は、図6の真空処理装置600における被処理体の搬送シーケンスの流れを示す図である。   FIG. 8 is a diagram showing a flow of a conveyance sequence of an object to be processed in the vacuum processing apparatus 600 of FIG.

以下の説明では、真空処理装置600は被処理体にCVD処理及び冷却処理を行うものを例にあげる。   In the following description, the vacuum processing apparatus 600 takes an example of performing a CVD process and a cooling process on an object to be processed.

以下、真空処理室601はCVD処理室601として被処理体にCVD処理を行い、大気処理室602は被処理体に大気処理としての冷却処理を行う。尚、図中において連結ユニットの白抜きはゲートバルブの開状態を表し、同黒抜きはゲートバルブの閉状態を表すのは図3及び4と同じである。   Hereinafter, the vacuum processing chamber 601 performs a CVD process on the target object as the CVD processing chamber 601, and the atmospheric processing chamber 602 performs a cooling process as an atmospheric process on the target process. In the drawing, the white of the connecting unit represents the open state of the gate valve, and the black represents the closed state of the gate valve, as in FIGS.

まず、図8の(1)に示すように、始めに、大気搬送モジュール604にある被処理体W1が、大気処理室602に搬入される。このとき、ゲートバルブ612は閉状態にあり、ロードロック室603とCVD処理室601とが隔離されている。一方、ゲートバルブ615は開状態にあり、大気処理室602とロードロック室603とが連通している。   First, as shown in (1) of FIG. 8, first, the object to be processed W1 in the atmospheric transfer module 604 is carried into the atmospheric processing chamber 602. At this time, the gate valve 612 is in a closed state, and the load lock chamber 603 and the CVD processing chamber 601 are isolated. On the other hand, the gate valve 615 is in an open state, and the atmospheric processing chamber 602 and the load lock chamber 603 communicate with each other.

次に、(2)に示すように、被処理体W1を大気処理室602からロードロック室603に搬入した後、(3)に示すようにゲートバルブ615を閉状態にし、さらに、パイプ619におけるバルブ624を開き、その後、真空引き用ポンプ623を作動させてロードロック室603を真空引きする。   Next, as shown in (2), the object to be processed W1 is carried into the load lock chamber 603 from the atmospheric processing chamber 602, and then the gate valve 615 is closed as shown in (3). After opening the valve 624, the vacuum pump 623 is operated to evacuate the load lock chamber 603.

そして、(4)に示すようにゲートバルブ612を開状態にした後、被処理体保持部617で保持した被処理体W1を搬送機構618によってCVD処理室601に搬入し、(5)に示すように被処理体保持部617及び搬送機構618がロードロック室603に戻った後にゲートバルブ612を閉状態にしてCVD処理室601において被処理体W1にCVD処理を施す。   Then, after opening the gate valve 612 as shown in (4), the workpiece W1 held by the workpiece holder 617 is carried into the CVD processing chamber 601 by the transfer mechanism 618, and shown in (5). As described above, after the object holder 617 and the transport mechanism 618 return to the load lock chamber 603, the gate valve 612 is closed and the object W1 is subjected to the CVD process in the CVD process chamber 601.

次に、(6)に示すように、CVD処理が終了した後にゲートバルブ612を開状態にしてCVD処理が施された被処理体W1をCVD処理室601からロードロック室603に搬出する。   Next, as shown in (6), after the CVD process is completed, the gate valve 612 is opened, and the workpiece W1 subjected to the CVD process is carried out from the CVD process chamber 601 to the load lock chamber 603.

次に、(7)に示すように、被処理体W1がロードロック室603へ搬出された後、ゲートバルブ612を閉状態にし、さらに、パイプ619におけるバルブ624を閉じ、ガス供給系620からのNガス等の供給を開始してロードロック室603を大気開放する。ロードロック室603の内部の圧力が大気圧に達した後、(8)に示すように、ゲートバルブ615を開状態にした後、被処理体W1を搬送機構618によって大気処理室602に搬出し、被処理体W1を載置台609に載置し、さらに保持具610によって保持する。 Next, as shown in (7), after the workpiece W1 is carried out to the load lock chamber 603, the gate valve 612 is closed, the valve 624 in the pipe 619 is closed, and the gas supply system 620 is connected. Supply of N 2 gas or the like is started and the load lock chamber 603 is opened to the atmosphere. After the internal pressure of the load lock chamber 603 reaches atmospheric pressure, as shown in (8), after opening the gate valve 615, the workpiece W1 is carried out to the atmospheric processing chamber 602 by the transport mechanism 618. Then, the object to be processed W1 is placed on the placing table 609 and further held by the holder 610.

次に、(9)に示すように、載置台609は被処理体W1を冷却し、被処理体W1が所定温度(約70℃)まで冷却されると、(10)に示すように、被処理体W1は大気搬送モジュール604へ搬出される。   Next, as shown in (9), the mounting table 609 cools the workpiece W1, and when the workpiece W1 is cooled to a predetermined temperature (about 70 ° C.), as shown in (10), The processing body W1 is carried out to the atmospheric transfer module 604.

そして、真空処理装置600は以上の搬送シーケンスをロット終了まで繰り返す。   Then, the vacuum processing apparatus 600 repeats the above transfer sequence until the end of the lot.

以上説明した搬送シーケンスにおける(1)〜(10)の各ステップにおいても、本発明の第1の実施の形態において説明したように、位置センサによって検出された被処理体の位置とティーチングデータとの比較に基づいた被処理体の位置決めの判定が実行されてもよく、或るステップにおいて被処理体の位置決めが正確に行われていない場合には、被処理体の搬送を中断すると共に、当該ステップと、当該ステップにおける被処理体の位置とを保存し、保存されたデータを再処理レシピの基礎データとして活用してもよい。   Also in each step of (1) to (10) in the transport sequence described above, as described in the first embodiment of the present invention, the position of the workpiece detected by the position sensor and the teaching data The determination of the positioning of the object to be processed based on the comparison may be executed, and if the positioning of the object to be processed is not accurately performed in a certain step, the conveyance of the object to be processed is interrupted and the step is performed. And the position of the object to be processed in this step may be stored, and the stored data may be used as basic data for the reprocessing recipe.

また、本第2の実施の形態に係る真空処理装置においても、本第1の実施の形態において説明したように、位置センサによって検知された情報に基づいて初期位置と載置台605,609の中心位置との第1の相対的な位置関係を検出し、該検出された第1の相対的な位置関係に基づいて被処理体の搬送経路を決定し、該決定された搬送経路に沿って被処理体を搬送させ、載置台605,609へ搬送された被処理体の中心位置と初期位置との第2の相対的な位置関係を検出し、第1及び第2の相対的な位置関係の差異に基づいて載置台605,609上における被処理体の位置を補正してもよく、これにより、上述した効果と同様の効果を奏することができる。   Also in the vacuum processing apparatus according to the second embodiment, as described in the first embodiment, the initial position and the center of the mounting tables 605 and 609 are based on the information detected by the position sensor. A first relative positional relationship with the position is detected, a transport path of the object to be processed is determined based on the detected first relative positional relationship, and the transport path is determined along the determined transport path. The second relative positional relationship between the center position and the initial position of the target object conveyed to the mounting tables 605 and 609 is detected, and the first and second relative positional relations are detected. Based on the difference, the position of the object to be processed on the mounting tables 605 and 609 may be corrected, whereby the same effect as described above can be achieved.

また、搬送機構618及び被処理体保持部617は、本第1の実施の形態における搬送機構52及び被処理体保持部51と同様の構成を有していてもよく、これによっても上述した効果と同様の効果を奏することができる。   Further, the transport mechanism 618 and the target object holding unit 617 may have the same configuration as the transport mechanism 52 and the target object holding unit 51 in the first embodiment, and the effects described above are also provided. The same effect can be achieved.

以上の説明は搬送シーケンスの一例であり、他の搬送シーケンスでは、必要に応じて真空処理室601←→大気処理室602間の往復も可能である。被処理体W1を上記のCVD処理室601(真空処理室601)と大気処理室602との間で往復させて、CVD処理及び冷却処理を繰り返すことにより、被処理体W1の表面に形成される薄膜の厚さのばらつきを抑えることができる。   The above description is an example of the transfer sequence. In other transfer sequences, the vacuum processing chamber 601 ← → the atmospheric processing chamber 602 can be reciprocated as necessary. The object W1 is formed on the surface of the object W1 by reciprocating the CVD process chamber 601 (vacuum process chamber 601) and the atmospheric process chamber 602 and repeating the CVD process and the cooling process. Variations in the thickness of the thin film can be suppressed.

また、真空処理室601及び大気処理室602の構成は、上記の例に限らず、エッチングシステム、成膜システム、塗布現像システム、計測システム、熱処理システム等に応じて必要なモジュールを組み合わせて構成することができる。   Further, the configurations of the vacuum processing chamber 601 and the atmospheric processing chamber 602 are not limited to the above example, and are configured by combining necessary modules according to an etching system, a film forming system, a coating and developing system, a measurement system, a heat treatment system, and the like. be able to.

本発明の第2の実施の形態に係る真空処理装置によれば、被処理体W1にCVD処理を施すCVD処理室601及び被処理体W1に冷却処理を施す大気処理室602が連通自在に連結されており、ロードロック室603はCVD処理室601及び大気処理室602の間に介在し、これらの処理室と列をなす位置に配置されて互いに連通自在に連結されているので、CVD処理室601及び大気処理室602間における被処理体W1の搬入搬送の動作を簡易化でき、もって、CVD処理及び冷却処理を含む複数の処理を効率良く行うことができ、特に、被処理体W1のCVD処理後の冷却処理を効率良く行うことができる。   According to the vacuum processing apparatus of the second embodiment of the present invention, the CVD process chamber 601 that performs the CVD process on the workpiece W1 and the atmospheric process chamber 602 that performs the cooling process on the workpiece W1 are connected to each other. The load lock chamber 603 is interposed between the CVD processing chamber 601 and the atmospheric processing chamber 602, and is disposed in a row with these processing chambers and is connected to each other so that they can communicate with each other. It is possible to simplify the operation of carrying in and transporting the object to be processed W1 between the 601 and the atmospheric processing chamber 602, so that a plurality of processes including the CVD process and the cooling process can be efficiently performed. The cooling process after a process can be performed efficiently.

また、大気処理室602における冷却処理を常時に大気圧状態で行うので、大気処理室602において真空/大気の切換を実行する必要が無く、冷却処理を短時間で実行することができると共に、真空/大気の切換を実行するロードロック室603は冷却機構を備える必要がないため、その容積を小さくすることができ、もって真空/大気の切換を短時間で実行することができる。その結果、被処理体W1の冷却処理及び真空/大気の切換を含む複数の処理をさらに効率良く行うことができる。   In addition, since the cooling process in the atmospheric processing chamber 602 is always performed in the atmospheric pressure state, there is no need to perform the vacuum / atmosphere switching in the atmospheric processing chamber 602, and the cooling process can be performed in a short time, and the vacuum Since the load lock chamber 603 that executes the switching of / atmosphere does not need to be provided with a cooling mechanism, its volume can be reduced, so that the switching of vacuum / atmosphere can be performed in a short time. As a result, a plurality of processes including the cooling process of the workpiece W1 and the vacuum / atmosphere switching can be performed more efficiently.

例えば、従来の真空処理装置のように、真空/大気の切換及び冷却処理を同時に実行する場合、ロードロック室が搬送機構だけでなく、冷却機構を備える必要があるため、ロードロック室の容積が大きくなり、真空/大気の切換及び冷却処理に約126秒を要したが、上述した本発明の第2の実施の形態に係る真空処理装置にように、真空/大気の切換及び冷却処理を夫々異なる処理室で実行する場合、ロードロック室が真空/大気の切換のみを実行し、且つ大気処理室が冷却処理のみを実行すればよく、ロードロック室の容積が小さくなり、真空/大気の切換に約20秒を要するのみであり、且つ冷却処理に約15秒を要するのみなので、真空/大気の切換及び冷却処理に約35秒を要するのみである。   For example, when the vacuum / atmosphere switching and the cooling process are performed simultaneously as in the conventional vacuum processing apparatus, the load lock chamber needs to include not only the transfer mechanism but also the cooling mechanism. Although it takes about 126 seconds for the vacuum / atmosphere switching and cooling processing, the vacuum / atmosphere switching and cooling processing are performed as in the vacuum processing apparatus according to the second embodiment of the present invention described above. When executed in different processing chambers, the load lock chamber only needs to perform the vacuum / atmosphere switching, and the atmospheric processing chamber only needs to perform the cooling process, the volume of the load lock chamber is reduced, and the vacuum / atmosphere switching is performed. It only takes about 20 seconds and only about 15 seconds for the cooling process, so it takes only about 35 seconds for the vacuum / atmosphere switching and the cooling process.

さらに、ロードロック室603内に搬入された被処理体W1は長時間、真空/大気の切換に起因する空気の対流に晒されることがないため、該対流によって舞い上がったパーティクルが付着するというおそれもなくすことができる。   Furthermore, since the workpiece W1 carried into the load lock chamber 603 is not exposed to air convection for a long time due to the switching of the vacuum / atmosphere, there is a risk that particles swollen by the convection will adhere. Can be eliminated.

また、本発明の第2の実施の形態に係る被処理体処理方法によれば、被処理体W1のCVD処理後における真空/大気の切換及び冷却処理をロードロック室603及び大気処理室602の夫々に振り分けたので、夫々の処理に要する時間を短縮することができ、もって、真空/大気の切換及び冷却処理を含む複数の処理を効率良く行うことができると共に、被処理体W1のCVD処理後、ロードロック室603への搬出処理、ロードロック室603での真空/大気の切換処理及び大気処理室602への搬出処理を経て大気処理室602での冷却処理が実行されるため、冷却処理までに被処理体W1の冷却が進行し、例えば、CVD処理直後における被処理体W1の温度が約650℃である場合、大気処理室602への搬出処理後における被処理体W1の温度は約400℃となる。その結果、大気処理室602での被処理体W1の冷却処理を効率良く行うことができる。   Moreover, according to the to-be-processed object processing method which concerns on the 2nd Embodiment of this invention, the switching of the vacuum / atmosphere and the cooling process after the CVD process of the to-be-processed object W1 are performed in the load lock chamber 603 and the atmospheric processing chamber 602. Since each process is assigned, the time required for each process can be shortened, so that a plurality of processes including a vacuum / atmosphere switching and a cooling process can be efficiently performed, and the CVD process of the workpiece W1 is performed. After that, the cooling process in the atmospheric processing chamber 602 is executed through the unloading process to the load lock chamber 603, the vacuum / atmosphere switching process in the load lock chamber 603, and the unloading process to the atmosphere processing chamber 602. For example, when the temperature of the object to be processed W1 immediately after the CVD process is about 650 ° C., the object to be processed after being carried out to the atmospheric processing chamber 602 is cooled. Body temperature W1 is approximately 400 ° C.. As a result, the cooling process of the object to be processed W1 in the air processing chamber 602 can be performed efficiently.

尚、上述した本第2の実施の形態に係る真空処理装置では、被処理体にCVD処理を施したが、当該真空処理装置が被処理体に施す真空処理はこれに限られず、熱処理を伴う真空処理であれば、どのような真空処理でも施すことができ、この場合においても上述した効果を奏することができることは言うまでもない。   In the above-described vacuum processing apparatus according to the second embodiment, the object to be processed is subjected to the CVD process, but the vacuum processing that the vacuum processing apparatus applies to the object to be processed is not limited to this, and involves heat treatment. It is needless to say that any vacuum processing can be performed as long as the vacuum processing is performed, and the above-described effects can be obtained in this case.

10 第1の真空処理室(COR処理装置)
12,32 被処理体保持器
13,33,53 ガス供給系
14,34 排気系圧力制御バルブ
20,40,60,611,614,627 連結ユニット
21,41,612,615 ゲートバルブ
22,613,616 断熱ユニット
30 第2の真空処理室(熱処理室)
50,603 ロードロック室
51,617 被処理体保持部
52,618,626 搬送機構
61 ドアーバルブ
70,604 大気搬送モジュール
80 排気系
90,91,92,93,94,95 位置センサユニット
100,600 真空処理装置
601 真空処理室(CVD処理室)
602 大気処理室
605,609 載置台
606 ヒータ
607 シャワーヘッド
608 排出口
610 保持具
619 パイプ
620 ガス供給系
623 真空引き用ポンプ
624 バルブ
W1,W2,W3 被処理体
10 First vacuum processing chamber (COR processing equipment)
12, 32 Object holder 13, 33, 53 Gas supply system 14, 34 Exhaust system pressure control valve 20, 40, 60, 611, 614, 627 Connection unit 21, 41, 612, 615 Gate valve 22, 613 616 Heat insulation unit 30 Second vacuum processing chamber (heat treatment chamber)
50, 603 Load lock chamber 51, 617 Object holder 52, 618, 626 Transport mechanism 61 Door valve 70, 604 Atmospheric transport module 80 Exhaust system 90, 91, 92, 93, 94, 95 Position sensor unit 100, 600 Vacuum Processing equipment 601 Vacuum processing chamber (CVD processing chamber)
602 Atmospheric treatment chamber 605, 609 Mounting table 606 Heater 607 Shower head 608 Discharge port 610 Holder 619 Pipe 620 Gas supply system 623 Vacuum pump 624 Valve W1, W2, W3

Claims (6)

ロードロック室と、被処理体にCOR処理するCOR処理室と、前記COR処理を受けた被処理体に熱処理を施す熱処理室と、前記被処理体を前記ロードロック室との間で搬出入する大気搬送モジュールと、を有する被処理体処理装置の圧力制御方法であって、
前記熱処理室の真空引き中に、前記ロードロック室を大気状態にして前記COR処理前の被処理体を前記大気搬送モジュールから前記ロードロック室に搬入する搬入ステップと、
前記熱処理室の真空引きを終了して前記ロードロック室を設定圧力まで真空引きするロードロック室真空引きステップと、
前記ロードロック室が前記設定圧力に到達した後に当該ロードロック室の真空引きを終了し、“ロードロック室内圧力>熱処理室内圧力”の圧力条件が満たされるように前記熱処理室を真空引きする熱処理室真空引きステップと、
前記圧力条件が満たされた後に、前記ロードロック室と前記熱処理室とを連通させる第1の連通ステップと、を有することを特徴とする被処理体処理装置の圧力制御方法。
A load lock chamber, a COR processing chamber that performs COR processing on the object to be processed, a heat treatment chamber that heat-treats the object to be processed that has undergone COR processing, and the object to be processed are carried in and out of the load lock chamber. An atmospheric transfer module, and a pressure control method for a target object processing apparatus,
A loading step of bringing the object to be processed before the COR process into the load lock chamber from the atmospheric transfer module while the load lock chamber is in an atmospheric state during evacuation of the heat treatment chamber;
A load lock chamber evacuation step for evacuating the heat treatment chamber to evacuate the load lock chamber to a set pressure; and
After the load lock chamber reaches the set pressure, evacuation of the load lock chamber is terminated, and the heat treatment chamber is evacuated so that the pressure condition of “load lock chamber pressure> heat treatment chamber pressure” is satisfied. A vacuuming step;
A pressure control method for an object processing apparatus, comprising: a first communication step for communicating the load lock chamber and the heat treatment chamber after the pressure condition is satisfied.
前記第1の連通ステップに続いて、前記熱処理室を排気しながら当該熱処理室内の圧力をモニタする第1の圧力モニタステップと、
“熱処理室内圧力<COR処理室内圧力”の圧力条件が満たされるように前記COR処理室内を排気するCOR処理室排気ステップと、
前記圧力条件が満たされた時点で前記COR処理室の排気を終了して、前記熱処理室と前記COR処理室とを連通させる第2の連通ステップと、を有することを特徴とする請求項1記載の被処理体処理装置の圧力制御方法。
Following the first communication step, a first pressure monitoring step of monitoring the pressure in the heat treatment chamber while exhausting the heat treatment chamber;
COR processing chamber exhausting step for exhausting the COR processing chamber so that the pressure condition of “heat treatment chamber pressure <COR processing chamber pressure” is satisfied;
2. The second communication step of ending exhaust of the COR processing chamber when the pressure condition is satisfied, and causing the heat treatment chamber and the COR processing chamber to communicate with each other. Pressure control method for an object processing apparatus.
前記第2の連通ステップの後に、前記ロードロック室及び前記COR処理室に流体を流入させる流入ステップをさらに有することを特徴とする請求項2記載の被処理体処理装置の圧力制御方法。   3. The pressure control method for an object processing apparatus according to claim 2, further comprising an inflow step for allowing a fluid to flow into the load lock chamber and the COR processing chamber after the second communication step. 前記ロードロック室から前記熱処理室への流体の流量及び前記COR処理室から前記熱処理室への流体の流量が等しいことを特徴とする請求項3記載の被処理体処理装置の圧力制御方法。   4. The pressure control method for an object processing apparatus according to claim 3, wherein a flow rate of fluid from the load lock chamber to the heat treatment chamber is equal to a flow rate of fluid from the COR treatment chamber to the heat treatment chamber. 前記COR処理が施された被処理体を前記COR処理室から搬出した後に、前記熱処理室及び前記COR処理室を排気して前記COR処理室の圧力をESC残留電荷除去のための除電圧力にする排気ステップをさらに有することを特徴とする請求項2乃至4のいずれか1項に記載の被処理体処理装置の圧力制御方法。   After carrying out the COR-treated object to be processed from the COR processing chamber, the heat treatment chamber and the COR processing chamber are evacuated so that the pressure in the COR processing chamber becomes a voltage removal force for removing the ESC residual charge. The pressure control method for an object processing apparatus according to claim 2, further comprising an exhaust step. 被処理体にCOR処理するCOR処理室と、前記COR処理を受けた被処理体に熱処理を施す熱処理室と、を有する被処理体処理装置の圧力制御方法であって、
前記熱処理室を排気しながら当該熱処理室内の圧力をモニタする圧力モニタステップと、
“熱処理室内圧力<COR処理室内圧力”の圧力条件が満たされるように前記COR処理室内を排気するCOR処理室排気ステップと、
前記圧力条件が満たされた時点で前記COR処理室の排気を終了して、前記熱処理室と前記COR処理室とを連通させる連通ステップと、を有することを特徴とする被処理体処理装置の圧力制御方法。
A pressure control method for a target object processing apparatus, comprising: a COR processing chamber that performs a COR process on a target object; and a heat treatment chamber that performs a heat treatment on the target object that has undergone the COR process,
A pressure monitoring step for monitoring the pressure in the heat treatment chamber while exhausting the heat treatment chamber;
COR processing chamber exhausting step for exhausting the COR processing chamber so that the pressure condition of “heat treatment chamber pressure <COR processing chamber pressure” is satisfied;
And a communication step of terminating the exhaust of the COR processing chamber when the pressure condition is satisfied and communicating the heat treatment chamber and the COR processing chamber. Control method.
JP2010048974A 2003-06-24 2010-03-05 Pressure control method for workpiece processing apparatus Expired - Fee Related JP5001388B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010048974A JP5001388B2 (en) 2003-06-24 2010-03-05 Pressure control method for workpiece processing apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2003179435 2003-06-24
JP2003179435 2003-06-24
JP2010048974A JP5001388B2 (en) 2003-06-24 2010-03-05 Pressure control method for workpiece processing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003422821A Division JP4833512B2 (en) 2003-06-24 2003-12-19 To-be-processed object processing apparatus, to-be-processed object processing method, and to-be-processed object conveyance method

Publications (2)

Publication Number Publication Date
JP2010135849A true JP2010135849A (en) 2010-06-17
JP5001388B2 JP5001388B2 (en) 2012-08-15

Family

ID=42346731

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010048974A Expired - Fee Related JP5001388B2 (en) 2003-06-24 2010-03-05 Pressure control method for workpiece processing apparatus

Country Status (1)

Country Link
JP (1) JP5001388B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017126734A (en) * 2016-01-13 2017-07-20 東京エレクトロン株式会社 Substrate processing method, substrate processing device, and substrate processing system

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0418658U (en) * 1990-06-06 1992-02-17
JPH04162709A (en) * 1990-10-26 1992-06-08 Fujitsu Ltd Manufacturing apparatus of semiconductor and processing method of reaction
JPH04254349A (en) * 1991-02-06 1992-09-09 Sony Corp Multichamber process apparatus
JPH07211761A (en) * 1994-01-21 1995-08-11 Tokyo Electron Ltd Transfer of material to be treated in treating device
JPH0974129A (en) * 1995-06-30 1997-03-18 Tokyo Electron Ltd Plasma processing method
JPH10163289A (en) * 1996-11-29 1998-06-19 Dainippon Screen Mfg Co Ltd Substrate treating device
JPH11200035A (en) * 1998-01-19 1999-07-27 Anelva Corp Sputtering-chemical vapor deposition composite device
JPH11256329A (en) * 1998-03-10 1999-09-21 Toshiba Corp Vacuum treating method and device therefor
JP2000012649A (en) * 1998-06-18 2000-01-14 Matsushita Electric Ind Co Ltd Semiconductor manufacture
JP2001135704A (en) * 1999-11-09 2001-05-18 Sharp Corp Substrate treatment apparatus and transfer control method for substrate transfer tray
JP2002151690A (en) * 2000-09-28 2002-05-24 Internatl Business Mach Corp <Ibm> Manufacturing method of mosfet device
JP2005039185A (en) * 2003-06-24 2005-02-10 Tokyo Electron Ltd Work processing apparatus, work processing method therefor, pressure control method, work carrying method, and carrying apparatus

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0418658U (en) * 1990-06-06 1992-02-17
JPH04162709A (en) * 1990-10-26 1992-06-08 Fujitsu Ltd Manufacturing apparatus of semiconductor and processing method of reaction
JPH04254349A (en) * 1991-02-06 1992-09-09 Sony Corp Multichamber process apparatus
JPH07211761A (en) * 1994-01-21 1995-08-11 Tokyo Electron Ltd Transfer of material to be treated in treating device
JPH0974129A (en) * 1995-06-30 1997-03-18 Tokyo Electron Ltd Plasma processing method
JPH10163289A (en) * 1996-11-29 1998-06-19 Dainippon Screen Mfg Co Ltd Substrate treating device
JPH11200035A (en) * 1998-01-19 1999-07-27 Anelva Corp Sputtering-chemical vapor deposition composite device
JPH11256329A (en) * 1998-03-10 1999-09-21 Toshiba Corp Vacuum treating method and device therefor
JP2000012649A (en) * 1998-06-18 2000-01-14 Matsushita Electric Ind Co Ltd Semiconductor manufacture
JP2001135704A (en) * 1999-11-09 2001-05-18 Sharp Corp Substrate treatment apparatus and transfer control method for substrate transfer tray
JP2002151690A (en) * 2000-09-28 2002-05-24 Internatl Business Mach Corp <Ibm> Manufacturing method of mosfet device
JP2005039185A (en) * 2003-06-24 2005-02-10 Tokyo Electron Ltd Work processing apparatus, work processing method therefor, pressure control method, work carrying method, and carrying apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017126734A (en) * 2016-01-13 2017-07-20 東京エレクトロン株式会社 Substrate processing method, substrate processing device, and substrate processing system
CN111489993A (en) * 2016-01-13 2020-08-04 东京毅力科创株式会社 Substrate processing apparatus

Also Published As

Publication number Publication date
JP5001388B2 (en) 2012-08-15

Similar Documents

Publication Publication Date Title
JP4833512B2 (en) To-be-processed object processing apparatus, to-be-processed object processing method, and to-be-processed object conveyance method
US4985372A (en) Method of forming conductive layer including removal of native oxide
JP3238432B2 (en) Multi-chamber type single wafer processing equipment
US8057633B2 (en) Post-etch treatment system for removing residue on a substrate
JP2881371B2 (en) Vacuum processing apparatus and method of cleaning vacuum processing apparatus assembly
JP2000195925A (en) Substrate-treating device
TW202113146A (en) Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
TW201903191A (en) Extreme ultraviolet patterning and selective deposition for negative pattern masks
JP2007035874A (en) Vacuum processing system
US20020127879A1 (en) Coating and developing system
JP3172331B2 (en) Vacuum processing equipment
KR0154329B1 (en) Apparatus and method for processing substrate
JPH11204508A (en) Method and device for manufacturing semiconductor device
JP2001176855A (en) Method and system for processing substrate
KR102606417B1 (en) Etching method, damage layer removal method, and storage medium
WO2008029800A1 (en) Substrate processing method and storage medium
JP5001388B2 (en) Pressure control method for workpiece processing apparatus
JP2741156B2 (en) Cleaning method for multi-chamber processing equipment
JP2004319540A (en) Method for manufacturing semiconductor device and dry etching system
JP3066691B2 (en) Multi-chamber processing apparatus and cleaning method thereof
JPH08195382A (en) Semiconductor manufacturing device
US20050284572A1 (en) Heating system for load-lock chamber
JP2004214388A (en) Method for substrate treatment
JP2010225957A (en) Substrate processing apparatus and substrate processing method using the same
JPH08181183A (en) Carrying equipment of specimen

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100305

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110414

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110705

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120312

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120424

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120517

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150525

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees