JP2009531855A - Optical system for generating high current density patterned charged particle beams - Google Patents

Optical system for generating high current density patterned charged particle beams Download PDF

Info

Publication number
JP2009531855A
JP2009531855A JP2009502733A JP2009502733A JP2009531855A JP 2009531855 A JP2009531855 A JP 2009531855A JP 2009502733 A JP2009502733 A JP 2009502733A JP 2009502733 A JP2009502733 A JP 2009502733A JP 2009531855 A JP2009531855 A JP 2009531855A
Authority
JP
Japan
Prior art keywords
aperture
charged particle
lens
beam pattern
lithography tool
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009502733A
Other languages
Japanese (ja)
Inventor
ウィリアム・エヌ・パーカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2009531855A publication Critical patent/JP2009531855A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/045Diaphragms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/049Focusing means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/10Lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30472Controlling the beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30472Controlling the beam
    • H01J2237/30477Beam diameter
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31752Lithography using particular beams or near-field effects, e.g. STM-like techniques
    • H01J2237/31754Lithography using particular beams or near-field effects, e.g. STM-like techniques using electron beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31776Shaped beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Electron Beam Exposure (AREA)

Abstract

【課題】高電流密度の成形ビームを生成するための方法および荷電粒子光学装置を提供すること。
【解決手段】この荷電粒子ビームリソグラフィ装置及び/又は方法は、複数のビーム成形アパーチャを要せずに高電流密度成形ビーム222を生成するビームパターン限定アパーチャ212と、ウエハ221上に荷電粒子ビーム222を収束させるレンズ205,216と、電子源201とウエハ221との間の中間でクロスオーバを要せずに荷電粒子ビーム222を偏向させるブランキング偏向器とを有する。
【選択図】 図2A
A method and a charged particle optical apparatus for generating a shaped beam with a high current density.
The charged particle beam lithography apparatus and / or method includes a beam pattern limited aperture (212) for generating a high current density shaped beam (222) without the need for a plurality of beam shaping apertures, and a charged particle beam (222) on a wafer (221). And a blanking deflector for deflecting the charged particle beam 222 without requiring a crossover in the middle between the electron source 201 and the wafer 221.
[Selection] Figure 2A

Description

本発明は、荷電粒子光学系の分野に関し、特に高電流密度成形電子ビーム生成用の方法及びシステムに関する。   The present invention relates to the field of charged particle optics, and more particularly to a method and system for generating a high current density shaped electron beam.

半導体マスク、レチクル、及びウエハの描画パターン形成を行うための電子ビームの使用は、確立された手法である。使用される様々な描画戦略は、幾つかの主要パラメータにより特徴付けし得る。
[ビーム位置決め戦略]
The use of electron beams to form semiconductor masks, reticles, and wafer writing patterns is an established technique. The various drawing strategies used can be characterized by several key parameters.
[Beam positioning strategy]

描画プロセス中、レジスト露光用の電子ビームの位置決めには、主に二種類のアプローチが存在する。   There are two main approaches for positioning the electron beam for resist exposure during the writing process.

(a)ラスタ走査。ビームは、一定の二次元格子パターン上で移動させる。この方法は、走査電子機器が通常は簡素であるという利点を有するが、欠点は、ビームが露光の必要の無い領域を移動するのに多くの時間を費やす場合があることである。更に、非常に正確なパターンエッジの配置を達成するために、高度なグレイスケール及び/又はマルチプルパス走査が必要となる場合がある。   (A) Raster scanning. The beam is moved on a fixed two-dimensional lattice pattern. This method has the advantage that the scanning electronics is usually simple, but the disadvantage is that the beam may spend a lot of time moving through areas that do not require exposure. Moreover, advanced gray scale and / or multiple pass scanning may be required to achieve very accurate pattern edge placement.

(b)ベクタ走査。ビームは、描画すべき領域へ二次元で直接移動させる。この方法は、露光させる必要のない領域上での時間が低減される利点を有するが、偏向電子機器が複雑且つ高価であるという欠点がある。ビームサイズより遙かに小さな2Dアドレスグリッド上でのビーム配置機能を利用することで、正確なパターンエッジ配置も容易となる。   (B) Vector scanning. The beam is moved directly in two dimensions to the area to be drawn. This method has the advantage of reducing time on areas that do not need to be exposed, but has the disadvantage that the deflection electronics are complex and expensive. By using the beam placement function on the 2D address grid which is much smaller than the beam size, accurate pattern edge placement is also facilitated.

各アプローチは、特定の状況において有利であり、最適な選択は、パターンの限界寸法、パターン密度(描画対象領域の%)、及びビーム電流分布のプロファイルに応じて決まる。
[ビーム形状制御]
Each approach is advantageous in certain circumstances, and the optimal choice depends on the critical dimension of the pattern, the pattern density (% of the area to be written), and the profile of the beam current distribution.
[Beam shape control]

基板上のレジストを露光するのに使用される電子ビームの成形に対して周知の二つのアプローチが存在する。   There are two well known approaches to shaping the electron beam used to expose the resist on the substrate.

(a)ガウスビームは、最大電流密度(通常は2000A/cm2超)により特徴付けられ、これは、こうしたシステムにおいて、電子源の像を基板表面に集束させることで、電子源の高輝度を利用するためである。ガウスビームの主な欠点は、中心ビーム径の遙か外側へ延びる電流のテールの長さであり、二次元ガウス分布の半値全幅内に入るのは基板においてビーム電流の50%のみである。 (A) A Gaussian beam is characterized by a maximum current density (usually greater than 2000 A / cm 2 ) which, in such a system, focuses the electron source image on the substrate surface, thereby increasing the high brightness of the electron source It is for use. The main drawback of the Gaussian beam is the length of the tail of the current extending far beyond the center beam diameter, and only 50% of the beam current at the substrate falls within the full width at half maximum of the two-dimensional Gaussian distribution.

(b)成形ビームは、通常は幾つかの中間成形アパーチャを有する電子光学鏡筒により形成され、追加の偏向器及びレンズと組み合わせ、アパーチャ(群の)集束画像を基板表面に形成する。こうしたシステムは、通常、ガウスビームより低いビーム電流密度(例えば、20乃至50A/cm2)を有する。こうしたシステムの利点は、所望のビーム形状外側での電流のテールの低減であり、パターン形成がプロセスの変動の影響を受けにくくなる。別の利点は、可変成形ビームの領域を単一のピクセルと比較して大きくし得るため、事実上、多数のピクセルを同時に書き込みし得ることである。 (B) The shaped beam is usually formed by an electro-optic column having several intermediate shaped apertures, combined with an additional deflector and lens, to form an aperture (group) focused image on the substrate surface. Such systems typically have a lower beam current density (eg, 20-50 A / cm 2 ) than a Gaussian beam. The advantage of such a system is a reduction in the tail of the current outside the desired beam shape, making the patterning less sensitive to process variations. Another advantage is that a large number of pixels can be written simultaneously, since the area of the variable shaped beam can be large compared to a single pixel.

半導体業界には、マスク及びレチクルの両方の描画と、場合によってはウエハの直接描画とにおいて、最大のパターン形成スループットを達成する必要性が存在する。ビームの位置決めに対する二種類のアプローチは、何れもビーム成形に対する二種類のアプローチの何れかと組み合わせ可能だが、こうした四種類の組み合わせには、半導体業界の必要性を完全に充足可能なものは存在しない。CD/8未満のエッジ配置精度による非常に小さなCDのパターン形成を行う能力と、十分なシステム信頼性、長い平均故障間隔(MTBF)、及び短い平均修復時間(MTTR)を確保する可能な限り単純な電子光学設計とを組み合わせた、高スループット(一時間又は一時間未満に少なくとも数枚のウエハでレチクルを描画)の電子描画システムに対する必要性が存在するのは明らかである。   There is a need in the semiconductor industry to achieve maximum patterning throughput in both mask and reticle writing, and sometimes direct wafer writing. Any of the two approaches to beam positioning can be combined with either of the two approaches to beam shaping, but none of these four combinations can fully meet the needs of the semiconductor industry. As simple as possible to ensure the ability to pattern very small CDs with edge placement accuracy of less than CD / 8 and sufficient system reliability, long mean time between failures (MTBF), and short mean repair time (MTTR) Clearly, there is a need for an electronic drawing system with high throughput (drawing reticles with at least several wafers in an hour or less than an hour) in combination with a new electro-optic design.

高電流密度成形ビームを生成するための荷電粒子光学装置を開示する。この装置は、高電流密度ガウスビームの生成に通常使用される荷電粒子光学鏡筒設計を、鏡筒の様々な位置での挿入のためにカスタマイズ可能なビームパターン限定アパーチャを付加して利用する。荷電粒子光学鏡筒設計の一例は、二枚のレンズを利用し、荷電粒子源は、荷電粒子の拡散ビームを放射し、第一のレンズによりほぼ平行な荷電粒子ビームを形成する。次に、第二のレンズは、中心に高電流密度を有し、長いテール部がビーム中心から全方向で外側へ延びる全般的なガウス電流分布により、ほぼ平行な荷電粒子ビームを基板表面に集束させる。この例において、ビームパターン限定アパーチャは、二枚のレンズの間に位置決めできる。描画すべきパターンの設計要件に基づいて、基板におけるビーム形状が決定される。ビームパターン限定アパーチャ(PBDA)の形状は、本明細書で開示する多段階法において作成する。PBDA形状は、二つの要件を満たす必要があり、(1)所定のビーム形状に入るビーム内において荷電粒子の大部分を透過するべきであり、(2)所定のビーム形状から外れるビーム内の荷電粒子の大部分の透過を遮蔽するべきである。   A charged particle optical device for generating a high current density shaped beam is disclosed. This device utilizes the charged particle optical column design commonly used to generate high current density Gaussian beams with the addition of a beam pattern limited aperture that can be customized for insertion at various positions of the column. An example of a charged particle optical column design utilizes two lenses, and the charged particle source emits a diffused beam of charged particles, and a first particle forms a substantially parallel charged particle beam. The second lens then focuses a nearly parallel charged particle beam onto the substrate surface with a general Gaussian current distribution with a high current density in the center and a long tail extending outward in all directions from the beam center. Let In this example, the beam pattern limited aperture can be positioned between two lenses. Based on the design requirements of the pattern to be drawn, the beam shape on the substrate is determined. The shape of the beam pattern limited aperture (PBDA) is created in the multi-step method disclosed herein. The PBDA shape must meet two requirements: (1) it should transmit most of the charged particles in the beam that enters the predetermined beam shape, and (2) the charge in the beam that deviates from the predetermined beam shape. The penetration of most of the particles should be shielded.

本発明を利用する荷電粒子光学システムには、次のような多数の追加構成要素が含まれ得る。   A charged particle optical system utilizing the present invention may include a number of additional components as follows.

ビームブランカ――ブランキングアパーチャへビームを偏向させることでビームをオンオフするために使用される。本明細書で説明した本発明の実施形態において、PBDAは、ブランキングアパーチャとしても機能する。   Beam blanker—used to turn a beam on and off by deflecting the beam to a blanking aperture. In the embodiments of the invention described herein, the PBDA also functions as a blanking aperture.

偏向器――ある領域をパターン化するためにビームを基板表面上で移動させるために使用する。この実施形態では、二重偏向型主偏向器が、2μm角のサブフィールドの中心にビームを移動させる。各サブフィールド内では、単一の八極子で構成されたサブフィールド偏向器がビームを偏向させる。   Deflector—used to move a beam over the substrate surface to pattern an area. In this embodiment, a double deflection main deflector moves the beam to the center of a 2 μm square subfield. Within each subfield, a subfield deflector composed of a single octupole deflects the beam.

移動レンズ――成形ビームにおける軸外収差を最小化するために、第二のレンズの有効光軸を軸外に変位させて、メインフィールド偏向器によるビームの偏向をマッチングさせる。   Moving lens—To minimize off-axis aberrations in the shaped beam, the effective optical axis of the second lens is displaced off-axis to match the deflection of the beam by the main field deflector.

非点補正器――様々な要素の機械的欠陥又は位置決め誤差により生じる光学鏡筒の不完全性を補正するために使用する。   Astigmatism corrector—used to correct optical lens imperfections caused by mechanical defects or positioning errors in various elements.

ビームパターン限定アパーチャの設計方法は、描画すべきパターンに関するデータ(ウエハ上でのICの寸法及びレイアウト、ICの限界寸法、アライメントマークのデザイン等)により開始され、このデータを荷電粒子ビーム鏡筒の光学特性と組み合わせ、最大効率(即ち、最大スループット)でのパターン描画を可能にする最適な成形ビームサイズを決定する。PBDA設計は、最初に理想形状として作成し、その後、修正を加え、製作を可能にする。PBDA設計の提案後、最初に設計の作成に使用したものと同じ手順を用いて試験を行い、通常は、電子線トレーシングを使用する荷電粒子設計ソフトウェアを利用して、光学鏡筒の電極及び磁極片により成形された電場及び磁場の影響下で実際の荷電粒子をシミュレートする。   The design method of the beam pattern limited aperture is started by data related to the pattern to be drawn (IC dimensions and layout on the wafer, IC critical dimensions, alignment mark design, etc.), and this data is used for the charged particle beam column. In combination with the optical properties, an optimal shaped beam size is determined that allows pattern writing with maximum efficiency (ie, maximum throughput). The PBDA design is first created as an ideal shape and then modified to allow production. After a PBDA design proposal, tests were initially performed using the same procedure used to create the design, and usually using charged particle design software using electron beam tracing, The actual charged particles are simulated under the influence of the electric and magnetic fields formed by the pole pieces.

この鏡筒により生成された成形ビームは、ガウスビームと比較して改良された電流プロファイルのエッジの鋭さと、レジスト露光量での直角に近い電流分布とにより特徴付けられる(後者は、リソグラフィ用途にとって非常に望ましい)。本装置の利点は、可変成形ビーム鏡筒に通常存在する成形装置、偏向器、及びレンズを更に複雑にすることなく、成形ビームを生成する能力を含む。加えて、ガウスビームシステムに近い電流密度が達成され、レジスト露光時間を大幅に低減し、リソグラフィ用途における描画スループットを高める。   The shaped beam produced by this column is characterized by an improved sharpness of the edge of the current profile compared to a Gaussian beam and a current distribution close to normal at the resist exposure (the latter for lithographic applications). Highly desirable). Advantages of the apparatus include the ability to generate a shaped beam without further complicating the shaping devices, deflectors, and lenses that are typically present in variable shaped beam barrels. In addition, a current density close to that of a Gaussian beam system is achieved, greatly reducing resist exposure time and increasing writing throughput in lithography applications.

ビームパターン限定アパーチャ(PBDA)を設計する方法を説明する。この方法の中心には、荷電粒子ビームのどの電子線が基板表面の多数の位置で基板における所望のビームプロファイルに寄与しているかを判断するために電子線トレーシングを行うことが含まれ、その後、所望のビームプロファイルに寄与する電子線を透過し、所望のプロファイルから外れる電子線を遮蔽するビームパターン限定アパーチャの設計プロセスが行われる。更に、本発明の別の革新的な態様を、以下の段落で説明する。   A method of designing a beam pattern limited aperture (PBDA) will be described. The heart of this method involves performing electron beam tracing to determine which electron beam of the charged particle beam contributes to the desired beam profile at the substrate at a number of locations on the substrate surface. A design process of a beam pattern limited aperture that transmits an electron beam contributing to a desired beam profile and shields an electron beam that deviates from the desired profile is performed. Furthermore, another innovative aspect of the present invention is described in the following paragraphs.

ブランキングシステム――本明細書で説明した装置は、電子源及びウエハ間での中間クロスオーバの使用を必要としない独自のブランキングシステムを採用する。二重偏向ブランカを使用して、有効ブランキング面を投射して仮想源の位置へ戻す。中間クロスオーバの欠如は、電子−電子相互作用により生じる空間電荷ビームの拡散を実質的に低減するため、これは利点となる。二重偏向ブランカジオメトリの別の利点は、非常に広範なビームサイズでビームのブランキングを行う能力であり、従来技術の設計では、(単一の)ブランカをクロスオーバに位置決めして、ブランキングを共役させる必要があることから、本発明により可能となる広範なビームサイズ(30nm未満乃至120nm超)を達成することは不可能であり、これは、こうした広いサイズ範囲により、クロスオーバを光軸に沿った様々な(間隔の離れた)位置まで移動させ、鏡筒の倍率を変化させる必要が生じるためである。ブランカシステムの別の新規の態様は、ビームサイズ及びビーム形状を正方形断面へと減じるためのブランカ上方での正方形ビームトリミングアパーチャの使用である。これは、ビームをPBDA(ブランキングアパーチャの役割も果たす)よりほんの僅かだけ大きく成形することで、達成可能なブランキング速度を最大にするという利点を有する。加えて、正方形ビームは、PBDAを掃射する時、PBDA開口部全体を均一に照射することで、成形ビーム内において、ウエハ上の堆積電流をより均一にする。   Blanking system—The apparatus described herein employs a unique blanking system that does not require the use of an intermediate crossover between the electron source and the wafer. A double deflection blanker is used to project the effective blanking surface back to the virtual source position. This is advantageous because the lack of an intermediate crossover substantially reduces the space charge beam diffusion caused by electron-electron interactions. Another advantage of the double deflection blanker geometry is the ability to blank the beam over a very wide range of beam sizes, and in prior art designs, the (single) blanker is positioned at the crossover and blanked. It is impossible to achieve the wide range of beam sizes (less than 30 nm to more than 120 nm) that is possible with the present invention, because of this wide size range, This is because it is necessary to change the magnification of the lens barrel by moving the lens to various (spaced) positions. Another novel aspect of the blanker system is the use of a square beam trimming aperture above the blanker to reduce the beam size and beam shape to a square cross section. This has the advantage of shaping the beam only slightly larger than PBDA (which also serves as a blanking aperture) to maximize the achievable blanking speed. In addition, the square beam makes the deposition current on the wafer more uniform within the shaped beam by irradiating the entire PBDA opening uniformly when sweeping the PBDA.

主偏向器――本発明は、パターン化ビームの軸外での偏向距離を一方向(一般に25μm超)において他方向(約1μm)より非常に長くする要件に最適な独自の主偏向器設計を採用する。偏向器設計では、多数の分離電極(本明細書の実施形態では22個)を利用するが、駆動信号は四種類のみを必要とする。22個の偏向器電極の配置は、従来技術の八極子設計により可能となるものより均一な、一組の平行平板により発生させた電場をシミュレートする。より均一な電場は、ビームにおいて誘発された偏向収差を減少させ、本明細書で説明した本発明のパターン化ビームにおいて、より鋭いエッジプロファイルを可能にする。従来技術の偏向器では、ここで必要となる大きな偏向では収差を増大させる対称八極子設計を採用している。   Main Deflector--The present invention provides a unique main deflector design that best suits the requirement that the off-axis deflection distance of the patterned beam is much longer in one direction (generally greater than 25 μm) than in the other direction (approximately 1 μm). adopt. In the deflector design, a large number of separation electrodes (22 in the embodiment of the present specification) are used, but only four types of drive signals are required. The arrangement of 22 deflector electrodes simulates the electric field generated by a set of parallel plates that is more uniform than is possible with prior art octupole designs. A more uniform electric field reduces the deflection aberrations induced in the beam and allows for sharper edge profiles in the patterned beam of the invention described herein. Prior art deflectors employ a symmetric octupole design that increases aberrations for the large deflections required here.

主レンズ設計――ウエハ表面において軸外の広範囲の位置(少なくとも25μm)に亘って成形高電流密度ビームを形成するために、本発明は、ビームが常に主レンズの光軸上に現れるように、レンズの有効軸線をビームの偏向と同調して移動可能な主レンズ構造を採用する。本発明のレンズ構造は、レンズ構造に統合された二組の八極子電極を利用し、全般的に軸線に沿ったレンズの電場に、小さな横方向の双極子場を付加する。こうした双極子場は、ビームをレンズの中心に配置するため、軸方向の場を25μmより大きくオフセット可能である。したがって、ビームは、常に軸線上で見られるものと同一に近い集束効果を受ける。全ての軸外収差が、幾何収差(コマ、非点収差、像面の湾曲、歪み)及び色収差(倍率の変化)の両方において、本質的に除去され、パターン化ビームのエッジの鋭さが高まるため、これは利点となる。「移動レンズ」を利用する従来技術のシステムは、ここで利用したものより遙かに複雑な電極設計を必要としていた。   Main lens design—To form a shaped high current density beam over a wide range of off-axis positions (at least 25 μm) on the wafer surface, the present invention ensures that the beam always appears on the optical axis of the main lens. A main lens structure is adopted in which the effective axis of the lens can be moved in synchronization with the deflection of the beam. The lens structure of the present invention utilizes two sets of octupole electrodes integrated into the lens structure to add a small lateral dipole field to the lens electric field generally along the axis. Such a dipole field allows the beam in the center of the lens to offset the axial field by more than 25 μm. Thus, the beam is always subject to a focusing effect that is close to that seen on the axis. All off-axis aberrations are essentially eliminated both in geometric aberrations (coma, astigmatism, field curvature, distortion) and chromatic aberrations (magnification change), because the sharpness of the patterned beam edge is increased This is an advantage. Prior art systems utilizing "moving lenses" required a much more complex electrode design than that utilized here.

制御システム――多鏡筒光学機器用制御システムは、全ての鏡筒に共通であるため単一制御により制御し得る多数の光学要素を調整するが、一方、他の光学要素は、鏡筒毎に個別の制御を必要とする。   Control system-The control system for multi-lens optical instruments is common to all lens barrels and adjusts many optical elements that can be controlled with a single control, while other optical elements are Requires separate control.

パターンデータパス――本発明のデータパスは、多数の鏡筒のパターン形成を協調させる必要性から求められる多数の特徴を利用する。ウエハ上でのパターン品質を維持するために、全鏡筒の描画パターンを結び合わせる必要がある。加えて、描画効率を最大にするため、様々なパターン化高電流密度ビームを発生させる場合がある(必要な場合、鏡筒毎にサイズが異なる)。したがって、ある鏡筒は、30nmの特徴を描画するのと同時に、別の鏡筒は、120nm成形ビームを利用して、2μm角のサブフィールドを含むボンディングパッドを描画する場合もある。   Pattern Data Path—The data path of the present invention utilizes a number of features required from the need to coordinate the pattern formation of a number of lens barrels. In order to maintain the pattern quality on the wafer, it is necessary to combine the drawing patterns of all the lens barrels. In addition, in order to maximize drawing efficiency, various patterned high current density beams may be generated (if necessary, the size varies for each column). Therefore, one lens barrel may draw a 30 nm feature, while another lens barrel may draw a bonding pad including a 2 μm square subfield using a 120 nm shaped beam.

近接効果補正方法――近接効果を補正するために、本発明は、サブフィールド毎にビーム照射量を変化させる方法を採用し、レジスト現像中の処理自由度を最大にする。反復プロセスを使用して、各サブフィールドにおいて描画されるべき領域の区画を決定し、隣接するサブフィールドにおける照射量を修正し、合計レジスト露光量に寄与する後方散乱分子(BSE)を補正する。   Proximity Effect Correction Method—In order to correct the proximity effect, the present invention employs a method of changing the beam dose for each subfield to maximize the degree of processing freedom during resist development. An iterative process is used to determine the section of the region to be drawn in each subfield, modify the dose in adjacent subfields, and correct backscattered molecules (BSE) that contribute to the total resist exposure.

本発明は、電子ビームリソグラフィの分野における実施例を具体例として使用して詳細に説明する。しかしながら、直後に概略を述べるように、他の多くの使用分野が考えられる。   The present invention will be described in detail using examples in the field of electron beam lithography as specific examples. However, many other fields of use are conceivable, as outlined shortly thereafter.

走査電子顕微鏡では、通常、ビーム電流密度を最大化するために、ガウスビームに近いものを利用することで、画像化時間の最小化、及び/又は画像の信号対雑音比の最大化を行う。顕微鏡にガウスビームを使用することの欠点は、達成可能な画像のコントラストを低減する傾向にある、ビームの中心から延びる長い電流のテールである。本発明は、こうした電流のテールの範囲を狭め、画像のコントラストを上げるために、走査電子顕微鏡における使用も可能である。同様の考慮は、走査型オージェ電子顕微鏡、走査型電子顕微鏡、走査型透過電子顕微鏡等、多数の種類の走査電子ビーム画像化及び分析ツールに当てはまる。   In a scanning electron microscope, in order to maximize the beam current density, the imaging time and / or the signal-to-noise ratio of the image are usually maximized by using an object close to a Gaussian beam. A disadvantage of using a Gaussian beam in a microscope is the long current tail extending from the center of the beam, which tends to reduce the achievable image contrast. The present invention can also be used in scanning electron microscopes to narrow the range of such current tails and increase image contrast. Similar considerations apply to many types of scanning electron beam imaging and analysis tools, such as scanning Auger electron microscopes, scanning electron microscopes, scanning transmission electron microscopes, and the like.

本発明は、半導体測定及び検査の分野においても潜在的な用途がある。こうした用途では、ガウスビームに近いものを使用して、半導体ウエハ又はマスク及びレチクル上の特徴を測定又は検査するのに必要な時間を最小化することで、測定及び検査のスループットを最大にする。ガウス分布の長い電流のテールを除去することで、こうしたシステムにおいて画像化のコントラストが改善される。反対に、コントラストを一定に保つ場合、本発明は、迅速なピクセルデータの取得を可能にし、これによりスループットが改善される。   The present invention also has potential applications in the field of semiconductor measurement and inspection. In such applications, close to a Gaussian beam is used to maximize the measurement and inspection throughput by minimizing the time required to measure or inspect features on the semiconductor wafer or mask and reticle. Eliminating long current tails with a Gaussian distribution improves imaging contrast in such systems. Conversely, if the contrast is kept constant, the present invention allows for rapid pixel data acquisition, which improves throughput.

本発明のビームパターン限定アパーチャは、例えば、イオンを利用する、他の種類の粒子ビームシステムにも使用し得る。一例は、マスクレスイオン注入用の集束イオンビームシステムである。こうしたシステムにおいて、イオンビームは、所望の注入イオン(ホウ素、ヒ素、リン等)から成り、無関係な電流のテールの低減は、ドーピングが必要な領域外でのイオンの注入を減少させる。別の例は、電子線直接描画システムの場合と同様に、イオンビームを使用してレジストを露光させる集束イオンビーム直接描画リソグラフィツールである。無関係な電流の低減は、リソグラフィプロセスにおけるコントラストを増加させることで、レジスト現像の処理自由度を高める。更に別の例は、集束イオンビームを試料表面に照射することで、材料の化学組成の特徴を示す二次イオンの放出を誘導する走査型二次イオン質量分析(SIMS)システムである。一次イオンビームのイオンテールが大幅に低減されることで、二次イオンは殆ど全てが対象領域から生成され、この領域の外側では殆ど生成されないため、無関係なイオンの低減は、SIMS画像及び質量スペクトルのコントラスト及び解像度を高める。   The beam pattern limited aperture of the present invention may also be used in other types of particle beam systems that utilize, for example, ions. One example is a focused ion beam system for maskless ion implantation. In such a system, the ion beam consists of the desired implanted ions (boron, arsenic, phosphorus, etc.) and the reduction of the irrelevant current tail reduces the implantation of ions outside the region where doping is required. Another example is a focused ion beam direct lithography tool that uses an ion beam to expose the resist, as in the electron beam direct lithography system. Irrelevant current reduction increases the processing freedom of resist development by increasing the contrast in the lithography process. Yet another example is a scanning secondary ion mass spectrometry (SIMS) system that irradiates a sample surface with a focused ion beam to induce the release of secondary ions that are characteristic of the chemical composition of the material. Because the ion tail of the primary ion beam is significantly reduced, secondary ions are generated almost entirely from the region of interest and are rarely generated outside this region, so irrelevant ion reduction can be achieved with SIMS images and mass spectra. Increase contrast and resolution.

図1は、高電流密度成形電子ビームの生成において使用する、ビームパターン限定アパーチャを利用する電子光学鏡筒を設計するための多段階方法を示す。この例では、ウエハ上で正方形のビームが望ましいが、ビーム限定アパーチャパターンの適切な選択により、広範なビーム形状を実現し得る。   FIG. 1 shows a multi-step method for designing an electron optical column that utilizes a beam pattern limited aperture for use in generating a high current density shaped electron beam. In this example, a square beam is desired on the wafer, but a wide range of beam shapes can be realized by appropriate selection of the beam limited aperture pattern.

ブロック102では、パターンの限界寸法(CD)と、ICのXY寸法と、ウエハ上のICのXYレイアウトと、必要に応じて他のデータとを含む、描画するべき集積回路(IC)パターンに関する初期データを定義する。   In block 102, an initial value for the integrated circuit (IC) pattern to be drawn, including the critical dimension (CD) of the pattern, the XY dimension of the IC, the XY layout of the IC on the wafer, and other data as required. Define the data.

ブロック103では、所望の描画スループット(通常、ウエハ/時)と、描画ビームに対するレジスト感度(通常、μC/cm2)と、ウエハにおける所望の描画ビームエネルギと、描画のオーバヘッド(ウエハ転送時間、アライメント時間等)と、必要に応じて他のパラメータとを含む、システム動作パラメータに関する初期データを定義する。 In block 103, the desired writing throughput (usually wafer / hour), resist sensitivity to the writing beam (usually μC / cm 2 ), desired writing beam energy on the wafer, and drawing overhead (wafer transfer time, alignment). Initial data regarding system operating parameters, including time etc.) and other parameters as needed.

ブロック104では、ブロック102及びブロック103におけるパターン及び描画の指定から、最適なパターン化ビーム形状及びサイズを、必要なビーム電流密度と共に決定する。例えば、45nmのパターンCDがブロック102において指定された場合、40nm正方形ビームプロファイルが適切となり得る。レジスト感度が5μC/cm2である場合、所望の描画スループットを達成するために3000A/cm2のビーム電流密度が必要となり得る。 In block 104, the optimal patterned beam shape and size are determined along with the required beam current density from the pattern and drawing designations in blocks 102 and 103. For example, if a 45 nm pattern CD is specified in block 102, a 40 nm square beam profile may be appropriate. If the resist sensitivity is 5 μC / cm 2 , a beam current density of 3000 A / cm 2 may be required to achieve the desired writing throughput.

次に、ブロック106において、通常は、電子光学設計の計算を行い、レンズ電極の口径、厚さ、位置、及び電圧を含む鏡筒の設計と、ブロック104において決定された最終的なパターン化ビームのサイズより大きな円形ビームのウエハにおける直径とを定める。例えば、40nm正方形ビームが望ましい場合、直径√2×40nm≒56nmの円形ビームが必要であり、このビーム直径により、その後、ブロック110では、角隅部を丸めることなく、40nm×40nmの正方形ビームが取得できる。図2A乃至3Mは、こうしたプロセスの支援により作成された一般的な電子鏡筒設計を示している。或いは、既存の鏡筒のパラメータを入力し、その後、ブロック108で開始されるようにビームパターン限定アパーチャを設計してもよい。   Next, in block 106, an electro-optic design calculation is typically performed to determine the lens barrel design, including lens electrode aperture, thickness, position, and voltage, and the final patterned beam determined in block 104. The diameter of the circular beam larger than the size of the wafer is determined. For example, if a 40 nm square beam is desired, a circular beam with a diameter of √2 × 40 nm≈56 nm is required, and this beam diameter then causes the block 110 to produce a 40 nm × 40 nm square beam without rounding corners. You can get it. 2A through 3M show a typical electronic lens barrel design created with the aid of such a process. Alternatively, the beam pattern limited aperture may be designed to input parameters of an existing barrel and then start at block 108.

ブロック108は、ブロック106において作成された鏡筒設計を利用する一連の電子光学設計計算を含み、ビーム限定アパーチャ212(図2A参照)における電子線(X,Y)位置は、ウエハ表面221(図2A参照)におけるその終点(X,Y)と共に記録される。一般には、五組の電子線が、ウエハ上の異なる(X,Y)位置、即ち、1)軸上(即ち、走査の中心)、2)走査の±1/4幅、及び3)走査の±1/2幅(即ち、軸外で最も遠い走査の両端部)で使用される。このデータは、その後、各組のどの電子線が所望のパターン化高電流密度ビームプロファイル内に入り、各組のどの電子線が所望のパターンから外れるかを、ウエハ上の個別(X,Y)位置毎に判定するのに使用される。図4A乃至4Cは、ウエハ表面221における所望のパターンの内部及び外部の二グループへの軌道データの分離を示している。こうした電子線の組は、ウエハ上の五種類の位置のそれぞれに正確に同一の電子線が対応する必要はなく、即ち、ビーム限定アパーチャにおける特定の位置を通過した光線は、ビームが軸上に位置決めされた時に所望のビームプロファイルの内側に到達し、ビームが走査の±1/2幅だけ偏向された時に所望のビームプロファイルの外側に到達する場合もあることに留意されたい。一般に、図4A乃至4Cは、ウエハにおけるビームは、図示した全ての場合で円形であり、個別の電子線の位置に小さな変動があることを示しており、これは、ブロック106における慎重な鏡筒設計の結果であり、特に、最小限のビーム収差を持ち込むメインフィールド偏向器の設計と、メインフィールド走査全体(この例では±25μm)での軸外収差をほぼ除去する移動式主レンズの使用との結果である。   Block 108 includes a series of electro-optic design calculations utilizing the lens barrel design created in block 106, where the electron beam (X, Y) position in the beam limiting aperture 212 (see FIG. 2A) is the wafer surface 221 (FIG. 2A)) and its end point (X, Y). In general, five sets of electron beams are generated at different (X, Y) positions on the wafer: 1) on-axis (ie, scan center), 2) ± 1/4 width of scan, and 3) of scan Used at ± 1/2 width (ie, both ends of the farthest off-axis scan). This data then indicates which electron beam in each set falls within the desired patterned high current density beam profile and which electron beam in each set deviates from the desired pattern individually (X, Y) on the wafer. Used to determine for each position. 4A-4C illustrate the separation of trajectory data into two groups inside and outside the desired pattern on the wafer surface 221. In such a set of electron beams, the exact same electron beam does not need to correspond to each of the five types of positions on the wafer, that is, a light beam that has passed a specific position in the beam limiting aperture has a beam on the axis. It should be noted that the inside of the desired beam profile may be reached when positioned and the outside of the desired beam profile may be reached when the beam is deflected by ± 1/2 width of the scan. In general, FIGS. 4A-4C show that the beam on the wafer is circular in all cases shown, and that there is a small variation in the position of the individual electron beams, which is a careful column in block 106. The design results, in particular, the design of the main field deflector that introduces minimal beam aberrations, and the use of a movable main lens that substantially eliminates off-axis aberrations throughout the main field scan (± 25 μm in this example). Is the result of

ブロック110では、ブロック108からの五組の電子線の交差部分を発見するという次のステップを実行し、この交差部分は、五種類全てのウエハ位置において、所望のパターン化高電流密度ビーム内部に含まれる電子線に対応するものとなる。通常、この一組の電子線は、ブロック108における五種類の個別のウエハ位置のそれぞれに対応する最初の五組の電子線の何れかよりも、約10乃至15%小さくなる。このプロセスが必要なのは、電子ビーム222がメインフィールド偏向器213及び214により偏向される前に、ビームパターン限定アパーチャに衝突し、したがって、正確に同じ組の照射線がビームパターン限定アパーチャ212によりウエハ表面221へ透過され、ウエハ表面221上のビーム222の全ての部分となるためである。   At block 110, the next step of finding the intersection of the five sets of electron beams from block 108 is performed, which is within the desired patterned high current density beam at all five wafer locations. It corresponds to the contained electron beam. Typically, this set of electron beams is about 10-15% smaller than any of the first five sets of electron beams corresponding to each of the five individual wafer positions in block 108. This process is necessary because the electron beam 222 impinges on the beam pattern limiting aperture before it is deflected by the main field deflectors 213 and 214, so that exactly the same set of irradiation rays is applied to the wafer surface by the beam pattern limiting aperture 212. This is because it is transmitted to 221 and becomes all part of the beam 222 on the wafer surface 221.

ブロック112は、ブロック110からの軌道データを使用して、ウエハ上の五種類全ての位置において同時に(即ち、走査全体に亘って)、所望のビームプロファイルに寄与する全ての電子線を透過し、所望のプロファイルの外側に到達する全ての電子線を遮蔽する目的で、理想的な(即ち、物理的に実現できない可能性がある)アパーチャ設計を定める。図6Aは、ビーム限定アパーチャ212における、結果的に生じた透過させるべき電子線を示している。図6Bは、ビーム限定アパーチャ212における、結果的に生じた遮蔽するべき電子線を示している。   Block 112 uses the trajectory data from block 110 to transmit all the electron beams that contribute to the desired beam profile simultaneously at all five positions on the wafer (ie, across the scan), An ideal (i.e., physically unrealizable) aperture design is defined for the purpose of shielding all electron beams reaching outside the desired profile. FIG. 6A shows the resulting electron beam to be transmitted in the beam limiting aperture 212. FIG. 6B shows the resulting electron beam to be shielded in the beam limiting aperture 212.

ブロック114では、図7A乃至8Aに示したような実際的なアパーチャ212設計を可能にするために、ビームパターン限定アパーチャに対して最終的な変更が施される。結果的なビームパターン限定アパーチャは、二つの要件を満たす必要があり、1)所望のビーム形状内部に含まれるビーム内の荷電粒子の大部分を透過するべきであり、2)所望のビーム形状から外れたビーム内の荷電粒子の大部分の透過を遮蔽するべきである。   At block 114, a final change is made to the beam pattern limited aperture to allow a practical aperture 212 design as shown in FIGS. 7A-8A. The resulting beam pattern limited aperture must meet two requirements: 1) it should transmit most of the charged particles in the beam contained within the desired beam shape, and 2) from the desired beam shape. The transmission of most of the charged particles in the off-beam should be shielded.

次に、ブロック116では、図8Aのアパーチャを使用して、図2Aの電子鏡筒を通る多数の電子線をトレースすることで、光学系及びアパーチャの設計の精度を試験する。   Next, at block 116, the accuracy of the optics and aperture design is tested by tracing a number of electron beams through the electron column of FIG. 2A using the aperture of FIG. 8A.

ブロック118は、ブロック116において生成された多数の電子線(通常、30000本超)を組み合わせて、図10A乃至12に示したようなビーム電流密度プロファイルを取得する。   Block 118 combines the multiple electron beams generated in block 116 (typically over 30,000) to obtain a beam current density profile as shown in FIGS. 10A-12.

最後に、ブロック120において、ビーム電流プロファイルのグラフを生成し、対応するガウスビームの電流プロファイルと比較できる。本発明を利用した電子鏡筒により生成されたパターン化ビームでの改善されたエッジの鋭さは、図16のガウスプロファイルと比較して、図13乃至15において確認できる。   Finally, at block 120, a graph of the beam current profile can be generated and compared with the current profile of the corresponding Gaussian beam. The improved edge sharpness with the patterned beam generated by an electron column utilizing the present invention can be seen in FIGS. 13-15 compared to the Gaussian profile of FIG.

図2Aは、高電流密度パターン化電子ビームを生成するために本発明を利用可能な一般的な電子鏡筒の断面図である。図2Aは、ビーム222及び様々な電極が明瞭に確認できるように、Y軸に沿って拡大している。この鏡筒設計は、図1のブロック106において作成されるものを代表している。図示した構成要素は、電子ソースチップ201、抽出電極202、第一のソースレンズ電極203、ビーム制限アパーチャ(BLA)204、第二のソースレンズ電極205、銃取り付けプレート206、上部アライメント偏向器/非点補正器207、加速組立体209、電子ビーム222、下部アライメント偏向器208、ビームトリミングアパーチャ(BTA)276、上部ブランカ277、下部ブランカ278、光学系取り付けプレート210、ビーム限定アパーチャ取り付け部211、ビームパターン限定アパーチャ(PBDA)212、上部メインフィールド偏向器213、下部メインフィールド偏向器214、サブフィールド偏向器/非点補正器215、焦点1電極組立体216、焦点2電極組立体217、フィールドフリー管218、検出器組立体219、電圧コントラストプレート220、及び電子ビーム222によりリソグラフィを用いてパターン形成中の基板221を含む。フィールドフリー管218と、検出器組立体219と、電圧コントラストプレート220との組み合わせは、検出器光学系と呼ばれ、電子ビームリソグラフィの場合、基板上にアライメントマークを画像化するのに使用されることに留意されたい。焦点1電極組立体216と、焦点2電極組立体217と、フィールドフリー管218との組み合わせは、主レンズと呼ばれる。   FIG. 2A is a cross-sectional view of a typical electron column that can utilize the present invention to generate a high current density patterned electron beam. FIG. 2A is expanded along the Y axis so that the beam 222 and various electrodes can be clearly seen. This barrel design is representative of that created in block 106 of FIG. The illustrated components are: an electronic source chip 201, an extraction electrode 202, a first source lens electrode 203, a beam limiting aperture (BLA) 204, a second source lens electrode 205, a gun mounting plate 206, an upper alignment deflector / non- Point corrector 207, acceleration assembly 209, electron beam 222, lower alignment deflector 208, beam trimming aperture (BTA) 276, upper blanker 277, lower blanker 278, optical system mounting plate 210, beam limited aperture mounting section 211, beam Pattern limited aperture (PBDA) 212, upper main field deflector 213, lower main field deflector 214, subfield deflector / astigmatism corrector 215, focus 1 electrode assembly 216, focus 2 electrode assembly 217, field free tube 18 includes a detector assembly 219, the substrate 221 in the pattern formation by lithography by voltage contrast plate 220 and electron beam 222,. The combination of field free tube 218, detector assembly 219, and voltage contrast plate 220 is called detector optics and is used to image alignment marks on the substrate in the case of electron beam lithography. Please note that. The combination of the focus 1 electrode assembly 216, the focus 2 electrode assembly 217, and the field free tube 218 is called the main lens.

電子は、ソースチップ201と抽出電極202との間の電圧差(通常は2500乃至3500V)により誘導した高電場の影響下で、ソースチップ201から放出される。光学システムの対称軸近くにある、こうした電子の一部は、電極202の孔を通過し、第一のソースレンズ電極203に向かって移動する。ビーム制限アパーチャ204は、電極203の口径内に取り付け、小さな角度内(通常、半角約2.0°)の電子のみを鏡筒へ送り込めるようにする。通常は(0Vであるソースチップ201と比較して)430乃至640Vの電圧が、電極203とビーム制限アパーチャ204との両方に印加され、この電位は、第二の集束電極205に印加された約510Vとの組み合わせにより、ビーム222を集束させて、銃取り付けプレート206を通過する平行ビームにする減速レンズを形成する。上部アライメント偏向器/非点補正器207及び下部アライメント偏向器208は、光軸(Z軸)に平行なビームトリミングアパーチャ276を通して電子ビーム222を方向付けるために使用される。上部アライメント偏向器/非点補正器207と下部アライメント偏向器208との間の加速領域209は、ビームのエネルギを510eVから5000eVまで引き上げる。次に、ビーム222は、上部ブランカ277及び下部ブランカ278を通過する。電子ビーム222内の一部の電子線は、ビーム限定アパーチャ取り付け部211に指示されたビームパターン限定アパーチャ212により停止され、他の電子線は、通過して、メインフィールド偏向器213及び214、サブフィールド偏向器/非点補正器215に到達し、その後、主レンズに入る。主レンズは、ビーム222を基板表面221へ集束させる(同様の電子鏡筒設計の詳細な説明は、出典を明記することで本願明細書の一部とする、米国特許第6,734,428B2号に記載される)。   Electrons are emitted from the source chip 201 under the influence of a high electric field induced by a voltage difference (usually 2500 to 3500 V) between the source chip 201 and the extraction electrode 202. Some of these electrons, near the axis of symmetry of the optical system, pass through the hole in electrode 202 and move toward first source lens electrode 203. The beam limiting aperture 204 is attached within the aperture of the electrode 203 so that only electrons within a small angle (usually about a half angle of about 2.0 °) can be sent to the lens barrel. Normally, a voltage of 430 to 640 V (as compared to the source chip 201 which is 0 V) is applied to both the electrode 203 and the beam limiting aperture 204, and this potential is approximately the same applied to the second focusing electrode 205. In combination with 510V, the beam 222 is focused to form a decelerating lens into a parallel beam that passes through the gun mounting plate 206. Upper alignment deflector / astigmatism corrector 207 and lower alignment deflector 208 are used to direct electron beam 222 through beam trimming aperture 276 parallel to the optical axis (Z-axis). The acceleration region 209 between the upper alignment deflector / astigmatism corrector 207 and the lower alignment deflector 208 raises the beam energy from 510 eV to 5000 eV. The beam 222 then passes through the upper blanker 277 and the lower blanker 278. Some of the electron beams in the electron beam 222 are stopped by the beam pattern limiting aperture 212 instructed by the beam limiting aperture mounting portion 211, and the other electron beams pass through the main field deflectors 213 and 214, the sub-beams. The field deflector / astigmatizer 215 is reached and then enters the main lens. The main lens focuses the beam 222 onto the substrate surface 221 (a detailed description of a similar electron column design is hereby incorporated by reference, US Pat. No. 6,734,428 B2). As described in).

図示した鏡筒設計は、例示のみを目的としており、本発明の方法により生成されたビームパターン限定アパーチャは、当業者に周知の多数の鏡筒設計において採用し得る。   The illustrated barrel design is for illustrative purposes only, and the beam pattern limited aperture generated by the method of the present invention may be employed in many barrel designs known to those skilled in the art.

図2Bは、高電流密度パターン化電子ビームを生成するために本発明を採用できる一般的な電子鏡筒の底部の断面図である。図示した構成要素は、ビーム限定アパーチャ取り付け部211、ビームパターン限定アパーチャ212、上部メインフィールド偏向器213、下部メインフィールド偏向器214、サブフィールド偏向器/非点補正器215、焦点1電極組立体216、焦点1支持電極230、焦点1八極子電極231乃至238、焦点2電極組立体217、焦点2支持電極240、焦点2八極子電極241乃至248、フィールドフリー管218、検出器組立体219、電圧コントラストプレート220、及び位置250において基板表面221に衝突する電子ビーム222によりリソグラフィを用いてパターン形成中の基板221を含む。   FIG. 2B is a cross-sectional view of the bottom of a typical electron column that can employ the present invention to produce a high current density patterned electron beam. The illustrated components are a beam limiting aperture attachment 211, a beam pattern limiting aperture 212, an upper main field deflector 213, a lower main field deflector 214, a subfield deflector / astigmatism corrector 215, and a focus 1 electrode assembly 216. , Focus 1 support electrode 230, focus 1 octupole electrodes 231 to 238, focus 2 electrode assembly 217, focus 2 support electrode 240, focus 2 octupole electrodes 241 to 248, field free tube 218, detector assembly 219, voltage Contrast plate 220 and substrate 221 being patterned using lithography with electron beam 222 impinging on substrate surface 221 at location 250 are included.

各図に示した電子線は、SIMION 3D,ver.6.0(アイダホ国立工学環境研究所のDvid Dahlが開発した荷電粒子線追跡プログラム)を用いて計算した。   The electron beam shown in each figure is SIMION 3D, ver. Calculations were performed using 6.0 (a charged particle beam tracking program developed by Dvid Dahl, Idaho National Engineering and Environmental Research Laboratory).

図3Aは、ソースチップ201を離れた電子線の一対の図であり、電子線の初期分布が「層状」である様子、即ち、互いに交差することなく、電子線がチップ201から散開する均一な分布を有することを示している。図(a)は、ソースチップ201と、抽出電極202と、第一のソースレンズ電極203と、ビーム制限アパーチャ204と、ビーム222との側面断面図であり、ソースチップ201から現れた30°に開いたビームの半角を示している。ビーム222の軸方向断面を位置301において取り出している。光軸は、Z軸310に平行で、Y軸320に直交する。   FIG. 3A is a pair of diagrams of electron beams that are separated from the source chip 201. The initial distribution of the electron beams is “layered”, that is, the electron beams are spread uniformly from the chip 201 without crossing each other. It has a distribution. FIG. 4A is a side cross-sectional view of the source chip 201, the extraction electrode 202, the first source lens electrode 203, the beam limiting aperture 204, and the beam 222, at 30 ° that appears from the source chip 201. The half angle of the open beam is shown. An axial section of the beam 222 is extracted at position 301. The optical axis is parallel to the Z axis 310 and orthogonal to the Y axis 320.

位置301におけるビーム222の軸方向断面は、電子線切片302を含めて(b)に示す。X軸319及びY軸320は、共に光軸310に直交する。電子線切片302のそれぞれは、X軸319及びY軸320に対応するグリッド上で均一に分離しているのが確認できる。ビーム222の電子線は、基板表面221までのほぼ全道程において、この層流の性質を保持する。   The cross section in the axial direction of the beam 222 at the position 301 is shown in FIG. Both the X axis 319 and the Y axis 320 are orthogonal to the optical axis 310. It can be confirmed that each of the electron beam sections 302 is uniformly separated on the grid corresponding to the X axis 319 and the Y axis 320. The electron beam of the beam 222 retains this laminar flow property almost all the way to the substrate surface 221.

ビームパターン限定アパーチャ212について本明細書で説明した設計は、各電子線が明確な量の電流を表すという仮定に頼っている。この電流の計算は次の通りである。   The design described herein for the beam pattern limiting aperture 212 relies on the assumption that each electron beam represents a distinct amount of current. The calculation of this current is as follows.

S=ビームパターン限定アパーチャ212を照射するのに使用される放射立体角度(一般には半角0.4°乃至1.5°、本例では0.8°――通常、ISは100μA/sr乃至500μA/sr超)におけるソース角強度
δ=電子線302間のX軸319及びY軸320に沿った角度増分(一般には、0.04°乃至0.15°、本例では、δ=0.08°)
ω=各電子線302によりδ=0.08°に対して定められる立体角度
=[δ(π/180°)]2=1.95×10-6sr
ray302=Isω(500μA/sr)(1.95×10-6sr)=各電子線302に対して0.98nA
I S = radial solid angle used to illuminate the beam pattern limited aperture 212 (typically a half angle of 0.4 ° to 1.5 °, in this example 0.8 ° —typically, I S is 100 μA / sr Source angle intensity at ˜500 μA / sr δ = angle increment between electron beam 302 along X axis 319 and Y axis 320 (generally 0.04 ° to 0.15 °, in this example δ = 0) .08 °)
ω = solid angle determined for each electron beam 302 with respect to δ = 0.08 ° = [δ (π / 180 °)] 2 = 1.95 × 10 −6 sr
I ray 302 = I s ω (500 μA / sr) (1.95 × 10 −6 sr) = 0.98 nA for each electron beam 302

この計算は、ビームパターン限定アパーチャ212を照射する正方形ビーム(図3Gの電子線306を含む)を生成するのに使用された放射角度範囲に亘って、角強度が均一であるという暗黙の仮定を含む。ショットキ熱電界エミッタの例では、一般に、角度放出分布の中心(即ち、軸上)部分において角強度が非常に均一であるため、この仮定は有効である。この仮定が無効な電子ソースでは、ここで説明したビームパターン限定アパーチャの設計方法は、ソースチップ201での各電子線302の初期角度に応じた異なるIray302の値を考慮に入れて修正できる。 This calculation makes an implicit assumption that the angular intensity is uniform over the range of radiation angles used to generate the square beam (including the electron beam 306 of FIG. 3G) that illuminates the beam pattern limiting aperture 212. Including. In the example of a Schottky field emitter, this assumption is valid because the angular intensity is generally very uniform in the central (ie, on-axis) portion of the angular emission distribution. This assumption is invalid electronic source, a method of designing a patterned beam-defining aperture described herein can be modified by putting the value of different I Ray302 corresponding to the initial angle of each electron beam 302 at the source chip 201 into account.

図3Bは、上部アライメント偏向器/非点補正器207における電子線を示す一対の図である。図(a)は、銃取り付けプレート206と、上部アライメント偏向器/非点補正器207と、ビーム222との側面断面図である。   FIG. 3B is a pair of diagrams showing electron beams in the upper alignment deflector / astigmatism corrector 207. FIG. 4A is a side sectional view of the gun mounting plate 206, the upper alignment deflector / astigmatism corrector 207, and the beam 222.

位置303におけるビーム222の軸方向断面を(b)に示す。ビーム222は、上部アライメント偏向器/非点補正器207内で中心に位置する。上部アライメント偏向器/非点補正器207の八個の八極子電極260乃至267を図示している。電圧を八個の電極260乃至267に印加して、回転可能な双極子電場を生成し、ビーム222を偏向させ得る。加えて、電圧を八個の電極260乃至267に印加して、回転可能な四極子場を生成し、上部鏡筒においてビーム222の非点補正を行う。電子線切片304は、平均エネルギでソースチップ201を離れる電子に対応する。電子線切片304のそれぞれは、図3Aの対応する電子線切片302と殆ど同じ相対位置を互いに維持した状態で、X軸319及びY軸320に略対応するグリッド上で均一に分離しているのが確認できる。   An axial section of the beam 222 at the position 303 is shown in FIG. Beam 222 is centered within upper alignment deflector / astigmatism 207. Eight octupole electrodes 260-267 of the upper alignment deflector / astigmatism corrector 207 are shown. A voltage may be applied to the eight electrodes 260-267 to generate a rotatable dipole field and deflect the beam 222. In addition, a voltage is applied to the eight electrodes 260 to 267 to generate a rotatable quadrupole field and perform astigmatism correction of the beam 222 in the upper barrel. The electron beam intercept 304 corresponds to electrons leaving the source chip 201 with average energy. Each of the electron beam segments 304 is uniformly separated on a grid substantially corresponding to the X-axis 319 and the Y-axis 320 while maintaining almost the same relative positions as the corresponding electron beam segments 302 of FIG. 3A. Can be confirmed.

図3Cは、下部アライメント偏向器208における電子線を示す一対の図である。図(a)は、下部アライメント偏向器208と、ビームトリミングアパーチャ276と、上部ブランカ277と、ビーム222との側面断面図である。位置398におけるビーム222の軸方向断面を(b)に示す。ビーム222は、下部アライメント偏向器208内で中心に位置する。下部アライメント偏向器/非点補正器208の八個の八極子電極268乃至275を図示している。電圧を八個の電極268乃至275に印加して、回転可能な双極子電場を生成し、ビーム222を偏向させ得る。電子線切片399は、平均エネルギでソースチップ201を離れる電子に対応する。電子線切片399のそれぞれは、図3Aの対応する電子線切片302と殆ど同じ相対位置を互いに維持した状態で、X軸319及びY軸320に略対応するグリッド上で均一に分離しているのが確認できる。   FIG. 3C is a pair of diagrams showing electron beams in the lower alignment deflector 208. FIG. 4A is a side sectional view of the lower alignment deflector 208, the beam trimming aperture 276, the upper blanker 277, and the beam 222. An axial section of the beam 222 at position 398 is shown in FIG. The beam 222 is centered within the lower alignment deflector 208. The eight octupole electrodes 268-275 of the lower alignment deflector / astigmatism corrector 208 are shown. A voltage may be applied to the eight electrodes 268-275 to generate a rotatable dipole field and deflect the beam 222. The electron beam section 399 corresponds to electrons leaving the source chip 201 with average energy. Each of the electron beam segments 399 is uniformly separated on a grid substantially corresponding to the X-axis 319 and the Y-axis 320 while maintaining substantially the same relative position as the corresponding electron beam segment 302 of FIG. 3A. Can be confirmed.

図3Dは、ビームトリミングアパーチャ276直上の電子線を示す一対の図であり、図3A乃至3Bに示した角度の初期層状分布が鏡筒を更に進んだ位置でほぼ保持された様子を示す。図(a)は、ウエハ221において30nmビームとなる場合におけるビームトリミングアパーチャ276直上のビーム222を示し、第一のソースレンズ電極203及びビーム制限アパーチャ204に印加される電圧は、一般に約640Vである。この場合、ビーム222の外部電子線は、ビーム制限アパーチャ204により透過された最大2.0°の半角に対応し、中心正方形(X軸319及びY軸320に沿ったソースチップ201における半角±0.45°内の角度に対応する)内の電子線のみが、鏡筒の更に先へと透過される。中心正方形の外部の電子円は、ビームトリミングアパーチャ276により遮蔽される。ビームトリミングアパーチャは、ビームパターン限定アパーチャ212における正方形のビーム断面を形成するために正方形であり、これは、以下において更に詳細に説明するように、ウエハ表面221におけるパターン化ビーム内の線量の適切な制御に必要となる。   FIG. 3D is a pair of diagrams showing the electron beam immediately above the beam trimming aperture 276, and shows that the initial layered distribution of angles shown in FIGS. 3A to 3B is substantially held at a position further advanced through the lens barrel. FIG. 5A shows the beam 222 immediately above the beam trimming aperture 276 in the case of a 30 nm beam on the wafer 221, and the voltage applied to the first source lens electrode 203 and the beam limiting aperture 204 is generally about 640V. . In this case, the external electron beam of the beam 222 corresponds to a maximum half angle of 2.0 ° transmitted by the beam limiting aperture 204, and is a central square (half angle ± 0 in the source chip 201 along the X axis 319 and the Y axis 320). Only the inner electron beam (corresponding to an angle within .45 °) is transmitted further into the barrel. The electron circle outside the central square is shielded by the beam trimming aperture 276. The beam trimming aperture is square to form a square beam cross-section at the beam pattern limiting aperture 212, which, as will be described in more detail below, is suitable for the dose in the patterned beam at the wafer surface 221. Necessary for control.

図3Dの図(b)は、ウエハ221において120nmビームとなる場合におけるビームトリミングアパーチャ276直上のビーム222を示し、第一のソースレンズ電極203及びビーム制限アパーチャ204に印加される電圧は、一般に約430Vである。ビーム222の外部電子線は、ビーム制限アパーチャ204により透過された最大2.0°の半角に対応し、中心正方形(X軸319及びY軸320に沿ったソースチップ201における半角±1.5°内の角度に対応する)内の電子線のみが、鏡筒の更に先へと透過される。   FIG. 3D (b) shows the beam 222 just above the beam trimming aperture 276 for a 120 nm beam on the wafer 221, and the voltage applied to the first source lens electrode 203 and the beam limiting aperture 204 is generally about 430V. The external electron beam of the beam 222 corresponds to the maximum half angle of 2.0 ° transmitted by the beam limiting aperture 204, and the central square (half angle ± 1.5 ° in the source chip 201 along the X axis 319 and the Y axis 320). Only the inner electron beam (corresponding to the inner angle) is transmitted further beyond the lens barrel.

図3Eは、ビームブランカ近辺及び内部での鏡筒を示す一対の図である。図(a)は、下部アライメント偏向器208と、ビームトリミングアパーチャ276と、上部ブランカ277と、下部ブランカ278と、光学系取り付けプレート210と、ビーム限定アパーチャ取り付け部211と、ビームパターン限定アパーチャ212と、ビーム222との側面断面図である。上部ブランカ277の中心にある位置395におけるビーム222の軸方向断面を(b)に示す。電子線切片394は、平均エネルギでソースチップ201を離れる電子に対応する。電子線切片394のそれぞれは、図3Aの対応する電子線切片302とほぼ同じ相対位置を互いに維持した状態で、X軸319及びY軸320に略対応するグリッド上で均一に分離しているのが確認できる。ビーム222をブランキングするために、通常は±1.7V+5000Vの範囲の電圧を、ブランカプレート280及び282に印加する。プレート280及び282間の3.4Vの差は、図3E(a)に示したように電子ビーム222を曲げて光(Z)軸310から離す、Y軸320に平行な横電場を生成する。プレート281は、プレート280及び282間の隙間において均一な電場を確保するために、常に共通モードの電圧5000Vに維持される。   FIG. 3E is a pair of views showing the lens barrel near and inside the beam blanker. FIG. 6A shows a lower alignment deflector 208, a beam trimming aperture 276, an upper blanker 277, a lower blanker 278, an optical system mounting plate 210, a beam limiting aperture mounting portion 211, and a beam pattern limiting aperture 212. FIG. An axial section of the beam 222 at a position 395 at the center of the upper blanker 277 is shown in FIG. The electron beam intercept 394 corresponds to electrons leaving the source chip 201 with average energy. Each of the electron beam segments 394 is uniformly separated on a grid substantially corresponding to the X axis 319 and the Y axis 320 while maintaining substantially the same relative position as the corresponding electron beam segment 302 in FIG. 3A. Can be confirmed. To blank the beam 222, a voltage typically in the range of ± 1.7V + 5000V is applied to the blanker plates 280 and 282. The 3.4 V difference between plates 280 and 282 produces a transverse electric field parallel to Y axis 320 that bends electron beam 222 away from optical (Z) axis 310 as shown in FIG. 3E (a). The plate 281 is always maintained at a common mode voltage of 5000 V to ensure a uniform electric field in the gap between the plates 280 and 282.

図3Fは、下部ブランカ278の中心にある位置393におけるビーム222の軸方向断面を示す。電子線切片392は、平均エネルギでソースチップ201を離れる電子に対応する。電子線切片392のそれぞれは、図3Aの対応する電子線切片302とほぼ同じ相対位置を互いに維持した状態で、X軸319及びY軸320に略対応するグリッド上で均一に分離しているのが確認できる。ビーム222をブランキングするために、通常は±1.54V+5000Vの範囲の電圧を、ブランカプレート283及び285に印加する。プレート283及び285間の3.08Vの差は、Y軸320に平行且つ上部ブランカ277内の電場から反対の方向に横電場を生成し、図3E(a)に示したように電子ビーム222を光(Z)軸310方向へ曲げる。プレート284は、プレート283及び285間の隙間において均一な電場を確保するために、常に共通モードの電圧5000Vに維持される。上部277及び下部278ブランカの複合偏向効果は、ビームが依然として光(Z)軸上の仮想源から来るように見える形で、ビーム222を軸外へ、ビームパターン限定アパーチャ212に向けて偏向させることであり、これにより、ソースチップ201とウエハ表面221との間の鏡筒において実際に交差が存在しなくとも、共役ブランキングが確保される。中間の交差を回避することの利益は、鏡筒を進むビーム222の大きな直径がクーロン(空間荷電)ビームの広がりを大幅に減少させ、これにより、ウエハ表面221におけるビームエッジの鋭さを高めることである。   FIG. 3F shows an axial cross section of beam 222 at position 393 at the center of lower blanker 278. The electron beam intercept 392 corresponds to electrons leaving the source chip 201 with average energy. Each of the electron beam segments 392 is uniformly separated on a grid substantially corresponding to the X axis 319 and the Y axis 320 while maintaining substantially the same relative position as the corresponding electron beam segment 302 of FIG. 3A. Can be confirmed. To blank the beam 222, a voltage typically in the range of ± 1.54V + 5000V is applied to the blanker plates 283 and 285. The 3.08V difference between plates 283 and 285 creates a transverse electric field parallel to the Y axis 320 and in the opposite direction from the electric field in the upper blanker 277, causing the electron beam 222 as shown in FIG. 3E (a). Bend in the direction of the optical (Z) axis 310. The plate 284 is always maintained at a common mode voltage of 5000V to ensure a uniform electric field in the gap between the plates 283 and 285. The combined deflection effect of the upper 277 and lower 278 blankers causes the beam 222 to be deflected off-axis and toward the beam pattern limited aperture 212 in such a way that the beam still appears to come from a virtual source on the optical (Z) axis. Thus, conjugate blanking is ensured even if there is no actual intersection in the lens barrel between the source chip 201 and the wafer surface 221. The benefit of avoiding intermediate crossings is that the large diameter of the beam 222 traveling through the barrel greatly reduces the spread of the Coulomb (space charge) beam, thereby increasing the sharpness of the beam edge at the wafer surface 221. is there.

図3Gは、ビーム限定アパーチャ212直上の一対のビーム222を示しており、図3A乃至3Bに示した角度の初期層状分布が鏡筒を更に進んだ位置でほぼ保持された様子を示す。上部正方形ビーム305は、図3E(a)に示したブランキングされたビームに対応しており、ビームパターン限定アパーチャ212の開口部から完全に離れた位置に偏向されているため、電子線はウエハ表面221へ全く透過されない。電子線306は、下部(非ブランキング)正方形ビーム内に図示されている。ビームパターン限定アパーチャ212の開口部を通過するこれらの電子線は、ウエハ表面221へ透過され、図2Bの位置250において高電流密度正方形ビームを形成する。   FIG. 3G shows a pair of beams 222 directly above the beam limiting aperture 212, showing that the initial laminar distribution of the angles shown in FIGS. 3A-3B is substantially held at a position further advanced through the lens barrel. The upper square beam 305 corresponds to the blanked beam shown in FIG. 3E (a) and is deflected to a position completely away from the opening of the beam pattern limiting aperture 212. There is no permeation to the surface 221. The electron beam 306 is shown in the lower (non-blanking) square beam. These electron beams that pass through the apertures of the beam pattern limiting aperture 212 are transmitted to the wafer surface 221 to form a high current density square beam at location 250 in FIG. 2B.

正方形のビームトリミングアパーチャ276の目的は、図3Gにおいて確認可能であり、ブランキング時間を最小化するために、ビームパターン限定アパーチャ212におけるビームサイズを可能な限り小さくする必要がある。更に、ビームは、正方形断面を有し、ビームがビームパターン限定アパーチャ(PBDA)212(図22参照)を掃射する際に、PBDA212の開口部における各位置が同じ時間量だけ照射されるようにする必要がある。これは、ビーム断面が正方形である場合のみ可能となる。ウエハ表面221において可能なあらゆるビームサイズに対して、PBDA212における正方形の照射ビームは、図3Dの図(a)及び(b)に示した外部ビームの直径(チップ201における半角2°に対応)のサイズが大きく変化しても、ほぼ図3Gの図(a)及び(b)に示したサイズである。ビームトリミングアパーチャ276が無ければ、PBDA212に照射するビーム直径は、同様の広範なサイズ範囲を示し、ウエハ表面221でのビームサイズが小さいものに対して、ブランキング時間が非常に長くなる。   The purpose of the square beam trimming aperture 276 can be seen in FIG. 3G, and the beam size in the beam pattern limited aperture 212 needs to be as small as possible in order to minimize the blanking time. In addition, the beam has a square cross-section so that each position in the opening of the PBDA 212 is illuminated for the same amount of time as the beam sweeps the beam pattern limited aperture (PBDA) 212 (see FIG. 22). There is a need. This is possible only when the beam cross section is square. For any possible beam size on the wafer surface 221, the square illumination beam in the PBDA 212 is of the external beam diameter (corresponding to a half angle of 2 ° in the chip 201) shown in FIGS. 3A and 3B. Even if the size changes greatly, it is almost the size shown in FIGS. 3A and 3B. Without the beam trimming aperture 276, the beam diameter that irradiates the PBDA 212 shows a similar wide size range, and the blanking time is very long compared to the small beam size on the wafer surface 221.

図(b)は、ウエハ表面221において30nm正方形ビームである場合のビームパターン限定アパーチャ(PBDA)212のビーム222断面の近接図である。ウエハ221において40nm乃至120nmの大きなビームサイズに対して、PBDA212での正方形ビームは、図(b)よりも僅かに小さく、そのため、30nm乃至120nmの正方形ビームに対して、ビームトリミングアパーチャ276は、PBDA212における正方形ビームを、最大帯域幅のブランキングにとってほぼ最適な(最小の)サイズに維持する。   FIG. 5B is a close-up view of the beam 222 cross section of the beam pattern limited aperture (PBDA) 212 when the wafer surface 221 is a 30 nm square beam. For large beam sizes of 40 nm to 120 nm on the wafer 221, the square beam at the PBDA 212 is slightly smaller than FIG. (B), so for a 30 nm to 120 nm square beam, the beam trimming aperture 276 is PBDA 212. The square beam at is maintained at an approximately optimal (minimum) size for maximum bandwidth blanking.

図3Hは、上部メインフィールド偏向器213の中心における電子線330の図である。図3A乃至3Bに示した角度の初期層状分布は、鏡筒を更に進んだ位置でほぼ保持されている。ここでビーム断面は、ビームパターン限定アパーチャ212の開口部の画像を保持していることに留意されたい。   FIG. 3H is a diagram of the electron beam 330 at the center of the upper main field deflector 213. The initial layered distribution of angles shown in FIGS. 3A to 3B is substantially maintained at a position further advanced through the lens barrel. Note that the beam cross section holds an image of the opening of the beam pattern limiting aperture 212.

213及び214を備えるメインフィールド偏向器の目的は、次の通りである。   The purpose of the main field deflector with 213 and 214 is as follows.

(1)X軸319及びY軸320の両方における小さな(約±2μm)ウエハステージ位置誤差を補正すること。   (1) Correct a small (about ± 2 μm) wafer stage position error in both the X axis 319 and the Y axis 320.

(2)X軸319及びY軸320の両方における鏡筒位置の小さな(約±2μm)機械的誤差を補正すること。   (2) Correcting a small (about ± 2 μm) mechanical error in the lens barrel position in both the X axis 319 and the Y axis 320.

(3)X軸319のみに沿って描画の対象となる特定のサブフィールドの中心にビームを位置決めすること(少なくとも±25μmまでの偏向)。   (3) Position the beam at the center of a specific subfield to be drawn along only the X axis 319 (deflection to at least ± 25 μm).

こうした全ての要件は、比較的、帯域幅が低いが、X軸319に沿った大きな(例えば、±25μm)軸外偏向を有しても、メインフィールド偏向器がビーム内に収差を誘発しないことが求められる。Y軸320の偏向は小さいため、大きなX偏向と小さなY偏向に最適化された偏向器設計を、図示したような上部213及び下部214の両方のメインフィールド偏向器に使用する。最大のX軸319偏向の場合に対する一般的に上部メインフィールド偏向器213の電圧は、次の通りである(共通モード電圧5000Vに対するもの)。   All these requirements are relatively low bandwidth, but having a large (eg, ± 25 μm) off-axis deflection along the X-axis 319 ensures that the main field deflector does not induce aberrations in the beam. Is required. Since the deflection of the Y-axis 320 is small, a deflector design optimized for large X deflection and small Y deflection is used for both the upper 213 and lower 214 main field deflectors as shown. In general, the voltage of the upper main field deflector 213 for the maximum X-axis 319 deflection is as follows (for a common mode voltage of 5000V):

Figure 2009531855
Figure 2009531855

この例において、電極4001乃至4009及び電極4012乃至4020は、最小の偏向収差に必要となる均一なX方向電場を保持する働きをする電極4010、4011、4021、及び4022と共に、二枚の平行なプレートの役割を果たす。一組の静電等電位線4025を、4997.5V乃至5002.5Vで0.5V毎に図示しており、偏向収差を最小化する、電場内の高度な均一性に留意されたい。純粋なY軸320偏向の場合、電極4009乃至4012及び4001、4020乃至4022は、均一なY方向電場を保持する働きをする電極4002乃至4008及び4012乃至4019と共に、二枚の平行なプレートの役割を果たす。メインフィールド偏向器213及び214は、X軸319に平行な非常に大きな偏向に最適化されているため、偏向器213及び214の設計は対称であり、±25μmのX偏向に必要な高いX軸319の電場強度における電場均一性を保持するために、Y軸に沿って大きな幅を有する。必要な±1μmのY偏向に対して、必要とされる電場強度は遙かに低いため、電場均一性の度合の減少が許容される。平行プレート偏向器の設計の態様は、当業者に周知である。   In this example, electrodes 4001 through 4009 and electrodes 4012 through 4020 are two parallel, with electrodes 4010, 4011, 4021, and 4022 serving to maintain a uniform X-direction electric field required for minimum deflection aberrations. Play the role of a plate. Note that a set of electrostatic equipotential lines 4025 are illustrated every 0.5V from 4997.5V to 5002.5V, and note the high degree of uniformity in the electric field that minimizes deflection aberrations. In the case of pure Y-axis 320 deflection, electrodes 4009 to 4012 and 4001, 4020 to 4022 serve as two parallel plates, together with electrodes 4002 to 4008 and 4012 to 4019 that serve to maintain a uniform Y-direction electric field. Fulfill. Since the main field deflectors 213 and 214 are optimized for very large deflections parallel to the X axis 319, the design of the deflectors 213 and 214 is symmetric and the high X axis required for ± 25 μm X deflection. In order to maintain electric field uniformity at an electric field strength of 319, it has a large width along the Y axis. For the required ± 1 μm Y deflection, the required electric field strength is much lower, allowing a reduction in the degree of electric field uniformity. Aspects of parallel plate deflector design are well known to those skilled in the art.

図(b)は、電極4001乃至4022のそれぞれに電圧を接続するために可能な方法を示す。電極4004乃至4006及び4015乃至4017は、概略を簡素化するために省略している。隣接電極の各対は、値Rの抵抗器4035により接続される。こうした抵抗器は、図示したように、駆動信号V+X+Y4031、V-X+Y4032、V-X-Y4033、V+X-Y4034間に四つの直線分圧器を形成する。したがって、22個の電極4001乃至4022を制御するのに必要な駆動信号は四つのみとなる。抵抗器4035の値Rは、四つの駆動信号4031乃至4034と内部電極4004乃至4006及び4015乃至4017等との間のRC時間遅延が最小になるように、十分に低く維持する必要があるが、しかしながら、Rの値は、過剰な電力損失(V2/Rとなり、ここでV=偏向電圧)が生じるほどに低くしてはならない。電極4001乃至4022との接続に対するキャパシタンスCを最小化するために、抵抗器4035を、恐らくは真空エンクロージャ内において、可能な限り鏡筒組立体に近づけて取り付けることが望ましいが、しかしながら、真空エンクロージャ内では、対流冷却の欠如のため放熱が困難であり、結果として、過剰なRC遅延を回避すると同時にRを可能な限り大きくして、抵抗器R4035内での電力損失を最小化する必要がある。 Figure (b) illustrates a possible method for connecting a voltage to each of the electrodes 4001 to 4022. The electrodes 4004 to 4006 and 4015 to 4017 are omitted for the sake of simplicity. Each pair of adjacent electrodes is connected by a resistor 4035 of value R. Such resistors form four linear voltage dividers between the drive signals V + X + Y 4031, V −X + Y 4032, V −XY 4033, and V + XY 4034 as shown. Therefore, only four drive signals are required to control the 22 electrodes 4001 to 4022. The value R of the resistor 4035 needs to be kept low enough so that the RC time delay between the four drive signals 4031 to 4034 and the internal electrodes 4004 to 4006 and 4015 to 4017 is minimized, However, the value of R must not be so low that excessive power loss (V 2 / R, where V = deflection voltage) occurs. In order to minimize the capacitance C to the connection with the electrodes 4001 to 4022, it is desirable to install the resistor 4035 as close as possible to the lens barrel assembly, possibly in the vacuum enclosure, however, in the vacuum enclosure Because of the lack of convective cooling, heat dissipation is difficult, and as a result, it is necessary to avoid excessive RC delay and at the same time make R as large as possible to minimize power loss in resistor R4035.

図3Iは、下部メインフィールド偏向器214の中心における電子線331の図である。図3A乃至3Bに示した角度の初期層状分布は、PBDA212の開口部の像と共に、鏡筒を更に進んだ位置でほぼ保持されている。下部メインフィールド偏向器214の電極4101乃至4122の差動電圧(共通モード電圧5000Vを無視)は、上部メインフィールド偏向器213の対応する電極4001乃至4022における電圧と常に大きさが等しく、極性が反対となる。一組の静電等電位線4125を、4997.5V乃至5002.5Vで0.5V毎に図示している。X軸319は、ウエハ表面221上において通常光軸から少なくとも±25μmである、ビーム222の大きな偏向方向に対応する。ステージの移動方向は、Y軸320に平行である(後続のウエハ走査毎に交互に+Y及び−Y方向――図27B参照)。通常、Z軸310に沿った電極4101乃至4122の長さは、同一であり、上部メインフィールド偏向器213の電極4001乃至4022の長さと等しい。上部及び下部メインフィールド偏向器213及び214の長さが等しく、印加される電極電圧の大きさが等しく極性が反対であるため、組み合わせた結果として、ビーム222は光軸310を外れて偏向され、光(Z)軸310に平行な状態に復帰する。   FIG. 3I is a diagram of the electron beam 331 at the center of the lower main field deflector 214. The initial laminar distribution of the angles shown in FIGS. 3A to 3B is substantially held at a position further advanced through the lens barrel together with the image of the opening of the PBDA 212. The differential voltages of the electrodes 4101 to 4122 of the lower main field deflector 214 (ignoring the common mode voltage 5000V) are always equal in magnitude and opposite in polarity to the corresponding electrodes 4001 to 4022 of the upper main field deflector 213. It becomes. A set of electrostatic equipotential lines 4125 is shown every 0.5V from 4997.5V to 5002.5V. The X axis 319 corresponds to a large deflection direction of the beam 222 that is at least ± 25 μm from the normal optical axis on the wafer surface 221. The moving direction of the stage is parallel to the Y-axis 320 (+ Y and −Y directions alternately for each subsequent wafer scan—see FIG. 27B). Normally, the lengths of the electrodes 4101 to 4122 along the Z axis 310 are the same, and are equal to the lengths of the electrodes 4001 to 4022 of the upper main field deflector 213. Since the lengths of the upper and lower main field deflectors 213 and 214 are equal, the applied electrode voltages are equal in magnitude and opposite in polarity, the combined result is that the beam 222 is deflected off the optical axis 310, It returns to a state parallel to the optical (Z) axis 310.

図3Jは、サブフィールド偏向器/非点補正器215の中心における電子線332の軸方向断面図であり、図3A乃至3Bに示した角度の初期層状分布が、PBDA212の開口部の画像と共に、鏡筒を更に進んだ位置で保持された様子を示している。サブフィールド偏向器/非点補正器215は、二つの機能を組み合わせており、   FIG. 3J is an axial cross-sectional view of the electron beam 332 at the center of the subfield deflector / astigmatism 215, with the initial laminar distribution of angles shown in FIGS. 3A-3B, along with an image of the opening of the PBDA 212. A state in which the lens barrel is held at a further advanced position is shown. The subfield deflector / astigmatism corrector 215 combines two functions,

(1)偏向器(即ち、回転可能な双極子の励起による)として、サブフィールド偏向器/非点補正器215は、X方向319及びY方向320の両方で±1μmまでビーム222を走査し、2μm正方形サブフィールドをカバーするのに使用され、   (1) As a deflector (ie, by excitation of a rotatable dipole), the subfield deflector / astigmatism 215 scans the beam 222 to ± 1 μm in both the X direction 319 and the Y direction 320, Used to cover 2 μm square subfield,

(2)非点補正器(即ち、回転可能な四極子の励起による)として、サブフィールド偏向器/非点補正器215は、鏡筒の他の要素により誘導された非点収差を補正する。   (2) As an astigmatism corrector (ie, by excitation of a rotatable quadrupole), the subfield deflector / astigmatism corrector 215 corrects astigmatism induced by other elements of the barrel.

ビーム222は、サブフィールド偏向器/非点補正器215内では、上部及び下部メインフィールド偏向器213及び214における複合ビーム偏向により中心を外れている。サブフィールド偏向器/非点補正器215の電極は、図示したように従来の八極子構成で配置される。通常、Z軸310に沿った八極子電極223乃至230の長さは同一であり、偏向及び非点補正電圧は、次の通りである(共通モード電圧5000Vは記載せず)。   The beam 222 is off-center in the subfield deflector / astigmatizer 215 due to the combined beam deflection in the upper and lower main field deflectors 213 and 214. The electrodes of the subfield deflector / astigmatism corrector 215 are arranged in a conventional octupole configuration as shown. Usually, the lengths of the octupole electrodes 223 to 230 along the Z axis 310 are the same, and the deflection and astigmatism correction voltages are as follows (the common mode voltage 5000 V is not shown).

Figure 2009531855
Figure 2009531855

±1μmより小さなビーム偏向では、表の電圧は、直線的に増減する。複合XY偏向では、当業者に周知であるように、所望のX及びY偏向に対応する適切なスケーリング係数を用いて中央の二列の電圧を直線的に追加する。非点補正器電圧は、軸外偏向の二乗に比例して変化する傾向にあり、各電極223乃至230のそれぞれのXY偏向電圧に追加される。   For beam deflections smaller than ± 1 μm, the table voltages increase and decrease linearly. In compound XY deflection, as is well known to those skilled in the art, the middle two rows of voltages are added linearly with an appropriate scaling factor corresponding to the desired X and Y deflection. The astigmatism corrector voltage tends to change in proportion to the square of off-axis deflection and is added to the respective XY deflection voltages of each electrode 223-230.

図3Kは、焦点1電極組立体216内部の電子線333の軸方向断面図である。図3A乃至3Bに示した角度の初期層状分布は、PBDA212の開口部の像と共に、鏡筒を更に進んだ位置でほぼ保持されている。焦点1電極組立体216は、電極組立体216、217、及びフィールドフリー管218を備える主レンズ組立体の一部である。主レンズ組立体は、ビーム222をウエハ表面221に集束させるために使用する。ビーム222は、上部及び下部メインフィールド偏向器213及び214での複合ビーム偏向のため、焦点1電極組立体216内部において(+X方向へ)中心を外れて図示されている。焦点1電極組立体216は、(1)大きな円筒状IDを有する支持電極230(図2C参照)と、(2)光(Z)軸に平行な非常に短い電極長と支持電極230より小さいIDとを有する従来の静電八極子を形成する電極231乃至238とを備える。八極子231乃至238の双極子静電励起は、ビーム222と同心の焦点1電極組立体216の有効軸線を維持するために、上部213及び下部214メインフィールド偏向器の励起に比例して変化させる。   FIG. 3K is an axial sectional view of the electron beam 333 inside the focus 1 electrode assembly 216. The initial laminar distribution of the angles shown in FIGS. 3A to 3B is substantially held at a position further advanced through the lens barrel together with the image of the opening of the PBDA 212. The focus 1 electrode assembly 216 is part of a main lens assembly that includes electrode assemblies 216, 217 and a field free tube 218. The main lens assembly is used to focus the beam 222 onto the wafer surface 221. Beam 222 is shown off-center within the focus 1 electrode assembly 216 (in the + X direction) for combined beam deflection at the upper and lower main field deflectors 213 and 214. The focus 1 electrode assembly 216 includes (1) a support electrode 230 having a large cylindrical ID (see FIG. 2C), and (2) a very short electrode length parallel to the optical (Z) axis and an ID smaller than the support electrode 230. And electrodes 231 to 238 forming conventional electrostatic octupoles. The dipole electrostatic excitation of the octupoles 231-238 is varied in proportion to the excitation of the upper 213 and lower 214 main field deflectors to maintain the effective axis of the focus 1 electrode assembly 216 concentric with the beam 222. .

+25μmのX方向の偏向に対する一般的な電極励起電圧は、次の通りである(5000Vの共通モード電圧を記載)。   A typical electrode excitation voltage for a +25 μm deflection in the X direction is as follows (describes a 5000 V common mode voltage).

Figure 2009531855
Figure 2009531855

上記の表に示した個々の値は、図23において説明した手順により理論的に決定した。   The individual values shown in the above table were theoretically determined by the procedure described in FIG.

図3Lは、焦点2電極組立体217内部の電子線334の軸方向断面図である(縮尺は図3Kより大きい)。図3A乃至3Bに示した角度の初期層状分布は、PBDA212の開口部の像と共に、鏡筒を更に進んだ位置で保持されている。ビーム222は、上部及び下部メインフィールド偏向器213及び214での複合ビーム偏向のため、焦点2電極組立体217内部において(+X方向へ)中心を外れて図示されている。焦点2電極組立体217における電極241乃至248の双極子励起電圧を適切に設定することで、焦点2電極組立体217を離れるXYビーム位置は、焦点1電極組立体216に入るXYビーム位置と同じになる。焦点2電極組立体217は、(1)大きな円筒状IDを有する支持電極240(図2C参照)と、(2)光(Z)軸に平行な非常に短い電極長と支持電極240より小さいIDとを有する従来の静電八極子を形成する電極241乃至248とを備える。八極子241乃至248の双極子静電励起は、ビーム222と同心の焦点2電極組立体217の有効軸線を維持するために、上部及び下部メインフィールド偏向器213及び214の励起に比例して変化させる。   FIG. 3L is an axial cross-sectional view of the electron beam 334 inside the focal point 2 electrode assembly 217 (scale is larger than FIG. 3K). The initial laminar distribution of angles shown in FIGS. 3A to 3B is held at a position further advanced through the lens barrel together with an image of the opening of the PBDA 212. The beam 222 is shown off-center (in the + X direction) within the focus 2 electrode assembly 217 for combined beam deflection at the upper and lower main field deflectors 213 and 214. By appropriately setting the dipole excitation voltage of the electrodes 241 to 248 in the focus 2 electrode assembly 217, the XY beam position leaving the focus 2 electrode assembly 217 is the same as the XY beam position entering the focus 1 electrode assembly 216. become. The focus 2 electrode assembly 217 includes (1) a support electrode 240 having a large cylindrical ID (see FIG. 2C), and (2) a very short electrode length parallel to the optical (Z) axis and an ID smaller than the support electrode 240. And electrodes 241 to 248 forming conventional electrostatic octupoles. The dipole electrostatic excitation of the octupoles 241-248 varies in proportion to the excitation of the upper and lower main field deflectors 213 and 214 to maintain the effective axis of the focal two-electrode assembly 217 concentric with the beam 222. Let

電極240乃至248の共通モード電圧は、所望の特定の正方形ビームサイズに対する軸上の集束要件により決定される。一般的な集束電圧は、次の通りである。   The common mode voltage of electrodes 240-248 is determined by the on-axis focusing requirements for the specific square beam size desired. The general focusing voltage is as follows.

Figure 2009531855
Figure 2009531855

+25μmのX方向の偏向に対する一般的な電極励起電圧は、次の通りである(40nmビームに対する5007.4Vの共通モード電圧を記載)。   The typical electrode excitation voltage for +25 μm deflection in the X direction is as follows (describing a common mode voltage of 5007.4 V for a 40 nm beam):

Figure 2009531855
Figure 2009531855

上記の表に示した個々の値は、図23において後述する手順により理論的に決定した。電子線切片334のそれぞれは、図3Aの対応する電子線切片302とほぼ同じ相対位置を互いに維持した状態で、X軸319及びY軸320に略対応するグリッド上で均一に分離している   The individual values shown in the above table were theoretically determined by the procedure described later in FIG. Each of the electron beam segments 334 is uniformly separated on a grid substantially corresponding to the X axis 319 and the Y axis 320 while maintaining substantially the same relative position as the corresponding electron beam segment 302 of FIG. 3A.

図3Mは、フィールドフリー管218内部の電子線335の軸方向断面図である。図3A乃至3Bに示した角度の初期層状分布は、PBDA212の開口部の画像と共に、ほぼ保持されている。ビーム222は、上部及び下部メインフィールド偏向器213及び214での複合ビーム偏向のため、フィールドフリー管218内部において(+X方向へ)中心を外れて図示されている。焦点1電極組立体216の電極230乃至238及び焦点2電極組立体217の電極240乃至248において電圧を適切に設定することで、フィールドフリー管218に入るXYビーム位置は、焦点1電極組立体216に入るXYビーム位置とほぼ同じになる。フィールドフリー管218の入り口には、焦点2組立体217とフィールドフリー管218との間での電場の侵入により生じる発散加速レンズが存在し、この発散レンズは、ウエハにおけるビーム偏向を、主偏向器213及び214によるものに対して、一般に25%増加させる。ここで、ビーム222は、主レンズの集束効果のため、焦点1電極組立体216の入口より直径が大幅に小さいことに留意されたい。   FIG. 3M is an axial sectional view of the electron beam 335 inside the field free tube 218. The initial layered distribution of angles shown in FIGS. 3A-3B is substantially retained along with the image of the PBDA 212 opening. Beam 222 is shown off-center within field free tube 218 (in the + X direction) for combined beam deflection at upper and lower main field deflectors 213 and 214. By appropriately setting the voltages at the electrodes 230-238 of the focus 1 electrode assembly 216 and the electrodes 240-248 of the focus 2 electrode assembly 217, the XY beam position entering the field free tube 218 is changed to the focus 1 electrode assembly 216. It is almost the same as the XY beam position entering. At the entrance of the field free tube 218 is a divergent accelerating lens caused by the penetration of an electric field between the focus 2 assembly 217 and the field free tube 218, which diverts the beam deflection at the wafer into the main deflector. In general, increase by 25% compared to 213 and 214. Note that the beam 222 is significantly smaller in diameter than the entrance of the focus 1 electrode assembly 216 due to the focusing effect of the main lens.

上記のような「移動レンズ」の使用は、二つの重要な利点を有する。   The use of a “moving lens” as described above has two important advantages.

1)ビームは常にレンズフィールドの対称軸上に存在するため、全ての軸外収差が、幾何収差(コマ、非点収差、像面の湾曲、歪み)及び色収差(倍率の変化)の両方において、本質的に除去される。   1) Since the beam is always on the axis of symmetry of the lens field, all off-axis aberrations are both geometric (coma, astigmatism, curvature of field, distortion) and chromatic aberration (magnification change). Essentially eliminated.

2)ウエハ表面に衝突するビームは常にテレセントリックに走査され、即ちウエハ表面に対して垂直になり、これにより焦点深度が改善される。
[ビーム限定アパーチャの位置に関する考慮事項]
2) The beam impinging on the wafer surface is always scanned telecentrically, i.e. perpendicular to the wafer surface, thereby improving the depth of focus.
[Considerations for beam-limited aperture position]

本発明におけるビーム限定アパーチャ212の位置は、基板表面221におけるビームパターン形成プロセスの有効性を決定する上で重要な考慮事項である。以下の鏡筒内の位置を考慮し得る。
1.ソースチップ201近傍
The position of the beam limiting aperture 212 in the present invention is an important consideration in determining the effectiveness of the beam patterning process on the substrate surface 221. The following positions in the lens barrel can be considered.
1. Near source chip 201

図3Aは、ソースチップ201近傍でのビーム222のプロファイルの図である。ビーム222内の電子線切片302の均等間隔の分布を明瞭に確認できる。位置301において、ビーム222はチップに非常に近く、理想的な均等間隔の角分布から逸脱する時間が殆ど無いため、球面収差又は色収差による何らかの影響は最小となる。球面収差は、外側の電子線を光(対称)軸に向けて曲げ戻す傾向を有し、外側の電子線の間隔が内側の電子線より狭くなる形で現れる。色収差は、低エネルギ電子を高エネルギ電子から放射状に分離させる傾向を有する。ビーム限定アパーチャ212をソースチップ201近傍に位置決めすることの欠点は、アパーチャに衝突する電子が後方散乱してソースチップ201に衝突し、加熱及びガス放出が生じることである。
2.加速カラム209の最上部
FIG. 3A is a diagram of the profile of the beam 222 in the vicinity of the source chip 201. The distribution of the uniform intervals of the electron beam sections 302 in the beam 222 can be clearly confirmed. At position 301, the beam 222 is very close to the tip and has little time to deviate from the ideal evenly spaced angular distribution, so any effects due to spherical or chromatic aberration are minimal. The spherical aberration has a tendency to bend the outer electron beam back toward the optical (symmetric) axis, and appears in a form in which the distance between the outer electron beams is narrower than that of the inner electron beam. Chromatic aberration tends to separate low energy electrons radially from high energy electrons. The disadvantage of positioning the beam limited aperture 212 in the vicinity of the source chip 201 is that electrons impinging on the aperture are backscattered and collide with the source chip 201, causing heating and outgassing.
2. The top of the acceleration column 209

図3Bは、加速カラム209の最上部におけるビームプロファイルを示す。この位置(位置303)において、ビーム222内の電子線切片304は、依然として均等に分離されており、ソースレンズ(電極202、203、204、及び205を含む)による球形及び色収差は、依然として最小である。
3.主偏向器の直上
FIG. 3B shows the beam profile at the top of the acceleration column 209. In this position (position 303), the electron beam segments 304 in the beam 222 are still evenly separated, and spherical and chromatic aberrations due to the source lens (including electrodes 202, 203, 204, and 205) are still minimal. is there.
3. Directly above the main deflector

図2Bは、ビーム限定アパーチャ212の第三の可能な位置を示しており、これは、本明細書記載の実施形態用に選択した位置である。ビーム限定アパーチャ212を位置3に配置することの主な利点は、鏡筒の光学アライメントに関する。ビームトリミングアパーチャ276を加速カラム209の底部に配置することで、ビーム限定アパーチャ212を通過させて、メインフィールド偏向器213及び214と、サブフィールド偏向器/非点補正器215と、主レンズとを含む鏡筒の下部へビームを方向付ける目的で、アライメント偏向器207及び208の励起を設定するのを支援するために使用できる。主レンズを介したビームの適切なアライメントは、基板表面221において適切なパターン化ビームを得る上で重要である。   FIG. 2B shows a third possible position of the beam limiting aperture 212, which is the position selected for the embodiments described herein. The main advantage of placing the beam limiting aperture 212 at position 3 relates to the optical alignment of the lens barrel. By arranging the beam trimming aperture 276 at the bottom of the acceleration column 209, the main field deflectors 213 and 214, the subfield deflector / astigmatism corrector 215, and the main lens are passed through the beam limiting aperture 212. It can be used to assist in setting the excitation of alignment deflectors 207 and 208 for the purpose of directing the beam to the bottom of the containing barrel. Proper alignment of the beam through the main lens is important in obtaining a proper patterned beam at the substrate surface 221.

注:ビーム限定アパーチャが配置される鏡筒内の地点における電子ビームエネルギは、発生する可能性のあるアパーチャの加熱の量を決定する要素となる。アパーチャの加熱は、ビーム限定アパーチャの位置を決定する際に考慮するべきである。
[ビーム限定アパーチャの配置の最適化に関する別の考慮事項]
Note: The electron beam energy at the point in the column where the beam limiting aperture is located is a factor in determining the amount of aperture heating that can occur. Aperture heating should be considered when determining the position of the beam limited aperture.
[Another consideration for optimizing the placement of beam-limited apertures]

図1に示したプロセスにおいて使用し得る最適化プロセスを、鏡筒内のビーム限定アパーチャの様々な位置に対して反復する。これにより、基板におけるビームプロファイル及び電流密度分布とビーム限定アパーチャの設計とを各位置に対して生成する。最善の位置は、更に以下を考慮して決定する。   The optimization process that can be used in the process shown in FIG. 1 is repeated for various positions of the beam limited aperture in the column. This generates a beam profile and current density distribution on the substrate and a design of the beam limited aperture for each position. The best position is determined taking into account:

a)基板におけるビームプロファイル
b)基板におけるビーム電流密度
c)ビーム限定アパーチャの製造可能性
a) Beam profile on the substrate b) Beam current density on the substrate c) Manufacturability of beam limited aperture

図4Aは、図2Aの鏡筒へのビームパターン限定アパーチャ212の挿入前に、図1のブロック108において生成されるものを代表する、光軸を中心とした基板221表面における計算円形ビームプロファイルを示している。ビーム222の断面は、X401及びY402の二本の軸線に対するグラフにしている。所望のパターン化ビーム形状403は、ここでは正方形として図示されているが、任意の実際的な形状を有することが可能であり、ビーム断面に重ねられている。電子線404は、所望の形状403の外部に到達し、一方、電子線405は、所望の形状403の内部に到達している。電子線404及び405のそれぞれについて、ビーム限定アパーチャ212におけるXY座標を、ここに示したウエハ表面221における対応するXY座標と共に記録する。   FIG. 4A shows a calculated circular beam profile on the surface of the substrate 221 centered on the optical axis, representative of that generated in block 108 of FIG. 1, prior to insertion of the beam pattern limiting aperture 212 into the column of FIG. 2A. Show. The cross section of the beam 222 is a graph with respect to two axes of X401 and Y402. Although the desired patterned beam shape 403 is illustrated here as a square, it can have any practical shape and is superimposed on the beam cross section. The electron beam 404 reaches the outside of the desired shape 403, while the electron beam 405 reaches the inside of the desired shape 403. For each of the electron beams 404 and 405, the XY coordinates in the beam limiting aperture 212 are recorded along with the corresponding XY coordinates on the wafer surface 221 shown here.

図1のブロック108における計算は、図示した(正方形)パターン403の角隅部において、ほんの僅かな電子線が失われた状態で、所望のビームパターン403を丁度取り囲むように円形ビームの直径を調整している。これにより、ソースチップ201からの放出電流の使用において最大の効率が確保されるため、基板221におけるビーム222の電流密度が最大化される。図示した例において、所望のビームパターン403は、40nmの正方形であり、円形ビームの直径は、正方形ビームパターン403の対角間の距離に対応する√2×40nm≒56nmより僅かに大きくなるように調整されている。   The calculation in block 108 of FIG. 1 adjusts the diameter of the circular beam to just surround the desired beam pattern 403 at the corners of the illustrated (square) pattern 403 with only a few electron beams lost. is doing. Thereby, since the maximum efficiency is ensured in the use of the emission current from the source chip 201, the current density of the beam 222 in the substrate 221 is maximized. In the illustrated example, the desired beam pattern 403 is a 40 nm square, and the diameter of the circular beam is adjusted to be slightly larger than √2 × 40 nm≈56 nm corresponding to the distance between the diagonals of the square beam pattern 403. Has been.

図4Bは、図2Aの鏡筒へのビームパターン限定アパーチャ212の挿入前に、図1のブロック108において生成されるものを代表する、光(Z)軸から中心が+12.5μm外れた基板221表面における計算円形ビームプロファイルを示している。ビーム222の断面は、X406及びY402の二本の軸線に対するグラフにしており、図4Aと同じY軸402を使用しているが、+12.5μmのX偏向のため、X軸406のX=0をX軸401のX=+12.5μmに対応させて、異なるX軸406を定めている。所望のパターン化ビーム形状403は、正方形として図示されており、図4Aと同じである。電子線407は、所望の形状403の外部に到達し、一方、電子線408は、所望の形状403の内部に到達している。電子線407及び408のそれぞれについて、ビーム限定アパーチャ212におけるXY座標を、ここに示したウエハ表面221における対応するXY座標と共に記録する。   FIG. 4B shows a substrate 221 centered off +12.5 μm from the optical (Z) axis, representative of what is generated in block 108 of FIG. 1 prior to insertion of the beam pattern limiting aperture 212 into the column of FIG. Figure 3 shows a calculated circular beam profile at the surface. The cross section of the beam 222 is a graph with respect to the two axes X406 and Y402, and uses the same Y axis 402 as in FIG. Corresponding to X = + 12.5 μm of the X axis 401, different X axes 406 are defined. The desired patterned beam shape 403 is illustrated as a square and is the same as FIG. 4A. The electron beam 407 reaches the outside of the desired shape 403, while the electron beam 408 reaches the inside of the desired shape 403. For each of the electron beams 407 and 408, the XY coordinates in the beam limiting aperture 212 are recorded together with the corresponding XY coordinates in the wafer surface 221 shown here.

光学鏡筒の設計は、図示したように+12.5μm軸外に偏向された場合でも、ビームがほぼ同じ直径の円形を維持することを保証する必要がある。これは、ビームが光軸近くにある時のように、軸外に偏向された場合に、同じビームサイズ及び電流密度を達成するために重要である。更に、ここで図示した所望のパターン403の内部に到達する一組の電子線408が、図4Aの所望のパターン403の内部に到達する一組の電子線405に必ずしも対応しない点に留意することも重要である。これは、光学鏡筒の軸外幾何収差によるものである。   The design of the optical column must ensure that the beam remains circular with approximately the same diameter, even when deflected off the +12.5 μm axis as shown. This is important to achieve the same beam size and current density when deflected off-axis, such as when the beam is near the optical axis. Furthermore, it should be noted that the set of electron beams 408 reaching the inside of the desired pattern 403 shown here does not necessarily correspond to the set of electron beams 405 reaching the inside of the desired pattern 403 in FIG. 4A. It is also important. This is due to the off-axis geometric aberration of the optical column.

図4Cは、図2Aの鏡筒へのビームパターン限定アパーチャ212の挿入前に、図1のブロック108において生成されるものを代表する、光(Z)軸から中心が+25μm外れた基板221表面における計算円形ビームプロファイルを示している。ビーム222の断面は、X409及びY402の二本の軸線に対するグラフにしており、図4A及び4Bと同じY軸402を使用しているが、+25μmのX偏向のため、X軸409のX=0をX軸401のX=+25μmに対応させて、異なるX軸406を定めている。所望のパターン化ビーム形状403は、正方形として図示されており、図4A及び4Bと同じである。電子線410は、所望の形状403の外部に到達し、一方、電子線411は、所望の形状403の内部に到達している。電子線410及び411のそれぞれについて、ビーム限定アパーチャ212におけるXY座標を、ここに示したウエハ表面221における対応するXY座標と共に記録する。   FIG. 4C shows the substrate 221 surface centered off +25 μm from the optical (Z) axis, representative of that generated in block 108 of FIG. A calculated circular beam profile is shown. The cross section of beam 222 is graphed with respect to the two axes of X409 and Y402, using the same Y axis 402 as in FIGS. 4A and 4B, but for X deflection of +25 μm, X = 0 of X axis 409 Corresponding to X = + 25 μm of the X axis 401, different X axes 406 are defined. The desired patterned beam shape 403 is illustrated as a square and is the same as in FIGS. 4A and 4B. The electron beam 410 reaches the outside of the desired shape 403, while the electron beam 411 reaches the inside of the desired shape 403. For each of the electron beams 410 and 411, the XY coordinates in the beam limiting aperture 212 are recorded together with the corresponding XY coordinates in the wafer surface 221 shown here.

光学鏡筒の設計は、図示したように+25μm軸外に偏向された場合でも、ビームがほぼ同じ直径の円形を維持することを保証する必要がある。これは、ビームが光(Z)軸近くにある時のように、軸外に最大距離の偏向が生じた場合に、同じビームサイズ及び電流密度を達成するために重要である。更に、図4Aに示した所望のパターン403の内部に到達する一組の電子線411が、図4Aの所望のパターン403の内部に到達する一組の電子線405、或いは、図4Bの所望のパターン403の内部に到達する一組の電子線408に必ずしも対応しない点に留意することも重要である。これは、光学鏡筒の軸外幾何収差によるものである。   The design of the optical column must ensure that the beam remains circular with approximately the same diameter even when deflected off the +25 μm axis as shown. This is important to achieve the same beam size and current density when maximum distance deflection occurs off-axis, such as when the beam is near the optical (Z) axis. Furthermore, the set of electron beams 411 reaching the inside of the desired pattern 403 shown in FIG. 4A is changed to the set of electron beams 405 reaching the inside of the desired pattern 403 of FIG. It is also important to note that it does not necessarily correspond to a set of electron beams 408 that reach the interior of the pattern 403. This is due to the off-axis geometric aberration of the optical column.

図5は、図2Aの鏡筒へのビームパターン限定アパーチャ212の挿入後、ブロック108乃至110において生成されるものを代表する、光軸を中心とした基板221表面における計算正方形ビームプロファイルを示している。ビーム222の断面は、X401及びY402の二本の軸線に対するグラフにしている。全ての電子線505が、図4Aに示した所望のパターン化ビーム形状403の内部に入っている。   FIG. 5 shows a calculated square beam profile on the surface of the substrate 221 centered on the optical axis, representative of what is generated in blocks 108 to 110 after insertion of the beam pattern limiting aperture 212 into the column of FIG. 2A. Yes. The cross section of the beam 222 is a graph with respect to two axes of X401 and Y402. All electron beams 505 are inside the desired patterned beam shape 403 shown in FIG. 4A.

ブロック110の計算により、次の五組の電子線の交差部分が決定されていることに留意されたい。   Note that the calculation of block 110 determines the intersection of the next five sets of electron beams.

1)図4Aの一組の電子線405(偏向無しに対応)
2)図4Bの一組の電子線408(+12.5μmのX偏向に対応)
3)図4Cの一組の電子線411(+25μmのX偏向に対応)
4)ウエハ表面221においてY軸を中心に鏡像化した(X座標を反転した)図4Bの一組の電子線408(これにより−12.5μmのX偏向に対応するデータが生成される。これによりビーム限定アパーチャ212における電子線も鏡像化される点に留意されたい)
5)ウエハ表面221においてY軸を中心に鏡像化した(X座標を反転した)図4Cの一組の電子線411(これにより−25μmのX偏向に対応するデータが生成される)
1) A set of electron beams 405 in FIG. 4A (corresponding to no deflection)
2) A set of electron beams 408 in FIG. 4B (corresponding to X deflection of +12.5 μm)
3) A set of electron beams 411 in FIG. 4C (corresponding to X deflection of +25 μm)
4) A set of electron beams 408 (FIG. 4B) mirrored about the Y axis on the wafer surface 221 (with the X coordinate inverted), thereby generating data corresponding to an X deflection of −12.5 μm. Note that the electron beam in the beam limiting aperture 212 is also mirrored by
5) A set of electron beams 411 in FIG. 4C mirrored about the Y axis on the wafer surface 221 (with the X coordinate inverted) (this generates data corresponding to an X deflection of −25 μm).

こうした五組の交差部分は、五つの個別の組の何れかより、一般に5乃至10%小さい。これは、元の円形ビームプロファイルを歪ませる軸外幾何収差のためである。図1のブロック108における光学設計プロセスの目標は、軸外幾何収差を最小化し、これにより、上記五組の電子線の交差部分のサイズを最大化することである。図5に示した一組の電子線505は、この効果の結果として生じた、図4Aの一組の電子線405のサブセットである。この特定の例において、一組の電子線405は、237の構成要素を有する一方、一組の電子線505は、223の構成要素を有しており、5.9%減少している。   These five sets of intersections are generally 5 to 10% smaller than any of the five individual sets. This is due to off-axis geometric aberrations that distort the original circular beam profile. The goal of the optical design process in block 108 of FIG. 1 is to minimize off-axis geometric aberrations, thereby maximizing the size of the intersection of the five sets of electron beams. The set of electron beams 505 shown in FIG. 5 is a subset of the set of electron beams 405 of FIG. 4A resulting from this effect. In this particular example, a set of electron beams 405 has 237 components, while a set of electron beams 505 has 223 components, a decrease of 5.9%.

図6Aは、図5の電子線505に対応して、ビーム限定アパーチャ212においてウエハへ透過されるべき理想的な電子線605、606、及び607の集合のグラフである。これは、図1のブロック112において作成された理想的なアパーチャ設計の結果である。−40μm<X<+40μm及び−40μm<Y<+40μmを満足させるXY座標を有する領域内にある中心の組の電子線605は、ソースチップ201における光軸との角度が小さいものに対応するため、球面収差が最も少ない電子線に対応する。X<−40μm、X>+40μm、Y<−40μm、及び/又はY>+40μmである外側の組の電子線606は、一次光学系では所望のビームプロファイル403から外れるとしても、電子線を所望のビームプロファイル403へと「折り曲げて」重ねる、大きな球面収差を受ける電子線に対応する。Y軸602と平行に、二つの孔が電子線の分布内に存在することに留意されたい。X軸601と平行に、別の二つの孔604が電子線の分布内に存在する。これら四つの孔603及び604は、所望のビームプロファイル403から外れる電子線(404、407、又は410等)に対応する。Y軸602及びX軸601の対角線に沿って、電子線は全て透過されており、これは、対角寸法に沿って、所望のサイズのビーム形状403に元の(円形)ビームプロファイルを慎重に一致させた結果である。孔603及び604は、ビーム222に対して不透明であるビームパターン限定アパーチャ212の領域、即ち、ソリッドパターンに対応する。しかしながら、図6Aにおいて確認できるように、こうしたソリッドパターンは、ビーム限定アパーチャ212の外部から分離されているため、物理的に実現できない。電子線607は、図8Aに示したような実際的なアパーチャ設計を達成するために犠牲にする必要がある電子線に対応する。   FIG. 6A is a graph of a set of ideal electron beams 605, 606, and 607 to be transmitted to the wafer at the beam limiting aperture 212, corresponding to the electron beam 505 of FIG. This is a result of the ideal aperture design created in block 112 of FIG. Since the electron beam 605 in the center set in the region having the XY coordinates satisfying −40 μm <X <+40 μm and −40 μm <Y <+40 μm corresponds to one having a small angle with the optical axis in the source chip 201, Corresponds to the electron beam with the least spherical aberration. The outer set of electron beams 606, where X <−40 μm, X> +40 μm, Y <−40 μm, and / or Y> +40 μm, may be desired even if the primary optical system deviates from the desired beam profile 403. It corresponds to an electron beam that undergoes a large spherical aberration that is “bent” over the beam profile 403. Note that there are two holes in the electron beam distribution parallel to the Y-axis 602. Two other holes 604 are present in the electron beam distribution parallel to the X-axis 601. These four holes 603 and 604 correspond to electron beams (such as 404, 407, or 410) that deviate from the desired beam profile 403. Along the diagonals of the Y-axis 602 and the X-axis 601, all of the electron beam is transmitted, which carefully carefully converts the original (circular) beam profile to the desired size beam shape 403 along the diagonal dimension. It is the result of matching. The holes 603 and 604 correspond to regions of the beam pattern limited aperture 212 that are opaque to the beam 222, ie, a solid pattern. However, as can be seen in FIG. 6A, such a solid pattern cannot be physically realized because it is separated from the outside of the beam limited aperture 212. The electron beam 607 corresponds to the electron beam that needs to be sacrificed to achieve a practical aperture design as shown in FIG. 8A.

図6Bは、ウエハ表面221に到達しないようにビーム限定アパーチャ212により遮蔽されるべき理想的な電子線610及び611の集合のグラフである。この電子線の集合は、図6Aに示した集合に対して相補的なものであり、図1のブロック112において作成された理想的なアパーチャ設計の結果である。一組の電子線610は、所望の正方形ビームプロファイル403を生成するために遮蔽する必要がある電子線に対応し、こうした電子線610は、図6Aの孔603及び604に対応する。X及びY軸の対角線に沿って、電子線は全く遮蔽されておらず、これは、対角寸法に沿って、所望のサイズのビーム形状403に元の(円形)ビームプロファイルを慎重に一致させた結果である。周辺を囲む電子線611は、初期の(円形)ビームプロファイルが所望のビーム形状403の対角寸法より僅かに長いという事実により生じるものであり、そうでない場合、実際のビームプロファイルにおいて角隅部の省略が生じることがあるため、これは望ましいことである。   FIG. 6B is a graph of a set of ideal electron beams 610 and 611 that should be shielded by the beam limiting aperture 212 so as not to reach the wafer surface 221. This set of electron beams is complementary to the set shown in FIG. 6A and is the result of the ideal aperture design created in block 112 of FIG. A set of electron beams 610 corresponds to the electron beams that need to be shielded to produce the desired square beam profile 403, and these electron beams 610 correspond to the holes 603 and 604 in FIG. 6A. Along the diagonals of the X and Y axes, the electron beam is not shielded at all, which carefully matches the original (circular) beam profile to the desired size beam shape 403 along the diagonal dimension. It is a result. The surrounding electron beam 611 is caused by the fact that the initial (circular) beam profile is slightly longer than the diagonal dimension of the desired beam shape 403, otherwise it is the corner corner in the actual beam profile. This is desirable because omissions can occur.

図7Aは、図1のブロック114において作成された、図8Aに示した実際的なアパーチャ設計によりウエハへ透過させた電子線の実際の集合のグラフである。図6Aと図7Aとの間での透過電子線における唯一の変化は、位置701であり、透過電子が存在しなくなっている。これは、図6Aの六本の電子線607の消失に対応する。   FIG. 7A is a graph of the actual set of electron beams that were transmitted to the wafer by the practical aperture design shown in FIG. 8A created in block 114 of FIG. The only change in the transmitted electron beam between FIG. 6A and FIG. 7A is the position 701 where no transmitted electrons are present. This corresponds to the disappearance of the six electron beams 607 in FIG. 6A.

図7Bは、図8Aに示したアパーチャ設計により遮蔽された電子線の実際の集合のグラフである。この電子線の集合は、図7Aに示した集合に対して相補的なものである。図6Bと図7Bとの間での遮蔽電子線における唯一の変化は、位置702であり、図7Aから失われた電子線701に対応する六本の遮蔽電子が追加されている。   FIG. 7B is a graph of an actual set of electron beams shielded by the aperture design shown in FIG. 8A. This set of electron beams is complementary to the set shown in FIG. 7A. The only change in the shielded electron beam between FIG. 6B and FIG. 7B is the position 702 with the addition of six shielded electrons corresponding to the electron beam 701 lost from FIG. 7A.

図8Aは、実際的なビームパターン限定アパーチャ212の設計を得るために、図1のブロック114において施されたアパーチャ設計に対する最終変更の結果を示す。電子線切片605及び606は、図6A及び7Aに対応し、ストラット812は、電子線切片610に対応する電子線を遮蔽する四つの中央構造805を支持するために必要な追加アパーチャ材料に対応する。強度を加え、製造を容易にするために、様々な面取り部803がアパーチャ設計に追加されるが、しかしながら、こうした面取り部のサイズは、必要最小限に保ち、過剰なビーム電流の遮蔽を回避するべきである。メインフィールド偏向は常にX軸601に平行であり、Y軸602に平行な偏向はほんの僅か(±1μm)であるという事実のため、設計は、四重対称ではない。この非四重対称性は、中心の正方形開口部内にある二つの小さな突出804の追加により明らかとなる。X軸601及びY軸602の両方を中心としたビーム変更は対称であるため、結果的なビームパターン限定アパーチャの設計は、X軸601及びY軸602の両方を中心とした鏡面対称になる。   FIG. 8A shows the result of the final change to the aperture design made in block 114 of FIG. 1 to obtain a practical beam pattern limited aperture 212 design. Electron beam segments 605 and 606 correspond to FIGS. 6A and 7A, and struts 812 correspond to the additional aperture material required to support the four central structures 805 that shield the electron beam corresponding to electron beam segment 610. . Various chamfers 803 are added to the aperture design to add strength and ease of manufacture, however, the size of these chamfers is kept to a minimum and avoids shielding of excessive beam current. Should. The design is not quadrusymmetric due to the fact that the main field deflection is always parallel to the X-axis 601 and the deflection parallel to the Y-axis 602 is only a little (± 1 μm). This non-quadru symmetry is manifested by the addition of two small protrusions 804 within the central square opening. Since the beam change about both the X-axis 601 and the Y-axis 602 is symmetric, the resulting beam pattern limited aperture design is mirror symmetric about both the X-axis 601 and the Y-axis 602.

ビームパターン限定アパーチャ(PBDA)212は、導電構造であり、固定電位に維持される。金属箔、導電膜、導電性被覆膜、又は同等の薄い材料に対して、機械加工、レーザアブレーション、マイクロ加工等を行うことで製作し得る。鏡筒内において、高エネルギ電子が入射する位置でPBDA12を利用する用途に対しては、「電子透過性」である連続膜により支持されたパターン化厚膜を利用する選択肢が存在する。この設計により、PBDAは、機械的支持ストラット812及び面取り部803を必要とすることなく製造できる。   The beam pattern limited aperture (PBDA) 212 is a conductive structure and is maintained at a fixed potential. The metal foil, the conductive film, the conductive coating film, or an equivalent thin material can be manufactured by machining, laser ablation, micromachining, or the like. There is an option to use a patterned thick film supported by a continuous film that is “electron permeable” for applications that use the PBDA 12 at a position where high energy electrons are incident in the lens barrel. With this design, PBDA can be manufactured without the need for mechanical support struts 812 and chamfers 803.

別の重要な考慮事項は、例えば、ショットキエミッタでは、1.0eV FWHMを超える電子ビーム222のエネルギの拡散である。上部鏡筒、即ちビーム限定アパーチャ212上方の全ての光学要素において、色収差の影響は、ビーム限定アパーチャ212においてビーム222をぼやけさせることである。PBDA212上方での中間交差の無い光学設計において、このぼやけは、一般に、光軸から遠い位置の高エネルギ電子、及び光軸に近い位置の低エネルギ電子として現れる。色ビームのぼやけが大きすぎる場合、ビームパターン限定アパーチャに交差する電子線は、適切にアパーチャ処理されない。図8Aは、この例では5000eVである公称エネルギの電子に対応する、ビーム限定アパーチャ212の平面との電子線の交点を示している。   Another important consideration is, for example, in a Schottky emitter, the energy spread of the electron beam 222 above 1.0 eV FWHM. In all optical elements above the upper barrel, ie, the beam limiting aperture 212, the effect of chromatic aberration is to blur the beam 222 at the beam limiting aperture 212. In an optical design without intermediate crossing over the PBDA 212, this blur generally appears as high energy electrons far from the optical axis and low energy electrons near the optical axis. If the color beam blur is too large, the electron beam that intersects the beam pattern limited aperture will not be properly apertured. FIG. 8A shows the intersection of the electron beam with the plane of the beam limiting aperture 212 corresponding to a nominal energy electron, in this example 5000 eV.

図8Bは、ウエハ表面221の電子線交点に対する、PBDA212を通過する電子線のマッピングの一部を示す図である。ウエハ表面221の正方形ビーム840を、PBDA212の中心(略正方形)開口部860を通過する電子線に対して示している。電子線841は、開口部860の右上角隅部を通過して、正方形ビーム840の右上角隅部においてウエハ表面221に到達する。同様に、電子線845は、開口部860の左下角隅部を通過して、正方形ビーム840の左下角隅部においてウエハ表面221に到達する。PBDA212の中心開口部を通過する電子線のマッピングは、電子線842乃至844についても同じパターンに従い、これは、基本的には、ウエハ表面221において相対的に低い電流密度を与える従来技術の成形ビームシステムにおいて、パターン化ビームが形成される方法と同一である。   FIG. 8B is a diagram showing a part of the mapping of the electron beam passing through the PBDA 212 to the electron beam intersection on the wafer surface 221. A square beam 840 on the wafer surface 221 is shown relative to an electron beam passing through the center (substantially square) opening 860 of the PBDA 212. The electron beam 841 passes through the upper right corner of the opening 860 and reaches the wafer surface 221 at the upper right corner of the square beam 840. Similarly, the electron beam 845 passes through the lower left corner of the opening 860 and reaches the wafer surface 221 at the lower left corner of the square beam 840. The mapping of the electron beam passing through the central opening of the PBDA 212 follows the same pattern for the electron beams 842-844, which is basically a prior art shaped beam that provides a relatively low current density at the wafer surface 221. In the system, it is identical to the way the patterned beam is formed.

図8Bに示したPBDAとウエハとの間での電子線のマッピングは、基本的には、ウエハ表面221に対する中央正方形開口部860の像となる。従来技術の成形ビームシステムにおいて、ウエハ表面221でのビーム形状は、ウエハ表面221の(X,Y)座標に対するビーム成形アパーチャ(群)の(X,Y)座標の1:1マッピングにより、通常はウエハ表面221において縮小された一つ以上のアパーチャの像となる。1:1マッピングとは、PBDA212の平面にある全ての(X,Y)座標に対して、厳密に一つの(X,Y)座標がウエハ221の平面に存在することを意味する。1:1マッピングを達成するためには、システムの一次光学系による画像化が支配的となるように、光学システムの全ての収差を最小化することが必要である。ウエハ表面221へ透過されるソースチップ201でのビーム角度の範囲を制限することで収差を最小化する必要があるため、この制約は、ウエハ表面221においてビームへ集束可能な電流の総量に影響する。   The mapping of the electron beam between the PBDA and the wafer shown in FIG. 8B is basically an image of the central square opening 860 with respect to the wafer surface 221. In prior art shaped beam systems, the beam shape at the wafer surface 221 is typically due to a 1: 1 mapping of the (X, Y) coordinates of the beam shaping aperture (s) to the (X, Y) coordinates of the wafer surface 221. One or more aperture images are reduced on the wafer surface 221. The 1: 1 mapping means that exactly one (X, Y) coordinate exists on the plane of the wafer 221 for all (X, Y) coordinates on the plane of the PBDA 212. In order to achieve 1: 1 mapping, it is necessary to minimize all aberrations of the optical system so that imaging by the primary optics of the system dominates. This constraint affects the total amount of current that can be focused on the beam at the wafer surface 221 because aberrations need to be minimized by limiting the range of beam angles at the source chip 201 that are transmitted to the wafer surface 221. .

しかしながら、ウエハ表面において成形ビームを形成するために、こうした1:1マッピングを利用する必要はない。PBDA平面からウエハ平面へN:1マッピングを利用することが可能である(ここで、Nは整数であり、N≧2。本発明の実施形態では、N=3)。この場合、N=3では、ウエハ表面221の平面にある基本的に全ての(X,Y)座標をマッピングする厳密に三つの異なる(X,Y)座標が、PBDA212の平面に存在する。このN:1マッピングに対する唯一の例外は、(0,0)=ビームの中心だが、ビームのエッジから離れているため、これはビーム形状に影響を与えない。PBDA12への照射は均一であるため、3:1マッピングでは、1:1マッピングにより可能となるものより大きな電流を、ウエハ表面221の成形ビームへ集束できる。本発明のマッピングが(2:1、4:1等ではなく)3:1である理由は、球面収差が、軸上で支配的な収差であるためである。正方形のビーム形状を軸外で維持するには、軸外収差を最小化することが更に必要であり、これは、そうしない場合、3:1マッピングが劣化し、所望のパターン化ビーム形状が失われるためである。本発明では、「移動レンズ」(図3K及び3L参照)を使用して、全ての軸外ビーム偏向に対して、ビームと同心である主レンズの有効軸を維持する(図23参照)。ビームは常に主レンズの有効軸上にあるため、全ての軸外収差(幾何収差及び色収差)は、殆ど除去され、球面収差(軸外で変化しない)の優勢が保持される。   However, it is not necessary to utilize such a 1: 1 mapping to form a shaped beam at the wafer surface. N: 1 mapping from the PBDA plane to the wafer plane can be used (where N is an integer, N ≧ 2, N = 3 in embodiments of the present invention). In this case, at N = 3, there are exactly three different (X, Y) coordinates in the plane of the PBDA 212 that map essentially all (X, Y) coordinates in the plane of the wafer surface 221. The only exception to this N: 1 mapping is (0,0) = beam center, but it does not affect the beam shape because it is far from the edge of the beam. Because the irradiation to the PBDA 12 is uniform, a 3: 1 mapping can focus a larger current onto the shaped beam on the wafer surface 221 than is possible with a 1: 1 mapping. The reason why the mapping of the present invention is 3: 1 (rather than 2: 1, 4: 1 etc.) is because spherical aberration is the dominant aberration on the axis. To maintain a square beam shape off-axis, it is further necessary to minimize off-axis aberrations, which otherwise would degrade the 3: 1 mapping and cause the desired patterned beam shape to be lost. This is because In the present invention, a “moving lens” (see FIGS. 3K and 3L) is used to maintain the effective axis of the main lens that is concentric with the beam for all off-axis beam deflections (see FIG. 23). Since the beam is always on the effective axis of the main lens, all off-axis aberrations (geometric and chromatic aberration) are almost eliminated and the predominance of spherical aberration (not changing off-axis) is retained.

N:1マッピングが可能となるのは、個々の電子線(各電子線は単一の電子の軌道を表す)が互いに殆ど相互作用無しで重複できるためである。何らかの残留相互作用は、「空間電荷ビーム拡散」と呼ばれる。nA範囲内のビーム電流に対して、こうした効果は、本発明で採用したビームエネルギ(50keV)において最小となる。   N: 1 mapping is possible because individual electron beams (each electron beam represents a single electron trajectory) can overlap each other with little interaction. Any residual interaction is called “space charge beam diffusion”. For beam currents in the nA range, these effects are minimal at the beam energy (50 keV) employed in the present invention.

成形ビーム840の電流密度を高めるために、電子線841乃至845に加えて、一組の電子線が図8Cに図示されており、PBDA212の外側開口部861及び862を通過している。電子線846は、中心正方形開口部860のすぐ外側にある開口部861を通過し、成形ビーム840の左下角隅部において、ウエハ表面221に到達する。電子線851は、開口部861の内縁部と外縁部とのほぼ中間で開口部861を通過し、成形ビーム840の中心でウエハに到達する。電子線849は、開口部861の外縁部近くを通過し、成形ビーム840の右上角隅部でウエハに到達する。開口部862を通過する電子線847、850、及び848のマッピングも、図示したように同様である。開口部860の場合とは違い、開口部861及び862を通過する電子線は「折り重なり」、PBDA212の中心から最も遠い開口部861及び862を通過した電子線が成形ビーム840の対向する角隅部においてウエハ表面221に到達する状態となる。PBDA212に照射される電流密度は均一であるため、成形ビーム40に到達する合計ビーム電流は、開口部860乃至862の面積に比例する。電子線841乃至845の集束は、従来技術の成形ビームを生成するのに使用されるものと同様であるため、開口部860の面積は、開口部860乃至862の総面積と比較して、本発明の使用により得られるウエハ表面221におけるビーム電流密度の増加を決定する。図8B及び8Cの例において、開口部861及び862の総面積は、開口部860の面積の六乃至七倍であるため、電流密度の増加は、中心開口部860のみを使用して可能になるものの約七乃至八倍となる。   In order to increase the current density of the shaped beam 840, in addition to the electron beams 841 to 845, a set of electron beams is illustrated in FIG. 8C and passes through the outer openings 861 and 862 of the PBDA 212. The electron beam 846 passes through an opening 861 just outside the central square opening 860 and reaches the wafer surface 221 at the lower left corner of the shaped beam 840. The electron beam 851 passes through the opening 861 at approximately the middle between the inner edge and the outer edge of the opening 861 and reaches the wafer at the center of the shaped beam 840. The electron beam 849 passes near the outer edge of the opening 861 and reaches the wafer at the upper right corner of the shaped beam 840. The mapping of the electron beams 847, 850, and 848 that pass through the opening 862 is similar as shown. Unlike the aperture 860, the electron beams that pass through the apertures 861 and 862 are “folded” and the electron beams that have passed through the apertures 861 and 862 farthest from the center of the PBDA 212 are opposite corners of the shaped beam 840. This reaches a state where the wafer surface 221 is reached. Since the current density irradiated on the PBDA 212 is uniform, the total beam current reaching the shaped beam 40 is proportional to the area of the openings 860 to 862. Since the focusing of the electron beams 841 to 845 is similar to that used to generate the shaped beam of the prior art, the area of the opening 860 is compared to the total area of the openings 860 to 862. Determine the increase in beam current density at the wafer surface 221 resulting from the use of the invention. In the example of FIGS. 8B and 8C, the total area of the openings 861 and 862 is six to seven times the area of the opening 860, so an increase in current density is possible using only the central opening 860. About 7 to 8 times that of things.

図9は、ビームプロファイルの計算のために使用されたウエハ表面の様々なビーム位置A乃至Dの図を示す。サブフィールド偏向器/非点補正器215(図2A参照)のみを使用すると、最大偏向は、X方向910において±1μm、Y方向911において±1μmとなり、ビーム222は中央位置A901から位置B902へ移動する。メインフィールド偏向器213及び214のみを使用すると、図示した最大偏向は、X方向910において±25μmとなり、ビーム222は位置C903へ移動する。メインフィールド偏向器213及び214とサブフィールド偏向器/非点補正器215との両方を使用することで、ビームは位置D904へ移動する。一般的な2μm正方形サブフィールドを図示しており、走査ストライプの2μm914の幅を定める。メインフィールド偏向器213及び214は、ビームを全体としてX軸910に沿って移動させ、一方、ウエハステージは、図27Bに示した蛇行パターンで、Y軸911に平行な方向915へ移動する。   FIG. 9 shows a diagram of the various beam positions A to D on the wafer surface used for the calculation of the beam profile. Using only the subfield deflector / astigmatism 215 (see FIG. 2A), the maximum deflection is ± 1 μm in the X direction 910 and ± 1 μm in the Y direction 911, and the beam 222 moves from the central position A901 to the position B902. To do. If only the main field deflectors 213 and 214 are used, the maximum deflection shown is ± 25 μm in the X direction 910 and the beam 222 moves to position C 903. Using both main field deflectors 213 and 214 and subfield deflector / astigmatism 215, the beam moves to position D904. A typical 2 μm square subfield is illustrated and defines the width of the scan stripe 2 μm 914. The main field deflectors 213 and 214 move the beam as a whole along the X axis 910, while the wafer stage moves in a direction 915 parallel to the Y axis 911 in the meander pattern shown in FIG. 27B.

図10Aは、正方形電子ビームの一回のフラッシュによる図9の位置Aにおける計算露光量を示す。基板表面221上の座標軸は、X1001及びY1002である。領域1004は、3000A/cm2以上のビーム電流密度に対応する。図示した例において、レジスト感度が5μC/cm2、滞留時間が1.67nsであると仮定される場合、次のようになる。 FIG. 10A shows the calculated exposure dose at position A in FIG. 9 by one flash of the square electron beam. The coordinate axes on the substrate surface 221 are X1001 and Y1002. Region 1004 corresponds to a beam current density of 3000 A / cm 2 or higher. In the illustrated example, when the resist sensitivity is assumed to be 5 μC / cm 2 and the residence time is 1.67 ns, the following results.

基板への線量=(電流密度)(滞留時間)=(3000A/cm2)(1.67ns)=5μC/cm2=レジスト感度 Dose to substrate = (current density) (residence time) = (3000 A / cm 2 ) (1.67 ns) = 5 μC / cm 2 = resist sensitivity

したがって、レジストは、領域1004内部において完全に露光される。領域1003において、露光量は5μC/cm2未満であり、したがってレジストは完全には露光されない。図10Aは、領域1004が約40nmの正方形であることを示している。仮想源サイズ、(全次数に対する)色収差、及び(全次数に対する)球面収差の複合効果は、この計算において全て考慮されている。図13のビームプロファイルのプロットは、二本の線1005及び1006間の電流、即ち、正方形ビーム形状の一辺に渡る電流密度に対応する。 Thus, the resist is completely exposed inside the region 1004. In region 1003, the exposure dose is less than 5 μC / cm 2 and therefore the resist is not fully exposed. FIG. 10A shows that region 1004 is a square of about 40 nm. The combined effects of virtual source size, chromatic aberration (for all orders), and spherical aberration (for all orders) are all considered in this calculation. The beam profile plot of FIG. 13 corresponds to the current between the two lines 1005 and 1006, ie, the current density over one side of the square beam shape.

図10Bは、正方形電子ビームの一回のフラッシュによる図9の位置Bにおける計算露光量を示す。基板表面221上の座標軸は、X1011及びY1012である。領域1014は、3000A/cm2以上のビーム電流密度に対応する。滞留時間1.67ns及びレジスト感度5μC/cm2により、レジストは、領域1014内部において完全に露光される。領域1013において、露光量は5μC/cm2未満であり、したがってレジストは完全には露光されない。図10Bは、領域1014が領域1004に非常によく似た、約40nmの正方形であることを同様に示している。領域1004及び1014間の類似性は、+1μmの完全なX及びYサブフィールド偏向がビーム形状に与える影響が微細であることを示している。仮想源サイズ、(全次数に対する)色収差、(全次数に対する)球面収差、及び軸外収差(全次数に対する幾何及び色収差の両方)の複合効果は、この計算において全て考慮されている。 FIG. 10B shows the calculated exposure dose at position B in FIG. 9 by one flash of the square electron beam. The coordinate axes on the substrate surface 221 are X1011 and Y1012. Region 1014 corresponds to a beam current density of 3000 A / cm 2 or higher. With a dwell time of 1.67 ns and resist sensitivity of 5 μC / cm 2 , the resist is completely exposed inside the region 1014. In region 1013, the exposure dose is less than 5 μC / cm 2 , so the resist is not fully exposed. FIG. 10B also shows that region 1014 is a square of about 40 nm, very similar to region 1004. The similarity between regions 1004 and 1014 indicates that the full X and Y subfield deflection of +1 μm has a minor effect on the beam shape. The combined effects of virtual source size, chromatic aberration (for all orders), spherical aberration (for all orders), and off-axis aberration (both geometric and chromatic aberration for all orders) are all taken into account in this calculation.

図10Cは、正方形電子ビームの一回のフラッシュによる図9の位置Cにおける計算露光量を示す。基板表面上の座標軸は、X1021及びY1022である。領域1024は、3000A/cm2以上のビーム電流密度に対応する。滞留時間1.67ns及びレジスト感度5μC/cm2により、レジストは、領域1024内部において完全に露光される。領域1023において、露光量は5μC/cm2未満であり、したがってレジストは完全には露光されない。図10Cは、領域1024が領域1004及び1014に非常によく似た、約40nmの正方形であることを同様に示している。領域1004及び1024間の類似性は、+25μmの完全なメインフィールド偏向がビーム形状に与える影響が微細であることを示している。仮想源サイズ、(全次数に対する)色収差、(全次数に対する)球面収差、及び軸外収差(全次数に対する幾何及び色収差の両方)の複合効果は、この計算において全て考慮されている。 FIG. 10C shows the calculated exposure dose at position C in FIG. 9 by one flash of the square electron beam. The coordinate axes on the substrate surface are X1021 and Y1022. Region 1024 corresponds to a beam current density of 3000 A / cm 2 or higher. With a dwell time of 1.67 ns and resist sensitivity of 5 μC / cm 2 , the resist is completely exposed inside the region 1024. In region 1023, the exposure dose is less than 5 μC / cm 2 , so the resist is not fully exposed. FIG. 10C also shows that region 1024 is a square of about 40 nm, very similar to regions 1004 and 1014. The similarity between regions 1004 and 1024 indicates that the full main field deflection of +25 μm has a small effect on the beam shape. The combined effects of virtual source size, chromatic aberration (for all orders), spherical aberration (for all orders), and off-axis aberration (both geometric and chromatic aberration for all orders) are all taken into account in this calculation.

図10Dは、正方形電子ビームの一回のフラッシュによる図9の位置Dにおける計算露光量を示す。基板表面上の座標軸は、X1031及びY1032である。領域1034は、3000A/cm2以上のビーム電流密度に対応する。滞留時間1.67ns及びレジスト感度5μC/cm2により、レジストは、領域1034内部において完全に露光される。領域1033において、露光量は5μC/cm2未満であり、したがってレジストは完全には露光されない。図10Dは、領域1034が領域1004、1014、及び1024に非常によく似た、約40nmの正方形であることを同様に示している。領域1004及び1034間の類似性は、+1μmの完全なX及びYサブフィールド偏向と組み合わせた+25μmの完全なメインフィールド偏向がビーム形状に与える影響が微細であることを示している。仮想源サイズ、(全次数に対する)色収差、(全次数に対する)球面収差、及び軸外収差(全次数に対する幾何及び色収差の両方)の複合効果は、この計算において全て考慮されている。 FIG. 10D shows the calculated exposure dose at position D in FIG. 9 by one flash of the square electron beam. The coordinate axes on the substrate surface are X1031 and Y1032. Region 1034 corresponds to a beam current density of 3000 A / cm 2 or higher. With a dwell time of 1.67 ns and resist sensitivity of 5 μC / cm 2 , the resist is completely exposed inside the region 1034. In region 1033, the exposure dose is less than 5 μC / cm 2 , so the resist is not fully exposed. FIG. 10D similarly shows that region 1034 is a square of about 40 nm, very similar to regions 1004, 1014, and 1024. The similarity between regions 1004 and 1034 indicates that the +25 μm full main field deflection combined with the +1 μm full X and Y subfield deflection has a minor effect on the beam shape. The combined effects of virtual source size, chromatic aberration (for all orders), spherical aberration (for all orders), and off-axis aberration (both geometric and chromatic aberration for all orders) are all taken into account in this calculation.

図11は、ビーム中心間の間隔が40nmで「L字」パターンにおいて隣接する正方形電子ビーム(図10Aと同じ)の一回のフラッシュによる図9の位置Aにおける計算露光量を示す。基板表面上の座標軸は、X1101及びY1102である。領域1104は、3000A/cm2以上のビーム電流密度に対応する。滞留時間1.67ns及びレジスト感度5μC/cm2により、レジストは、領域1104内部において完全に露光される。領域1013において、露光量は5μC/cm2未満であり、したがってレジストは完全には露光されない。露光領域1104は、ほぼ「L字」形で、「L字」の屈曲部に多少の面取り1105を有する。「L字」の腕部の幅は、約40nmであり、40nm正方形領域1004に対応する。図11は、正方形ビームを基板表面221上で隣接させることにより、複雑なパターンを露光し得ることを実証している。仮想源サイズ、(全次数に対する)色収差、及び(全次数に対する)球面収差の複合効果は、この計算において全て考慮されている。 FIG. 11 shows the calculated exposure dose at position A in FIG. 9 by a single flash of adjacent square electron beams (same as FIG. 10A) in the “L” pattern with a 40 nm spacing between the beam centers. The coordinate axes on the substrate surface are X1101 and Y1102. Region 1104 corresponds to a beam current density of 3000 A / cm 2 or higher. With a dwell time of 1.67 ns and resist sensitivity of 5 μC / cm 2 , the resist is completely exposed inside the region 1104. In region 1013, the exposure dose is less than 5 μC / cm 2 , so the resist is not fully exposed. The exposure region 1104 is substantially “L-shaped”, and has some chamfers 1105 at the “L-shaped” bent portion. The width of the “L-shaped” arm is about 40 nm and corresponds to the 40 nm square region 1004. FIG. 11 demonstrates that a complex pattern can be exposed by adjoining the square beam on the substrate surface 221. The combined effects of virtual source size, chromatic aberration (for all orders), and spherical aberration (for all orders) are all considered in this calculation.

図12は、正方形電子ビームの二回の重複するフラッシュと、別個の一回のフラッシュとによる図9の位置Aにおける計算露光量を示す(フラッシュは全て図10Aと同じ)。基板表面上の座標軸は、X1201及びY1202である。領域1204及び1205は、3000A/cm2以上のビーム電流密度に対応する。滞留時間1.67ns及びレジスト感度5μC/cm2により、レジストは、領域1204及び1205内部において完全に露光される。領域1203において、露光量は5μC/cm2未満であり、したがってレジストは完全には露光されない。露光領域1204は、露光領域1004と同じである。領域1205は、中心間を30nmだけ離して、10nm重複させた、図10Aの40nm正方形ビームの二回のフラッシュに対応する。この重複により、領域1205の中心区域は露出過多となり、パターンに2乃至3nmの隆起1206が生じる。図12は、正方形ビームを重複させることで、正方形ビームのサイズ(この場合、40nm)の整数倍に対応していないパターンを露光し得ることを実証している。仮想源サイズ、(全次数に対する)色収差、及び(全次数に対する)球面収差の複合効果は、この計算において全て考慮されている。 FIG. 12 shows the calculated exposure dose at position A in FIG. 9 with two overlapping flashes of a square electron beam and one separate flash (all flashes are the same as in FIG. 10A). The coordinate axes on the substrate surface are X1201 and Y1202. Regions 1204 and 1205 correspond to a beam current density of 3000 A / cm 2 or higher. With a dwell time of 1.67 ns and a resist sensitivity of 5 μC / cm 2 , the resist is completely exposed within regions 1204 and 1205. In region 1203, the exposure dose is less than 5 μC / cm 2 , so the resist is not fully exposed. The exposure area 1204 is the same as the exposure area 1004. Region 1205 corresponds to two flashes of the 40 nm square beam of FIG. 10A with a 10 nm overlap between the centers by 30 nm. This overlap causes the central area of region 1205 to be overexposed, resulting in a 2 to 3 nm bump 1206 in the pattern. FIG. 12 demonstrates that overlapping square beams can expose patterns that do not correspond to integer multiples of the square beam size (40 nm in this case). The combined effects of virtual source size, chromatic aberration (for all orders), and spherical aberration (for all orders) are all considered in this calculation.

図13は、X軸1301に沿った、単一の正方形ビーム(図10Aと同じ)と単一のガウスビームとの図9の位置Aにおける計算ビーム電流密度のグラフ1302を示す。ガウスビーム1304の高さは、図10Aの線1005及び1006間のY軸に沿った電流密度を平均化することで計算した正方形ビーム電流密度曲線1303との交点1305により示すように、ビーム電流密度3000A/cm2(線量=5μC/cm2、滞留時間1.67nsを仮定)においてFWHMが約40nmになるように調整した。正方形ビームの電流密度は、ビーム中心から僅か5nm離れるだけで(±25nmの位置において)、3000A/cm2(交点1305、ビーム中心から±20nm)から1000A/cm2未満まで低下する。 FIG. 13 shows a graph 1302 of the calculated beam current density at position A in FIG. 9 for a single square beam (same as FIG. 10A) and a single Gaussian beam along the X-axis 1301. The height of the Gaussian beam 1304 is the beam current density as shown by the intersection point 1305 with the square beam current density curve 1303 calculated by averaging the current density along the Y axis between lines 1005 and 1006 in FIG. 10A. The FWHM was adjusted to about 40 nm at 3000 A / cm 2 (dose = 5 μC / cm 2 , assuming a residence time of 1.67 ns). The square beam current density drops from 3000 A / cm 2 (intersection 1305, ± 20 nm from the beam center) to less than 1000 A / cm 2 at only 5 nm away from the beam center (at ± 25 nm position).

図14は、三本の隣接ガウスビーム(全て3000A/cm2においてFWHMが40nmで、中心間間隔は40nm)により生じた電流密度と比較した、X軸1401に沿って40nmの中心間間隔で隣接した三本の正方形ビームの図9の位置Aにおける計算ビーム電流密度のグラフ1402を示す。ガウスビームは、ビーム電流密度3000A/cm2において交点1405が生じるように図13と同様に調整されている(線量=5μC/cm2、滞留時間1.67nsを仮定)。三本の正方形ビームの曲線1403のテールは、三本のガウスビームの曲線1404のテールの降下と比較して、−20nm及び+100nm(=幅120nm=3×40nm、ここで40nm=各正方形ビームの幅)のビームエッジから非常に素早く降下している。図14は、正方形ビームのエッジにおける電流密度の急落により、これらのビームを隣接させ、単一ビームのエッジに沿って見られるものと本質的に同じ最大電流密度を備えた大きなサイズの特徴を生成可能であることを示している。これは、ビームフラッシュを組み合わせて、個別のビームフラッシュの電流のテール部分による隆起を最小限にして、大きなパターン(図11のパターン1104等)を形成できる理由を説明するものである。 FIG. 14 shows 40 nm center-to-center spacing along the X-axis 1401 compared to the current density produced by three adjacent Gaussian beams (all at 3000 A / cm 2 with FWHM 40 nm and center-to-center spacing 40 nm). A graph 1402 of the calculated beam current density of the three square beams obtained at position A in FIG. 9 is shown. The Gaussian beam is adjusted in the same way as in FIG. 13 so that an intersection 1405 occurs at a beam current density of 3000 A / cm 2 (assuming a dose = 5 μC / cm 2 and a dwell time of 1.67 ns). The tails of the three square beam curves 1403 are compared to the tail drop of the three Gaussian beam curves 1404, −20 nm and +100 nm (= width 120 nm = 3 × 40 nm, where 40 nm = each square beam (Width) is descending very quickly from the beam edge. FIG. 14 shows that the sharp drop in current density at the edges of a square beam causes these beams to be adjacent, producing large size features with essentially the same maximum current density as seen along the edge of a single beam. It shows that it is possible. This explains why beam flashes can be combined to form large patterns (such as pattern 1104 in FIG. 11) with minimal bulges due to individual beam flash current tails.

図15は、X軸1501に沿って40nmの中心間間隔で隣接した三本の正方形ビームの図9の位置Aにおける計算ビーム電流密度のグラフ1502を示し、個別の正方形ビームプロファイル1503、1504及び1505と、図14の三本のビームの複合プロファイル1403との両方を示している。曲線1403の比較的平坦な最上部(6045A/cm2から6667A/cm2の範囲)は、各正方形ビームプロファイル1503、1504、及び1505の急勾配の側部の合計により、±5%未満の正味電流密度変動が生じる様子を示している。 FIG. 15 shows a graph 1502 of calculated beam current density at position A in FIG. 9 of three square beams adjacent along the X-axis 1501 with a 40 nm center-to-center spacing, with individual square beam profiles 1503, 1504 and 1505. And the three beam composite profile 1403 of FIG. The relatively flat top of curve 1403 (ranging from 6045 A / cm 2 to 6667 A / cm 2 ) has a net of less than ± 5% due to the sum of the steep sides of each square beam profile 1503, 1504, and 1505. It shows how the current density fluctuates.

図16は、X軸1601に沿ってビームの中心間を40nm離して、各分離ガウスビームが露光量3000A/cm2において40nmのFWHMを有する状態で(滞留時間1.67ns及びレジスト感度5μC/cm2を仮定)、三本の結合したガウスビーム1404(図14)と、三本の分離したガウスビーム1603、1604及び1605との計算ビーム電流密度のグラフ1602を示す。−20nm乃至+100nmの所望の露光領域のエッジ外部に、長いテール部分を明確に確認できる。こうした長いテール部分は、所望のパターン限界寸法(CD)を保持するために必要なプロセスの自由度を減少させる。 FIG. 16 shows a state in which each separated Gaussian beam has a FWHM of 40 nm at an exposure amount of 3000 A / cm 2 (residence time 1.67 ns and resist sensitivity 5 μC / cm) with the beam centers separated by 40 nm along the X-axis 1601. 2 ), a graph 1602 of the calculated beam current density of three combined Gaussian beams 1404 (FIG. 14) and three separated Gaussian beams 1603, 1604 and 1605 is shown. A long tail portion can be clearly confirmed outside the edge of the desired exposure region of −20 nm to +100 nm. Such a long tail portion reduces the process flexibility necessary to maintain the desired pattern critical dimension (CD).

図15の曲線1403を図16の曲線1404と比較すると、図15A乃至15Dの三本の隣接正方形ビームの組み合わせが、露出区域全体でのより均一な電流密度と、大きなプロセス自由度につながる非常に鋭いエッジ(電流密度における素早い降下)とを全般的に実証していることが分かる。   Comparing curve 1403 in FIG. 15 with curve 1404 in FIG. 16, the combination of the three adjacent square beams of FIGS. It can be seen that it generally demonstrates a sharp edge (rapid drop in current density).

図17Aは、最適化された正方形ビームプロファイルを生成する光学系を設定するのに使用可能なビーム走査方法の図を示す。位置1701における所望の正方形ビームプロファイルは、システムのウエハステージ上、或いは、システムに設置された特殊設定ウエハ上に含まれ得る特殊マスク構造の中央表面1723上に位置する。表面1713は、ビーム222が照射された場合に、中央表面1723から生じる画像化信号と比較して、大きな画像化信号を与えるように構成される。この画像化のコントラストは、表面1713を画像化システムに接続し、表面1723を画像化システムに接続しないことで達成できる。ビーム222が位置1701に存在する間、ビーム電流は、画像化システムに接続されていない表面1723に流れる。ビーム222を方向1702でエッジ1710まで走査すると、画像化システムに接続された表面1713に衝突するビーム電流の部分が増加する。帯電効果を避けるために、両表面1713及び1723に流れる電流は、最終的には接地へ流れるべきである。位置1703では、ビームの半分が回収領域1713にあるため、ビーム電流の半分が回収される。ビーム222を方向1702で走査する際に、ビームプロファイルの正方形の縁部はエッジ1710と平行であるため、信号は、走査方向1702と平行なビームの寸法D1720に等しい距離に亘って、0%から100%まで推移することに留意されたい。   FIG. 17A shows a diagram of a beam scanning method that can be used to set up an optical system that generates an optimized square beam profile. The desired square beam profile at location 1701 is located on the central surface 1723 of the special mask structure that may be included on the wafer stage of the system or on a special setting wafer installed in the system. Surface 1713 is configured to provide a larger imaging signal when irradiated with beam 222 compared to the imaging signal resulting from central surface 1723. This imaging contrast can be achieved by connecting surface 1713 to the imaging system and not connecting surface 1723 to the imaging system. While beam 222 is at location 1701, beam current flows to surface 1723 that is not connected to the imaging system. Scanning beam 222 in direction 1702 to edge 1710 increases the portion of the beam current that impinges on surface 1713 connected to the imaging system. In order to avoid charging effects, the current flowing on both surfaces 1713 and 1723 should eventually flow to ground. At position 1703, half of the beam is in the collection region 1713, so half of the beam current is collected. When scanning the beam 222 in the direction 1702, the square edge of the beam profile is parallel to the edge 1710, so the signal is from 0% over a distance equal to the beam dimension D1720 parallel to the scan direction 1702. Note that it is up to 100%.

ビーム222を方向1704でエッジ1711まで走査すると、表面1713に衝突するビーム電流の部分が増加するため、回収され画像化信号を与える部分が増加する。位置1705では、ビーム222の半分が回収領域1713にあるため、ビーム電流の半分が回収される。ビーム222を方向1704で走査する際に、ビームプロファイルの正方形の縁部はエッジ1711に対して45°であるため、信号は、走査方向1704と平行なビームの対角寸法√2D1722に等しい距離に亘って、0%から100%まで推移することに留意されたい。   Scanning beam 222 in direction 1704 to edge 1711 increases the portion of the beam current that impinges on surface 1713, thus increasing the portion that is recovered and provides the imaging signal. At position 1705, half of the beam 222 is in the collection region 1713, so half of the beam current is collected. When scanning the beam 222 in the direction 1704, the square edge of the beam profile is 45 ° to the edge 1711, so the signal is at a distance equal to the diagonal dimension of the beam parallel to the scanning direction 1704 √2D1722. Note that the transition from 0% to 100% over time.

ビーム222を方向1706で走査する際には、ビームプロファイルが走査方向1706と平行な寸法D1721を有する正方形であると仮定すると、結果は、上記の走査方向1702で説明したものと同様になる。位置1707では、ビームの半分が領域1713のエッジ1712を越えるため、ビーム電流の半分が回収される。   When scanning the beam 222 in the direction 1706, assuming that the beam profile is a square having a dimension D1721 parallel to the scanning direction 1706, the result is similar to that described for the scanning direction 1702 above. At position 1707, half of the beam current is recovered because half of the beam exceeds the edge 1712 of region 1713.

画像のコントラストを発生させる別の方法は、異なる二次電子放出係数を有する材料で領域1713及び1723を製作することであり、これにより、図2Aの検出器光学系の使用を可能にする。電子ビームシステム内での画像化の方法は、当業者に周知である。   Another way to generate image contrast is to make regions 1713 and 1723 with materials having different secondary electron emission coefficients, thereby allowing the use of the detector optics of FIG. 2A. Methods of imaging within an electron beam system are well known to those skilled in the art.

図17Bは、最適化された正方形ビームを設定するための可能な方法を示す、図17Aの走査方向1702及び1704に対する計算ライン走査を示す図である。図示した軸線1740は、走査方向1702、1704、又は1706の何れかに沿った位置に対応する。強度軸1741は、検出された画像化信号が無いことに対応する0.0から、最大の画像信号の検出に対応する1.0までの相対的なものとなる。曲線1742は、走査方向1702又は1706の何れかに対応する。曲線1742での0.0から1.0までの強度の上昇は、−27.5nm乃至+27.5nmである。45°の曲線1743は、走査方向1704に対応する。曲線1743の0.0から1.0までの強度の上昇は、−42.5nm乃至+42.5nmであり、曲線1742よりも大幅に遅い上昇となる。二曲線1742及び1743における強度上昇の幅の違いは、可能な限り正方形に近いビームプロファイルを生成するために光学鏡筒を調整するのに使用できる。中心点1745は、位置1703、1705、又は1707のビームに対応する。   FIG. 17B is a diagram illustrating a computed line scan for scan directions 1702 and 1704 of FIG. 17A, showing a possible method for setting an optimized square beam. The illustrated axis 1740 corresponds to a position along one of the scan directions 1702, 1704, or 1706. The intensity axis 1741 is relative from 0.0 corresponding to no detected imaging signal to 1.0 corresponding to detection of the largest image signal. Curve 1742 corresponds to either scan direction 1702 or 1706. The increase in intensity from 0.0 to 1.0 on curve 1742 is from -27.5 nm to +27.5 nm. A 45 ° curve 1743 corresponds to the scan direction 1704. The increase in the intensity of the curve 1743 from 0.0 to 1.0 is −42.5 nm to +42.5 nm, which is a significantly slower increase than the curve 1742. The difference in intensity increase between the two curves 1742 and 1743 can be used to adjust the optical column to produce a beam profile that is as close to a square as possible. Center point 1745 corresponds to the beam at position 1703, 1705, or 1707.

比較のため、強度曲線1744を、FWHMが40nmである単一のガウスビームについてプロットした。0nmのビームの中心から遠い位置で、この曲線は、曲線1743に重複している。0nmのビームの中心近くで、この曲線は、曲線1742又は1743の何れかよりも更に上昇が遅くなる。重要な違いは、ガウス曲線が走査方向1702、1704、又は1706の何れに対しても同じ形状を有しており、検出器表面1713を使用して画像化した時に、ガウスビームが正方形ビームから区別されることである。   For comparison, the intensity curve 1744 was plotted for a single Gaussian beam with a FWHM of 40 nm. This curve overlaps curve 1743 at a position far from the center of the 0 nm beam. Near the center of the 0 nm beam, this curve rises more slowly than either curve 1742 or 1743. The important difference is that the Gaussian curve has the same shape for any of the scan directions 1702, 1704, or 1706, and the Gaussian beam distinguishes it from the square beam when imaged using the detector surface 1713. It is to be done.

図18は、図8Aのビームパターン限定アパーチャ212を使用した、30nm正方形電子ビームの一回のフラッシュによる、図9の位置Aにおける計算露光量を示す。基板表面221上の座標軸は、X1801及びY1802である。基板上で様々な形状をリソグラフィによりパターン形成する時、ビームパターン限定アパーチャ212の機械的な交換の必要無く、一定範囲の成形ビームサイズを生成可能であれば有益である。鏡筒の上部における電子光学系の調整により(通常は、第一のソースレンズ電極とビーム制限アパーチャとの共通電圧を変更することで)、ビームトリミングアパーチャ(BTA)276に照射する円形ビーム222の直径を調整して、ウエハ表面221における成形ビームサイズを変更できる(図3D参照)。図18に示した例において、上部鏡筒の光学系は、(図10Aに示した40nm正方形ビームの代わりに)30nm正方形ビーム1804を生成するように調整されている。この構成では、最大電流密度のビーム(異なるBTA276及びPBDA212を必要とする)を提供するように光学系全体を完全に最適化できないため、露光ビーム電流密度は、2000A/cm2まで減少しており、5μC/cm2のレジスト感度に対して2.50nsの滞留時間を必要とする。領域1804は、2000A/cm2以上の電流密度に対応し、約30nmの正方形である。区域1803において、露光量は5μC/cm2未満であり、したがってレジストは完全には露光されない。仮想源サイズ、(全次数に対する)色収差、及び(全次数に対する)球面収差の複合効果は、この計算において全て考慮されている。図18は、40nm正方形ビームの生成に最適化された図2Aの光学システムを、30nm正方形ビームの生成にも使用できることを実証している。図18に示した性能は、図10A乃至15に示した、最適化済みの40nmの場合より僅かに長い滞留時間により、解像度を30nmまで引き下げた特徴部のパターン形成を十分に可能にする。 FIG. 18 shows the calculated exposure dose at position A in FIG. 9 with a single flash of a 30 nm square electron beam using the beam pattern limiting aperture 212 of FIG. 8A. The coordinate axes on the substrate surface 221 are X1801 and Y1802. When patterning various shapes on a substrate by lithography, it would be beneficial if a range of shaped beam sizes could be generated without the need for mechanical replacement of the beam pattern limited aperture 212. By adjusting the electron optical system in the upper part of the lens barrel (usually by changing the common voltage between the first source lens electrode and the beam limiting aperture), the beam trimming aperture (BTA) 276 is irradiated with the circular beam 222. The diameter can be adjusted to change the shaped beam size at the wafer surface 221 (see FIG. 3D). In the example shown in FIG. 18, the optical system of the upper barrel is adjusted to produce a 30 nm square beam 1804 (instead of the 40 nm square beam shown in FIG. 10A). In this configuration, the exposure beam current density is reduced to 2000 A / cm 2 because the entire optical system cannot be fully optimized to provide the highest current density beam (requires different BTA 276 and PBDA 212). A residence time of 2.50 ns is required for a resist sensitivity of 5 μC / cm 2 . Region 1804 corresponds to a current density of 2000 A / cm 2 or higher and is a square of about 30 nm. In area 1803, the exposure dose is less than 5 μC / cm 2 , so the resist is not fully exposed. The combined effects of virtual source size, chromatic aberration (for all orders), and spherical aberration (for all orders) are all considered in this calculation. FIG. 18 demonstrates that the optical system of FIG. 2A, optimized for generating 40 nm square beams, can also be used for generating 30 nm square beams. The performance shown in FIG. 18 sufficiently enables the patterning of features with a resolution reduced to 30 nm, with a slightly longer residence time than the optimized 40 nm case shown in FIGS. 10A-15.

図19は、図8Aのビームパターン限定アパーチャ212を使用した、約80nmの正方形電子ビームの一回のフラッシュによる、図9の位置Aにおける計算露光量を示す。基板表面221上の座標軸は、X1901及びY1902である。この例において、鏡筒の上部の光学系は、図10Aの約二倍の大きさ(約80nm)の正方形ビーム1904を提供するように、図18に示したものと反対の方向に調整されている。この構成では、最大電流密度のビーム(異なるBTA276及びPBDA212を必要とする)を提供するように光学系全体を完全に最適化できないため、露光ビーム電流密度は、2500A/cm2まで減少しており、5μC/cm2のレジスト感度に対して2.00nsの滞留時間を必要とする。領域1904は、2500A/cm2以上の電流密度に対応し、約80nmの正方形である。区域1903において、露光量は5μC/cm2未満であり、したがってレジストは完全には露光されない。仮想源サイズ、(全次数に対する)色収差、及び(全次数に対する)球面収差の複合効果は、この計算において全て考慮されている。図19は、40nm正方形ビームの生成に最適化された図2Aの光学システムを、約80nmの正方形ビームの生成にも使用できることを実証している。図19に示した性能は、図10A乃至15に示した、最適化済みの40nmの場合より僅かに長い滞留時間により、解像度80nmでの特徴部のパターン形成を十分に可能にする。 FIG. 19 shows the calculated exposure dose at position A in FIG. 9 with a single flash of a square electron beam of about 80 nm using the beam pattern limiting aperture 212 of FIG. 8A. The coordinate axes on the substrate surface 221 are X1901 and Y1902. In this example, the optics at the top of the barrel is adjusted in the opposite direction to that shown in FIG. 18 to provide a square beam 1904 that is about twice as large (about 80 nm) as in FIG. 10A. Yes. In this configuration, the exposure beam current density is reduced to 2500 A / cm 2 because the entire optical system cannot be fully optimized to provide a beam with the highest current density (requires different BTA 276 and PBDA 212). A residence time of 2.00 ns is required for a resist sensitivity of 5 μC / cm 2 . Region 1904 is a square of about 80 nm, corresponding to a current density of 2500 A / cm 2 or higher. In area 1903, the exposure dose is less than 5 μC / cm 2 , so the resist is not fully exposed. The combined effects of virtual source size, chromatic aberration (for all orders), and spherical aberration (for all orders) are all considered in this calculation. FIG. 19 demonstrates that the optical system of FIG. 2A, optimized for the generation of 40 nm square beams, can also be used to generate approximately 80 nm square beams. The performance shown in FIG. 19 fully enables feature patterning at a resolution of 80 nm with a slightly longer residence time than the optimized 40 nm case shown in FIGS. 10A-15.

図20は、図8Aのビームパターン限定アパーチャ212を使用した、120nm正方形電子ビームの一回のフラッシュによる、図9の位置Aにおける計算露光量を示す。基板表面221上の座標軸は、X2001及びY2002である。この例において、鏡筒の上部の光学系は、図10Aの三倍の大きさ(120nm)のビーム2004を提供するように、図19に示したものと同じ方向に更に調整されている。この構成では、最大電流密度のビーム(異なるBTA276及びPBDA212を必要とする)を提供するように光学系全体を完全に最適化できないため、露光ビーム電流密度は、2000A/cm2まで減少しており、5μC/cm2のレジスト感度に対して2.50nsの滞留時間を必要とする。領域2004は、2000A/cm2以上の電流密度に対応し、120nmの正方形である。区域2003において、露光量は5μC/cm2未満であり、したがってレジストは完全には露光されない。仮想源サイズ、(全次数に対する)色収差、及び(全次数に対する)球面収差の複合効果は、この計算において全て考慮されている。図20は、40nm正方形ビームの生成に最適化された図2Aの光学システムを、120nm正方形ビームの生成にも使用できることを実証している。図20に示した性能は、図10A乃至15に示した、最適化済みの40nmの場合より僅かに長い滞留時間により、解像度120nmでの特徴部のパターン形成を十分に可能にする。120nm正方形ビームでは、2μm正方形サブフィールドを256回のフラッシュにより完全に描画可能であり(中心間間隔125nm)、これは、ボンディングパッド等、描画対象である広い面積を埋めるために必要となる。 FIG. 20 shows the calculated exposure dose at position A in FIG. 9 by a single flash of a 120 nm square electron beam using the beam pattern limiting aperture 212 of FIG. 8A. The coordinate axes on the substrate surface 221 are X2001 and Y2002. In this example, the optical system at the top of the barrel is further adjusted in the same direction as shown in FIG. 19 to provide a beam 2004 that is three times as large (120 nm) as in FIG. 10A. In this configuration, the exposure beam current density is reduced to 2000 A / cm 2 because the entire optical system cannot be fully optimized to provide the highest current density beam (requires different BTA 276 and PBDA 212). A residence time of 2.50 ns is required for a resist sensitivity of 5 μC / cm 2 . Region 2004 corresponds to a current density of 2000 A / cm 2 or higher and is a 120 nm square. In area 2003, the exposure dose is less than 5 μC / cm 2 and therefore the resist is not fully exposed. The combined effects of virtual source size, chromatic aberration (for all orders), and spherical aberration (for all orders) are all considered in this calculation. FIG. 20 demonstrates that the optical system of FIG. 2A optimized for the generation of a 40 nm square beam can also be used for the generation of a 120 nm square beam. The performance shown in FIG. 20 fully enables feature patterning at 120 nm resolution with a slightly longer dwell time than the optimized 40 nm case shown in FIGS. 10A-15. With a 120 nm square beam, a 2 μm square subfield can be completely drawn with 256 flashes (inter-center spacing of 125 nm), which is necessary to fill a wide area to be drawn, such as a bonding pad.

図21Aは、正方形ビームサイズ2103に対する、図2Aの鏡筒におけるソースレンズ集束電圧2105(左軸2101)及び主レンズ集束電圧2104(右軸2102)のグラフである。ソースレンズ電圧2105は、第一のレンズ電極203とビーム制限アパーチャ204との両方に印加される。主レンズ電圧2104は、焦点2支持電極240に印加され、八極子電極241乃至248に対する共通モード電圧でもある。図21Aは、30nm乃至120nmに及ぶ様々な所望の正方形ビームサイズ2103に対する、多数のソースレンズ電圧2105及び主レンズ電圧2104の値を示す。曲線2105は、一般に2800eVより大きなエネルギであるソースチップ201からの入射電子のエネルギより遙かに低く、これは、ソースレンズが減速静電レンズであることを示している。曲線2104は、主レンズに入る電子のエネルギである5000eVの下方から上方までの範囲に及ぶ。主集束効果は、4906.5V乃至5217.2Vの範囲の焦点2組立体217と、50000eVでのウエハ221上における描画のために49986Vとなるフィールドフリー管218との間で発生する。   FIG. 21A is a graph of source lens focusing voltage 2105 (left axis 2101) and main lens focusing voltage 2104 (right axis 2102) in the barrel of FIG. 2A for square beam size 2103. A source lens voltage 2105 is applied to both the first lens electrode 203 and the beam limiting aperture 204. The main lens voltage 2104 is applied to the focus 2 support electrode 240 and is also a common mode voltage for the octupole electrodes 241 to 248. FIG. 21A shows a number of source lens voltage 2105 and main lens voltage 2104 values for various desired square beam sizes 2103 ranging from 30 nm to 120 nm. Curve 2105 is much lower than the energy of incident electrons from source chip 201, which is typically greater than 2800 eV, indicating that the source lens is a decelerating electrostatic lens. Curve 2104 ranges from below to above 5000 eV, which is the energy of electrons entering the main lens. The main focusing effect occurs between the focus 2 assembly 217 in the range of 4906.5V to 5217.2V and the field free tube 218 at 49986V for writing on the wafer 221 at 50000 eV.

図21Bは、正方形ビームサイズ2113に対する、ソースチップ201での半角2114(左軸2111)及びウエハ表面221でのビーム電流2115(右軸2112)のグラフである。ソースチップ201での半角2114は、ウエハ表面221でのビーム電流2115に対して次の関係を有する。   FIG. 21B is a graph of the half-angle 2114 (left axis 2111) at the source chip 201 and the beam current 2115 (right axis 2112) at the wafer surface 221 for a square beam size 2113. The half angle 2114 in the source chip 201 has the following relationship with the beam current 2115 on the wafer surface 221.

S=ビームパターン限定アパーチャ212を照射するのに使用される放射立体角度におけるソース角強度(通常、この角強度は、100μA/sr乃至500μA/sr超の範囲)。下記の表ではIS=500μA/srを仮定した。角強度は、一般に、光軸の数度の半角内で殆ど不変である。 I S = source angular intensity at the radial solid angle used to illuminate the beam pattern limited aperture 212 (usually this angular intensity ranges from 100 μA / sr to over 500 μA / sr). In the table below, I S = 500 μA / sr was assumed. The angular intensity is generally almost unchanged within a half angle of a few degrees of the optical axis.

α=ソースチップ201におけるビーム222の半角(単位:度)
beam=ウエハ表面221でのビーム電流
=IS[π(απ/180°)2
α = half angle of beam 222 in source chip 201 (unit: degree)
I beam = beam current at wafer surface 221
= I S [π (απ / 180 °) 2 ]

Figure 2009531855
Figure 2009531855

図1のブロック106における光学設計は、可能な最大の電流密度を有する40nm正方形ビームを生成するように最適化されている。これは、表及び図21A乃至21Dに示した他のビームサイズ(30nm、80nm、及び120nm)に対して光学系が最適化されていないことを意味する。これは、40nmより小さいビーム及び大きいビームの両方について、表で確認される電流密度の低下が生じる理由である(図21Cの曲線2125参照)。図1のブロック106における光学設計は、40nmより大きい又は小さいビームに対して最適化可能であり、特に、将来のデバイス世代に対するツールの拡張性のために、30nm又は更に小さなものに対して最適化を実行できる。この場合、大きなビームに対する性能は、低下する可能性が高いが、大幅には低下しないと想われる。   The optical design in block 106 of FIG. 1 has been optimized to produce a 40 nm square beam with the highest possible current density. This means that the optics are not optimized for the other beam sizes (30 nm, 80 nm, and 120 nm) shown in the table and FIGS. 21A-21D. This is the reason for the decrease in current density identified in the table for both smaller and larger beams (see curve 2125 in FIG. 21C). The optical design in block 106 of FIG. 1 can be optimized for beams larger or smaller than 40 nm, particularly optimized for 30 nm or smaller for tool scalability for future device generations. Can be executed. In this case, the performance for large beams is likely to decline, but is not expected to decline significantly.

図21Cは、正方形ビームサイズ2123に対する、フラッシュ時間2124(左軸2121)及びウエハ表面221(レジスト感度5μC/cm2を仮定)における電流密度2125(右軸2122)のグラフである。フラッシュ時間及び電流密度は、反比例の関係を有する。
(電流密度)=(5μC/cm2)/(フラッシュ時間)
FIG. 21C is a graph of current density 2125 (right axis 2122) at flash time 2124 (left axis 2121) and wafer surface 221 (assuming 5 μC / cm 2 resist sensitivity) versus square beam size 2123. Flash time and current density have an inverse relationship.
(Current density) = (5 μC / cm 2 ) / (flash time)

したがって、電流密度2125が増加すると、フラッシュ時間2124は逆に減少する。ここでも、光学設計は40nmビームに最適化されているため、他の全てのビームサイズでの性能は、40nmより大きいものと小さいものとの両方について、フラッシュ時間2124の面で劣っている(最短のフラッシュ時間が最善である)。40nmから30nmまでの劣化が最も顕著であり、30nmに最適化された光学設計が40nm以上で非常に良好に機能し得ることを示している(但し、図21Cには図示していない。)予想されるように、ビームサイズが最適なサイズに近づくほど、フラッシュ時間の点で性能は良好になる。   Thus, as the current density 2125 increases, the flash time 2124 decreases conversely. Again, because the optical design is optimized for a 40 nm beam, the performance at all other beam sizes is inferior in terms of flash time 2124 for both larger and smaller 40 nm (shortest) Flash time is best). Degradation from 40 nm to 30 nm is most noticeable, indicating that an optical design optimized for 30 nm can function very well above 40 nm (though not shown in FIG. 21C). As can be seen, the closer the beam size is to the optimal size, the better the performance in terms of flash time.

図21Dは、正方形ビームサイズ2133に対する、仮想源の倍率2134(左軸2131)のグラフである。倍率2134は、仮想源の像によって正方形ビームの角隅部が丸められる量を決定し、倍率2134が低いほど、角隅部が鋭くなる。ショットキ電子源において、仮想源の半径は10nmであるため、倍率0.17倍は、仮想源による正方形ビーム角隅部の半径(0.17)(10nm)=1.7nmに対応する。色収差及び幾何収差により、更に丸み付けが行われる。   FIG. 21D is a graph of virtual source magnification 2134 (left axis 2131) versus square beam size 2133. FIG. The magnification 2134 determines the amount by which the corners of the square beam are rounded by the virtual source image. The lower the magnification 2134, the sharper the corners. In the Schottky electron source, since the radius of the virtual source is 10 nm, the magnification of 0.17 corresponds to the radius (0.17) (10 nm) = 1.7 nm of the square beam corner by the virtual source. Further rounding occurs due to chromatic and geometric aberrations.

図22は、近接効果補正を実現するためにサブフィールド毎の露光量を変化させるのに使用可能なビームブランキング戦略の図である。簡略化のため、図22において、上部ブランカは、二枚の平坦な電極2202及び2203とし、ビーム222の各側に一枚ずつ図示した。同様に、下部ブランカは、二枚の平坦な電極2204及び2205とし、ビーム222の各側に一枚ずつ図示した。ソースチップ201から放出された電子は、ソースレンズ2201により集束され、BDA取り付け部211により支持されたビーム限定アパーチャ(BDA)212を照射する略平行なビーム222となる。図(a)は、ブランキングされていないビーム222を示しており、ビーム限定アパーチャ212を通過して光学鏡筒の下部へ入り、そこで主レンズ組立体によりウエハ表面221に集束される。この場合、ブランカプレート2202、2203、2204、及び2205は、同じ電圧(通常5000V)であり、そのため、横電場を誘導しない。横電場が無い状態では、ブランカにおいてビームの偏向は生じない。   FIG. 22 is a diagram of a beam blanking strategy that can be used to vary the exposure per subfield to achieve proximity effect correction. For simplicity, in FIG. 22, the upper blanker is shown as two flat electrodes 2202 and 2203, one on each side of the beam 222. Similarly, the lower blanker is shown as two flat electrodes 2204 and 2205, one on each side of the beam 222. The electrons emitted from the source chip 201 are converged by the source lens 2201 and become a substantially parallel beam 222 that irradiates a beam limited aperture (BDA) 212 supported by the BDA attachment unit 211. Figure (a) shows an unblanked beam 222 that passes through a beam limiting aperture 212 into the lower part of the optical column where it is focused on the wafer surface 221 by the main lens assembly. In this case, the blanker plates 2202, 2203, 2204, and 2205 are at the same voltage (usually 5000V) and therefore do not induce a transverse electric field. In the absence of a transverse electric field, no beam deflection occurs in the blanker.

図(b)は、ブランキングされたビームを示す。電極2202の電圧は、+1.7V変更され、電極2203の電圧は、−1.7V変更されており、これにより、上部ブランカを通過する際にビーム222を上方に偏向させる横電場2240が形成される。同様に、電極2204の電圧は、−1.54V変更され、電極2205の電圧は、+1.54V変更されており、下部ブランカを通過する際にビームを下方に偏向させる、上部ブランカのものとは反対方向の横電場2241を形成している。二つの偏向の最終結果として、ビーム222は軸外でビームパターン限定アパーチャ(PBDA)212の平面に到達し、開口部を通過しない。上部及び下部ブランカの電圧の適切な調整により、仮想源の位置は軸上に残り、共役ブランキングを提供する。   Figure (b) shows the blanked beam. The voltage of the electrode 2202 has been changed by + 1.7V, and the voltage of the electrode 2203 has been changed by -1.7V, thereby forming a lateral electric field 2240 that deflects the beam 222 upward as it passes through the upper blanker. The Similarly, the voltage on electrode 2204 has been changed by -1.54V, and the voltage on electrode 2205 has been changed by + 1.54V, which is the upper blanker that deflects the beam downwards as it passes through the lower blanker. A transverse electric field 2241 in the opposite direction is formed. The net result of the two deflections is that the beam 222 reaches the plane of the beam pattern limited aperture (PBDA) 212 off axis and does not pass through the opening. With proper adjustment of the upper and lower blanker voltages, the position of the virtual source remains on the axis, providing conjugate blanking.

図(c)は、近接効果補正のための方法の一部として、ウエハ表面221での露出量を制御するために可能な方法を示すタイミング図である。ビーム222の中心は、PBDA212において三つの可能な位置として、+d、0(非ブランキング)、及び−dを有する。ビームは、PBDA212を掃射する際に、−dと+dとの間の中間位置を動的に有し得る。各期間の長さがTである五つの間隔2221乃至2225を図示しており、合計5Tを時間軸2245に沿って図示している。PBDA212での変位を、軸2210にプロットしている。近接効果補正(PEC)の要件によれば、間隔2222、2224、及び2225に示したように、様々なサブフィールドに対する線量を変化させる必要が生じ得る。   FIG. 8C is a timing diagram illustrating a possible method for controlling the exposure on the wafer surface 221 as part of the method for proximity effect correction. The center of beam 222 has three possible positions in PBDA 212: + d, 0 (non-blanking), and -d. The beam may dynamically have an intermediate position between -d and + d when sweeping the PBDA 212. Five intervals 2221 to 2225 each having a length of T are illustrated, and a total of 5T is illustrated along the time axis 2245. The displacement at PBDA 212 is plotted on axis 2210. Proximity effect correction (PEC) requirements may require changing the dose for various subfields, as shown at intervals 2222, 2224, and 2225.

(1)第一の間隔2221において、ビームは、図(b)に示したように距離+dで軸外に保持することによりブランキングされる。   (1) At the first interval 2221, the beam is blanked by being held off-axis at a distance + d as shown in FIG.

(2)第二の間隔2222は、ビーム222をPBDA212全体で直線的に傾斜2232させる高線量ブランキング信号を示している。傾斜2232は間隔期間Tを全て費やすため、近接効果補正が本質的に必要ない、パターンがまばらな領域における描画に対応する最大可能露光量を表す。   (2) A second interval 2222 indicates a high-dose blanking signal that causes the beam 222 to linearly tilt 2232 across the PBDA 212. Since the slope 2232 spends the entire interval period T, it represents the maximum possible exposure amount corresponding to the drawing in the sparse pattern area where the proximity effect correction is essentially unnecessary.

(3)第三の間隔2223は、間隔2221とは別のブランキング位置を示す。間隔2223において、ビームは、図(b)の(光軸を中心とした)鏡像に対応する距離+d2233の位置に保持される。   (3) The third interval 2223 indicates a blanking position different from the interval 2221. At the interval 2223, the beam is held at a position of a distance + d2233 corresponding to the mirror image (centered on the optical axis) in FIG.

(4)第四の間隔2224は、非常に低い線量のブランキング信号を示し、ビーム222はビーム限定アパーチャ212全体で−dから+dまで素早く傾斜2234し、その後、間隔期間Tの残りの間、+d2235に保持される。これは、大きな近接効果補正を有するパターンの密な領域における描画に対応する。   (4) The fourth interval 2224 shows a very low dose blanking signal, and the beam 222 ramps quickly 2234 from -d to + d across the beam limited aperture 212 and then for the remainder of the interval period T, Held at + d2235. This corresponds to drawing in a dense region of a pattern having a large proximity effect correction.

(5)最後の間隔2225は、間隔2222と2224との中間の状況を示しており、ビームは間隔2225の大部分で+dから−dへ傾斜2236し、その後、間隔期間Tの残りの間、−d2237に保持される。これは、間隔2224より低いが、間隔2222より高いパターン密度を有する領域における描画に対応する。   (5) The last interval 2225 shows an intermediate situation between intervals 2222 and 2224, where the beam slopes 2236 from + d to -d for the majority of interval 2225, and then for the remainder of interval period T, -Held at d2237. This corresponds to drawing in an area having a pattern density lower than the interval 2224 but higher than the interval 2222.

このブランキング手法で見込まれる利点は、電子的な実施の容易さであり、これは、パルス長においてサブnsの精度を有するnsブランキングパルスの生成が非常に困難な可能性があるためである。この手法の案では、傾斜率のみを制御すればよく、ブランカプレート2202、2203、2204、及び2205に印加される電圧において、短い立ち上がり及び立ち下がりの要件が存在しないため、必要なブランキング帯域幅は低くなる。   A possible advantage of this blanking technique is the ease of electronic implementation, because it can be very difficult to generate ns blanking pulses with sub-ns accuracy in pulse length. . In this proposed scheme, only the ramp rate needs to be controlled and there is no short rise and fall requirement in the voltage applied to the blanker plates 2202, 2203, 2204, and 2205, so the required blanking bandwidth. Becomes lower.

別のブランキング方式では、ビームを第一のブランキング位置(例えば、+d)から光軸へ素早く偏向させる(これによりビームのブランキングを解除する)、従来に近い手法を採用する。必要な露光時間に亘ってビームがPBDA212の中心に留まった後、ビームを第二のブランキング位置(例えば、−d)へ素早く偏向させる。この手法の欠点は、スルーレートがピクセル露出時間の誤差の可能性を意味するようになるため、高帯域幅のブランカが必要となることである。第一のブランキング位置から移動して第二のブランキング位置で終了することの利点は、PBDA212の全ての地点が同じ合計ビーム滞留時間を有し、これにより、成形ビーム全体で線量が均一になることである。   Another blanking method employs a conventional technique in which a beam is quickly deflected from a first blanking position (for example, + d) to the optical axis (this cancels the blanking of the beam). After the beam remains at the center of the PBDA 212 for the required exposure time, the beam is quickly deflected to a second blanking position (eg, -d). The disadvantage of this approach is that a high bandwidth blanker is required because the slew rate becomes implied by a possible pixel exposure time error. The advantage of moving from the first blanking position and ending at the second blanking position is that all points on the PBDA 212 have the same total beam dwell time, which results in a uniform dose across the shaped beam. It is to become.

露光対象となる次のピクセルに対しては、第一のブランキング位置は−dとなり、第二のブランキング位置は+dとなる。連続するピクセルは、図22に示したようにビームをPBDA212全体で往復させ、交互のブランキング位置を使用して露光させる。   For the next pixel to be exposed, the first blanking position is -d and the second blanking position is + d. Consecutive pixels are exposed using alternating blanking positions by reciprocating the beam across the PBDA 212 as shown in FIG.

図23は、焦点1及び焦点2八極子電圧のために計算された設定を示す主レンズの断面接近側面図である。ビーム222は、サブフィールド偏向器/非点補正器215を出た後、主レンズに入る。この地点のビームは、既に最大±20μm軸外に偏向されている場合があり、軸外幾何収差(コマ、非点収差、像面の湾曲、歪み)及び軸外色収差(倍率の変化)を回避するために、焦点1組立体216及び焦点2組立体217により生成された静電場も、±20μmだけ軸外に移動させる必要がある。従来技術では、「移動対物レンズ」又は「可変軸レンズ」を達成するために、様々な複雑な方式を利用しており、軸上の静電及び/又は磁気レンズフィールドの高次導関数を利用して、双極子、四極子、六極子、八極子、及び高次場の軸上レンズフィールドへの印加を制御し、有効レンズ軸をオフセットさせて、ビーム偏向と一致させる。成形ビームの大きな軸外偏向が必要である場合、こうした追加光学要素の一部又は全部を利用する、より複雑な移動レンズ方式を取り入れる必要が生じ得る。本発明では、純粋な双極子場を焦点1 216及び焦点2 217の場に追加する遙かに単純な手法を提案している。   FIG. 23 is a cross-sectional close-up side view of the main lens showing the settings calculated for the focus 1 and focus 2 octupole voltages. The beam 222 exits the subfield deflector / astigmatism 215 and then enters the main lens. The beam at this point may already be deflected up to ± 20 μm off-axis, avoiding off-axis geometric aberrations (coma, astigmatism, curvature of field, distortion) and off-axis chromatic aberration (magnification change). In order to do this, the electrostatic fields generated by the focus 1 assembly 216 and the focus 2 assembly 217 also need to be moved off-axis by ± 20 μm. The prior art uses various complex schemes to achieve a “moving objective lens” or “variable axis lens”, and uses higher order derivatives of the on-axis electrostatic and / or magnetic lens field. Then, the application of dipoles, quadrupoles, hexapoles, octupoles, and higher-order fields to the on-axis lens field is controlled, and the effective lens axis is offset to match the beam deflection. If a large off-axis deflection of the shaped beam is required, it may be necessary to incorporate a more complex moving lens scheme that utilizes some or all of these additional optical elements. The present invention proposes a much simpler approach to adding a pure dipole field to the focus 1 216 and focus 2 217 fields.

焦点1組立体216は、支持電極230と八極子電極231乃至238とを備える(図23の断面図では、電極232及び237のみが見える)。図3K乃至3Lの説明において、静電場をオフセットさせてビーム偏向に一致させるのに利用した様々な電圧について説明した。図23は、結果として生じた静電等電位線を示す。線2301は、サブフィールド偏向器/非点補正器215と焦点1電極組立体216との間の領域内へ膨らんでおり、線2310は、焦点1組立体216と焦点2組立体217との間の領域へ膨れ出ている。線2301及び2310の形状は、サブフィールド偏向器/非点補正器215と、支持電極230と、八個の焦点1八極子電極231乃至238との電圧により決定される。焦点2組立体217の電圧は、線2301に対する影響は小さいが、線2310に対しては重要な影響を有する。八個の八極子電極231乃至238の内径(ID)は、支持電極230のIDより小さく、電極231乃至238は、等電位線2301及び2310の位置及び形状に対して支配的な影響を有するようになる。小さな(3V未満)静電双極子要素を、八極子電極231乃至238に対して、その5000Vの共通モード電圧(図3Kの説明の表を参照)の上に追加することで、線2301及び2310を軸外に±20μm移動させ、メインフィールド偏向器213及び214により生じるビーム偏向に一致させることが可能となる。半径方向で軸外のビーム位置は、位置2305において理論的に決定され、その後、電極231乃至238の電圧を調整して、焦点1組立体216を通過する時のビーム222の偏向を全て除去する。ビーム偏向の欠如は、線2301が適切にオフセットされ、ビーム222のオフセットと一致していることを示すものと解釈される。   The focus 1 assembly 216 includes a support electrode 230 and octupole electrodes 231 to 238 (only the electrodes 232 and 237 are visible in the cross-sectional view of FIG. 23). In the description of FIGS. 3K-3L, the various voltages used to offset the electrostatic field to match the beam deflection have been described. FIG. 23 shows the resulting electrostatic equipotential lines. Line 2301 bulges into the area between the subfield deflector / astigmatism 215 and the focus 1 electrode assembly 216, and line 2310 is between the focus 1 assembly 216 and the focus 2 assembly 217. Bulges into the area. The shape of the lines 2301 and 2310 is determined by the voltages at the subfield deflector / astigmatism corrector 215, the support electrode 230, and the eight focus 1 octupole electrodes 231-238. The voltage at the focal point 2 assembly 217 has a small effect on the line 2301 but has an important effect on the line 2310. The inner diameter (ID) of the eight octupole electrodes 231 to 238 is smaller than the ID of the support electrode 230, and the electrodes 231 to 238 seem to have a dominant influence on the position and shape of the equipotential lines 2301 and 2310. become. Lines 2301 and 2310 are added by adding a small (less than 3V) electrostatic dipole element over the 5000V common mode voltage (see the description table of FIG. 3K) for the octupole electrodes 231-238. Can be moved ± 20 μm off-axis to match the beam deflection caused by the main field deflectors 213 and 214. The radial and off-axis beam position is theoretically determined at position 2305, after which the voltage on electrodes 231 through 238 is adjusted to eliminate any deflection of beam 222 as it passes through focus 1 assembly 216. . The lack of beam deflection is taken to indicate that line 2301 is properly offset and coincides with the offset of beam 222.

ビーム222は、焦点1組立体216を退出後、焦点2組立体217に入る。この地点のビーム222は、焦点1組立体216により偏向除去された状態となるべきであり(上記設定手順が正しく行われた場合)、そのためビーム222は、±20μmまで軸外に偏向し得る。焦点2組立体217は、支持電極240と八極子電極241乃至248とを備える(図23の断面図では、電極242及び247のみが見える)。図3Lにおいて、静電場をオフセットさせてビーム偏向に一致させるのに利用した様々な電圧について説明した。図23は、結果として生じた静電等電位線を示す。線2303及び2310は、焦点1組立体216と焦点2組立体217との間の領域へ膨らんでいる。線2303及び2310の形状は、八個の焦点1八極子電極231乃至238と、支持電極240と、八個の焦点2八極子電極241乃至248との電圧により決定される。フィールドフリー管218の電圧は、線2303及び線2302の間隔に対する影響が小さい。八個の焦点2八極子電極241乃至248のIDは、支持電極240のIDより大幅に小さく、電極241乃至248は、線2303及び2310の位置及び形状に対して支配的な影響を有するようになる。静電双極子要素(約100V)を、電極241乃至248に対して、その4900乃至5200Vの共通モード電圧(図3Lの説明の表を参照)の上に追加することで、線2303及び2310を軸外に移動させ、メインフィールド偏向器213及び214により生じるビーム偏向に一致させることが可能となる。半径方向で軸外のビーム位置は、位置2306において理論的に決定され、その後、電極241乃至248の電圧を調整して、焦点2組立体217を通過する時のビーム222の偏向を殆ど全て除去する。ビーム偏向の欠如は、線2303及び2301が適切にオフセットされ、ビーム222のオフセットと一致していることを示すものと解釈される。   Beam 222 enters focus 2 assembly 217 after exiting focus 1 assembly 216. The beam 222 at this point should be deviated and removed by the focus 1 assembly 216 (if the above setting procedure is performed correctly), so that the beam 222 can be deflected off axis by ± 20 μm. The focal point 2 assembly 217 includes a support electrode 240 and octupole electrodes 241 to 248 (only the electrodes 242 and 247 are visible in the cross-sectional view of FIG. 23). In FIG. 3L, the various voltages used to offset the electrostatic field to match the beam deflection have been described. FIG. 23 shows the resulting electrostatic equipotential lines. Lines 2303 and 2310 bulge into the area between the focus 1 assembly 216 and the focus 2 assembly 217. The shapes of the lines 2303 and 2310 are determined by the voltages at the eight focal point 1 octupole electrodes 231 to 238, the support electrode 240, and the eight focal point 2 octupole electrodes 241 to 248. The voltage of the field free tube 218 has a small influence on the distance between the lines 2303 and 2302. The IDs of the eight focal two octupole electrodes 241-248 are significantly smaller than the ID of the support electrode 240 so that the electrodes 241-248 have a dominant influence on the position and shape of the lines 2303 and 2310. Become. By adding an electrostatic dipole element (approximately 100V) to the electrodes 241-248 above its 4900-5200V common mode voltage (see the description table in FIG. 3L), lines 2303 and 2310 are drawn. It can be moved off-axis to match the beam deflection caused by the main field deflectors 213 and 214. The radial and off-axis beam position is theoretically determined at position 2306, and then the voltages on electrodes 241 through 248 are adjusted to remove almost all deflection of beam 222 as it passes through focus 2 assembly 217. To do. The lack of beam deflection is taken to indicate that lines 2303 and 2301 are properly offset and coincide with the offset of beam 222.

焦点1八極子231乃至238及び焦点2八極子241乃至248に対する電圧を設定する上記の手順は、理論的に決定されている。実際には、位置2305及び2306での偏向を両方とも除去する前に、通常、焦点1 216の設定と焦点2 217の設定とを少なくとも二回の反復が必要となる。電子光学モデリングにおいて、八極子231乃至238及び241乃至248の双極子電圧は、ビームのオフセットに対して直線的に変化し、ウエハ221でのビーム形状に対する最終結果の感度は、過剰なものにはならない。このため、理論モデリング(図3K及び3Lの説明内の表)により得られた電圧は、図17A及び17Bにおいて説明した線走査手順と併せて、このレンズオフセット方式の提案を実現するのに十分となるべきである。   The above procedure for setting the voltages for the focus 1 octupoles 231 to 238 and the focus 2 octupoles 241 to 248 has been determined theoretically. In practice, it typically requires at least two iterations of setting the focus 1 216 and setting the focus 2 217 before removing both deflections at the positions 2305 and 2306. In electro-optic modeling, the dipole voltages of the octupoles 231 to 238 and 241 to 248 vary linearly with respect to the beam offset, and the final sensitivity to the beam shape at the wafer 221 is excessive. Don't be. For this reason, the voltage obtained by theoretical modeling (the tables in the description of FIGS. 3K and 3L) is sufficient to realize the proposal of this lens offset method in combination with the line scanning procedure described in FIGS. 17A and 17B. Should be.

図24は、ウエハステージ及び位置センサの一実施形態の模式図である。リソグラフィシステムにおいて、基板は、ここでは300mmウエハ2401として図示しており、通常、XY運動が可能であると共に、場合によっては、ヨーイング(ウエハに垂直なZ軸を中心とした回転)、Z運動、ロール及びピッチ(ウエハの平面における二本の垂直軸X及びYを中心とした回転)といった追加の運動軸が可能である精密ステージ2402上に取り付けられる。ここでは、第一の三本の軸線であるX、Y、及びヨーイングにおける運動のみを考慮する。Y干渉計#1 2406及びY干渉計#2 2407は、それぞれのレーザビーム2416及び2417をステージミラー2404へ送る。ウエハ2401とミラー2404との間の何らかの相対運動は、ウエハ表面221におけるY方向及びヨーイング軸でのビーム222の位置決め精度に悪影響を与えるため、ウエハ2401がステージ2404に対して、しっかりクランプされること、及びミラー2404が極めて平坦であり、ステージ2402に堅く取り付けられることが重要である。X干渉計2405は、X方向でのビーム位置決め誤差を回避するために極めて平坦且つステージ2402に堅く取り付けられる必要があるステージミラー2403へ、レーザビーム2415を送る。ステージ2402のX及びY軸の移動は、ミラー2403及び2404の相対的な位置決めにより定められ、これらのミラーが互いに垂直ではない場合、X及びY軸も垂直ではなくなる。以下の式を使用して、ステージ2402の中心2422と相対的なウエハ2401のX、Y、及びヨーイング位置を計算する。   FIG. 24 is a schematic diagram of an embodiment of a wafer stage and a position sensor. In a lithographic system, the substrate is illustrated here as a 300 mm wafer 2401, which is typically capable of XY motion and, in some cases, yawing (rotation about the Z axis perpendicular to the wafer), Z motion, Additional motion axes such as roll and pitch (rotation about two vertical axes X and Y in the plane of the wafer) are mounted on a precision stage 2402 that is capable. Here, only the movements in the first three axes X, Y, and yawing are considered. Y interferometer # 1 2406 and Y interferometer # 2 2407 send respective laser beams 2416 and 2417 to stage mirror 2404. Any relative movement between the wafer 2401 and the mirror 2404 adversely affects the positioning accuracy of the beam 222 in the Y direction and yawing axis on the wafer surface 221, so that the wafer 2401 is securely clamped with respect to the stage 2404. , And the mirror 2404 is very flat and is firmly attached to the stage 2402. The X interferometer 2405 sends the laser beam 2415 to a stage mirror 2403 that needs to be very flat and rigidly attached to the stage 2402 to avoid beam positioning errors in the X direction. The movement of the stage 2402 in the X and Y axes is determined by the relative positioning of the mirrors 2403 and 2404, and if these mirrors are not perpendicular to each other, the X and Y axes are also not perpendicular. The X, Y, and yaw positions of the wafer 2401 relative to the center 2422 of the stage 2402 are calculated using the following equations:

Y=[(Y干渉計#1 2406データ)+(Y干渉計#2 2407データ)]/2×K1
X=(X干渉計2405データ)×K2
ヨーイング=[(Y干渉計#1 2406データ)−(Y干渉計#2 2407データ)]/2×K3
1、K2、及びK3は、スケール係数である。
Y = [(Y interferometer # 1 2406 data) + (Y interferometer # 2 2407 data)] / 2 × K 1
X = (X interferometer 2405 data) × K 2
Yawing = [(Y interferometer # 1 2406 data) − (Y interferometer # 2 2407 data)] / 2 × K 3
K 1 , K 2 , and K 3 are scale factors.

鏡筒配列内の各鏡筒は、2420及び2421等の独自のXY変位ベクトルをステージ中心2422から有する。次に、以下のデータを組み合わせることで、特定の鏡筒と相対的なウエハの各ダイの位置を計算する。   Each column in the column array has its own XY displacement vector from stage center 2422 such as 2420 and 2421. Next, by combining the following data, the position of each die on the wafer relative to a specific lens barrel is calculated.

1)(0,0,0)位置からのステージの(X,Y,ヨーイング)位置
2)ステージ(0,0,0)位置からの特定の鏡筒の(X,Y)変位ベクトル
3)ステージ上のウエハの(X,Y,ヨーイング)位置(ウエハ上の幾つかのアライメントマークを画像化することで測定)
1) (X, Y, yawing) position of stage from (0, 0, 0) position 2) (X, Y) displacement vector of specific lens barrel from stage (0, 0, 0) position 3) Stage (X, Y, yawing) position of the upper wafer (measured by imaging several alignment marks on the wafer)

このウエハ位置測定方式は、当業者に周知であり、複数の鏡筒の使用から付加的な考慮事項のみが生じる。複合ビーム鏡筒組立体での使用に適したウエハステージの例は、出典を明記することで本願明細書の一部とする、米国第6,355,994号に記載される。ウエハ位置測定方式を取り入れた制御システムの例は、出典を明記することで本願明細書の一部とする、米国特許出願第10/059,048号に記載される。   This wafer position measurement scheme is well known to those skilled in the art, and only additional considerations arise from the use of multiple barrels. An example of a wafer stage suitable for use in a composite beam column assembly is described in US Pat. No. 6,355,994, which is hereby incorporated by reference. An example of a control system that incorporates a wafer position measurement scheme is described in US patent application Ser. No. 10 / 059,048, which is incorporated herein by reference.

図25は、光学鏡筒及び制御電子機器の実施形態の模式図である(図2Aと比較せよ)。ソース及びレンズ制御部2510は、電子ソースチップ201と、ソースヒータフィラメント(図示無し)と、サプレッサ電極2501と、抽出電極202と、第一のソースレンズ電極203と、ビーム制限アパーチャ204と、第二ソースレンズ電極205とに電圧を印加する。アライメント偏向器制御部2512は、上部アライメント偏向器/非点補正器207内の八個の電極260乃至267と、下部アライメント偏向器208内の八個の電極268乃至275とに電圧を印加する。加速カラム制御部2513は、加速組立体209内の全ての電極と、光学系取り付けプレート210とに電圧を印加する。ビームブランカドライバ2511は、上部ブランカ277内の電極280乃至282と、下部ブランカ278内の電極283乃至285とに電圧を印加する。メインフィールド偏向器制御部2514は、上部メインフィールド偏向器213内の電極4001、4009、4012、及び4020に対して四つの電圧を印加し、下部メインフィールド偏向器214内の電極4101、4109、4112、及び4120に対して同じ四つの電圧(反対の極性に接続――図3H及び3I参照)を印加する。サブフィールド偏向器/非点補正器制御部2515は、サブフィールド偏向器/非点補正器215の八個の電極223乃至230に電圧を供給する。主レンズ及びウエハバイアス制御部2516は、焦点1電極組立体216の九個の電極230乃至238と、焦点2電極組立体217の九個の電極240乃至248と、フィールドフリー管218と、電圧コントラストプレート220と、ウエハ221とに電圧を供給する。主レンズ及びウエハバイアス制御2516は、更に、共通モード電圧を検出器制御部2517に供給する。検出器制御部2517は、バイアス電圧を検出器組立体219に供給する。   FIG. 25 is a schematic diagram of an embodiment of an optical column and control electronics (compare with FIG. 2A). The source and lens control unit 2510 includes an electronic source chip 201, a source heater filament (not shown), a suppressor electrode 2501, an extraction electrode 202, a first source lens electrode 203, a beam limiting aperture 204, a second A voltage is applied to the source lens electrode 205. The alignment deflector control unit 2512 applies a voltage to the eight electrodes 260 to 267 in the upper alignment deflector / astigmatism corrector 207 and the eight electrodes 268 to 275 in the lower alignment deflector 208. The acceleration column controller 2513 applies a voltage to all the electrodes in the acceleration assembly 209 and the optical system mounting plate 210. The beam blanker driver 2511 applies a voltage to the electrodes 280 to 282 in the upper blanker 277 and the electrodes 283 to 285 in the lower blanker 278. The main field deflector controller 2514 applies four voltages to the electrodes 4001, 4009, 4012, and 4020 in the upper main field deflector 213, and the electrodes 4101, 4109, 4112 in the lower main field deflector 214. , And 4120 are applied with the same four voltages (connected to opposite polarities—see FIGS. 3H and 3I). The subfield deflector / astigmatism corrector controller 2515 supplies a voltage to the eight electrodes 223 to 230 of the subfield deflector / astigmatism corrector 215. The main lens and wafer bias controller 2516 includes nine electrodes 230 to 238 of the focus 1 electrode assembly 216, nine electrodes 240 to 248 of the focus 2 electrode assembly 217, the field free tube 218, and voltage contrast. A voltage is supplied to the plate 220 and the wafer 221. The main lens and wafer bias control 2516 further supplies a common mode voltage to the detector controller 2517. The detector control unit 2517 supplies a bias voltage to the detector assembly 219.

複合鏡筒組立体において、複数のビームの全てが同じ加速カラムを通過する場合、必要な加速カラム制御部2513は、一つのみとなる場合がある。一部の実施形態では、単一のメインフィールド偏向器制御部2514を利用可能となる場合がある。他の鏡筒制御部2510乃至2512及び2515乃至2517は、一般に一つの鏡筒のみに適用する。   In the compound barrel assembly, when all of the plurality of beams pass through the same acceleration column, only one acceleration column controller 2513 may be necessary. In some embodiments, a single main field deflector controller 2514 may be available. The other lens barrel controllers 2510 to 2512 and 2515 to 2517 are generally applied to only one lens barrel.

図26は、データ経路及びシステム制御電子機器の一実施形態の概略図である。データブロック2601は、三つの干渉計2405、2406、及び2407から、それぞれX、Y1、及びY2データ(図24参照)を、三つの高速データリンクX2602、(Y1+Y2)/2 2603、及び(Y1−Y2)/2 2604に沿って、データ経路及びシステム制御電子機器へ供給する。データリンクX2602及び(Y1+Y2)/2 2603は、ブロック2605に接続し、ここでは鏡筒配列の中心の(X,Y)位置と相対的なウエハステージ2402の中心の(X,Y)位置を決定する。データリンク(Y1−Y2)/2 2604は、ブロック2606に接続し、ここでは鏡筒配列2640と相対的なウエハステージ2402のヨーイング角を決定する。ブロック2607は、前もって経験的に決定された、鏡筒配列2640内の鏡筒(i,j)のそれぞれの(X,Y)座標を含み、この(X,Y)座標データは、データリンク2609によりブロック2608へ供給され、ここではデータリンク2609、2610、及び2641により供給されたデータを組み合わせて、ウエハ2401と相対的な各鏡筒の(X,Y)位置を決定する。ブロック2614は、ブロック2608からのデータを使用して、各鏡筒(i,j)により描画するべきサブフィールドの(X,Y)座標を決定する。ブロック2618は、データリンク2619を介して、ブロック2614により生成された(X,Y)サブフィールド座標を受領する。(X,Y)サブフィールド座標を与えられた後、ブロック2618は、パターンライブラリ記憶部2615から、データリンク2616を介して、サブフィールドパターンデータを受領し、ここで、各鏡筒には、(ストライプ幅50μm)/(サブフィールド幅2μm)=25組のサブフィールドパターンデータセットが必要になる。図示した実施形態において、6×6=36の鏡筒が存在するため、データリンク2616を介してブロック2618へダウンロードされるデータセットの総数は、25×36=900組のサブフィールドデータセットとなる。ブロック2618は、データリンク2617を介してシステム制御コンピュータ2650に接続される。ブロック2618からのサブフィールドパターンデータは、データリンク2620を介してデータプロセッサ2621へ送信される。データプロセッサ2621から、サブフィールドパターンデータは、36本の並列データリンク2622において、ストライプデータバッファ2623(各鏡筒に一つ)へ供給され、このデータが描画に備えてバッファリングされる。鏡筒コントローラ2625(各鏡筒に一つ)は、36本のデータリンク2624を介してこのデータを受領する。36個の鏡筒コントローラ2625は、データリンク2626を介して、サブフィールドデータを図26に示した様々な鏡筒電源、即ち、ソース及びレンズ制御部2510と、アライメント偏向器制御部2512と、ビームブランカドライバ2511と、メインフィールド偏向器制御部2514と、サブフィールド偏向器/非点補正器制御部2515と、主レンズ及びウエハバイアス制御部2516と、検出器制御部2517とへ供給する。   FIG. 26 is a schematic diagram of one embodiment of a data path and system control electronics. Data block 2601 receives X, Y1, and Y2 data (see FIG. 24) from three interferometers 2405, 2406, and 2407, respectively, and three high-speed data links X2602, (Y1 + Y2) / 2 2603, and (Y1- Y2) / 2 along 2604 to the data path and system control electronics. Data links X2602 and (Y1 + Y2) / 2 2603 are connected to block 2605, which determines the (X, Y) position of the center of wafer stage 2402 relative to the (X, Y) position of the center of the lens barrel array. To do. Data link (Y1-Y2) / 2 2604 connects to block 2606, which determines the yaw angle of wafer stage 2402 relative to column array 2640. Block 2607 includes the (X, Y) coordinates of each of the lens barrels (i, j) in the lens barrel array 2640, determined in advance empirically, and the (X, Y) coordinate data is data link 2609. To the block 2608, where the data supplied by the data links 2609, 2610, and 2641 are combined to determine the (X, Y) position of each barrel relative to the wafer 2401. Block 2614 uses the data from block 2608 to determine the (X, Y) coordinates of the subfield to be drawn by each barrel (i, j). Block 2618 receives the (X, Y) subfield coordinates generated by block 2614 via data link 2619. After being given (X, Y) subfield coordinates, block 2618 receives subfield pattern data from pattern library storage 2615 via data link 2616, where each column contains ( Stripe width 50 μm) / (subfield width 2 μm) = 25 subfield pattern data sets are required. In the illustrated embodiment, since there are 6 × 6 = 36 barrels, the total number of data sets downloaded to the block 2618 via the data link 2616 is 25 × 36 = 900 subfield data sets. . Block 2618 is connected to system control computer 2650 via data link 2617. Subfield pattern data from block 2618 is transmitted to data processor 2621 via data link 2620. The subfield pattern data is supplied from the data processor 2621 to the stripe data buffer 2623 (one for each lens barrel) in 36 parallel data links 2622, and this data is buffered in preparation for drawing. The lens barrel controller 2625 (one for each lens barrel) receives this data via 36 data links 2624. The 36 lens barrel controllers 2625 transmit the subfield data via the data link 2626 to various lens power sources shown in FIG. 26, that is, the source and lens control unit 2510, the alignment deflector control unit 2512, the beam The blanker driver 2511, the main field deflector controller 2514, the subfield deflector / astigmatism corrector controller 2515, the main lens and wafer bias controller 2516, and the detector controller 2517 are supplied.

図27A(a)は、(300mmウエハ及び6×6鏡筒配列の例において)50mm×50mm鏡筒描画領域2701を50μm幅のストライプ2702へどのように分割可能かを示している。領域2701内の描画ストライプ2702の数は次の通りである。   FIG. 27A (a) shows how a 50 mm × 50 mm barrel drawing area 2701 can be divided into 50 μm wide stripes 2702 (in the example of a 300 mm wafer and a 6 × 6 barrel arrangement). The number of drawing stripes 2702 in the area 2701 is as follows.

ストライプ数=(鏡筒間隔)/(ストライプ幅)
=(50mm)/(50μm)=1000描画ストライプ
Number of stripes = (Distance between lens barrels) / (Stripe width)
= (50 mm) / (50 μm) = 1000 drawn stripes

図(b)は、一般的な描画ストライプ2702の端部を示しており、個々の2μm正方形サブフィールド2703が図示されている。走査毎のサブフィールド2703の総数は、次の通りである。   FIG. (B) shows the end of a typical drawing stripe 2702, with individual 2 μm square subfields 2703 shown. The total number of subfields 2703 for each scan is as follows.

#サブフィールド/走査=(ストライプ幅)/(サブフィールド寸法)
=(50μm)/(2μm)=25サブフィールド
# Subfield / scan = (stripe width) / (subfield size)
= (50 μm) / (2 μm) = 25 subfields

一サブフィールドのクローズアップを図(c)に示しており、1nmのXYアドレスグリッドを右下隅で拡大している。図(d)は、1nmアドレスグリッド2704及び2705を示す。サブフィールド当たりのアドレスグリッド内のステップ数は、次の通りである。   A close-up of one subfield is shown in FIG. (C), with the 1 nm XY address grid enlarged in the lower right corner. Figure (d) shows 1 nm address grids 2704 and 2705. The number of steps in the address grid per subfield is as follows:

#アドレスステップ=(サブフィールド寸法)/(アドレスグリッド)
=(2μm)/(1nm)=2000≡211
#Address step = (Subfield size) / (Address grid)
= (2 μm) / (1 nm) = 2000≡2 11

一本の軸線において約211のアドレスグリッドステップにアドレスするには、次の数のアドレスビットが必要になる。
#アドレスビット=log2(#アドレスステップ)≡11ビット
To address about 2 11 address grid steps in one axis, it is necessary to address bits of the next number.
#Address bit = log 2 (#address step) ≡11 bits

アドレシングは二次元であるため、任意の2μm正方形サブフィールド2703内の何れかの場所にビーム位置を定めるには、合計22アドレスビットで十分となる。   Since addressing is two-dimensional, a total of 22 address bits is sufficient to position the beam anywhere in any 2 μm square subfield 2703.

図27Bは、XY配列内に位置決めされた多数の鏡筒により同時に50μm幅のストライプを描画する方法の実施形態の図である。図(a)は、300mmウエハ2401上で平行して描画を行うビーム2706の配列(それぞれが図2Aのビーム222に等しい)の斜視図である。各ビーム2706は、領域2701を描画する。   FIG. 27B is an illustration of an embodiment of a method for simultaneously drawing a 50 μm wide stripe with multiple lens barrels positioned in an XY array. FIG. 5A is a perspective view of an array of beams 2706 (each equal to the beam 222 in FIG. 2A) for drawing in parallel on a 300 mm wafer 2401. FIG. Each beam 2706 draws a region 2701.

図(b)は、一領域2701のクローズアップであり、領域2710を描画するビーム2706を示している。走査偏向2740は、ビーム2706をウエハ表面221に対して常に垂直に維持し、これによりテレセントリック走査を提供することに留意されたい。図27Aにおいて、各走査では、それぞれ2μm角の25個のサブフィールド2703を描画する。ウエハステージ2402は、交互に+Y、−Y、+Y...方向へ蛇行パターン2711で進行するが、ビーム走査は、X方向となる。走査2707の合間に、ウエハは、描画すべき次のストライプ2702の先頭へ、X方向に沿って段階的に進む。図(c)は、走査2712の詳細図であり、25個のサブフィールド2703と50μmの走査幅2715とを示している。走査2715の描画中、ステージは、当業者に周知の「オンザフライ書き込み」プロセスにおいて、距離2714を移動する。   FIG. 2B is a close-up of one area 2701 and shows a beam 2706 for drawing the area 2710. Note that scan deflection 2740 maintains beam 2706 always perpendicular to wafer surface 221, thereby providing telecentric scanning. In FIG. 27A, in each scan, 25 subfields 2703 each having a 2 μm square are drawn. The wafer stage 2402 is alternately + Y, -Y, + Y. . . The beam scans in the X direction, but proceeds in a meandering pattern 2711 in the direction. Between scans 2707, the wafer advances stepwise along the X direction to the beginning of the next stripe 2702 to be drawn. FIG. 7C is a detailed view of the scan 2712, showing 25 subfields 2703 and a scan width 2715 of 50 μm. During the drawing of scan 2715, the stage moves a distance 2714 in an "on-the-fly writing" process well known to those skilled in the art.

図27Cは、一般的な300mmウエハ2401上でのダイの配置と、鏡筒のXY配列との間の対応性の例をX軸2730及びY軸2731と共に示す図である。鏡筒描画領域2701は、図27Aの計算により決定されるXY寸法を有し、この例(6×6鏡筒配列)では、50mm×50mmである。図示したように、角隅部の鏡筒[(0,0)、(0,5)、(5,0)、及び(5,5)等]は、ウエハ上の非常に小さな面積を描画する。より大きな鏡筒配列(7×7、8×8、...等)では、鏡筒配列の四隅のそれぞれに位置する一つ以上の鏡筒を取り除くことができる。鏡筒は、Xラベル2721及びYラベル2720を有し、6×6鏡筒配列に対して、ラベルの範囲は0乃至5となる。鏡筒配列の中心は、偶数配列(6×6、8×8、...等)では鏡筒の間となり、奇数配列(7×7、9×9、...等)では鏡筒の中心となる。   FIG. 27C is a diagram showing an example of the correspondence between the arrangement of dies on a general 300 mm wafer 2401 and the XY arrangement of the lens barrel together with the X axis 2730 and the Y axis 2731. The lens barrel drawing area 2701 has XY dimensions determined by the calculation of FIG. 27A, and in this example (6 × 6 lens barrel arrangement), is 50 mm × 50 mm. As shown, the corner corner barrels [(0,0), (0,5), (5,0), (5,5), etc.] draw a very small area on the wafer. . In larger barrel arrays (7 × 7, 8 × 8,..., Etc.), one or more barrels located at each of the four corners of the barrel array can be removed. The lens barrel has an X label 2721 and a Y label 2720, and the label range is 0 to 5 with respect to the 6 × 6 lens barrel arrangement. The center of the lens barrel array is between the lens barrels in the even-numbered array (6 × 6, 8 × 8,... Become the center.

本例において、ダイの寸法は、X寸法=22mm、Y寸法=19.5mmと仮定されている。ウエハ2401の縁部にエッジ除外領域は存在せず、これにより合計143個のダイが生じる。鏡筒配列のXY間隔には、ウエハ2401上のダイ配列のXY間隔に一致させるための要件は存在しない。   In this example, the dimensions of the die are assumed to be X dimension = 22 mm and Y dimension = 19.5 mm. There is no edge exclusion region at the edge of the wafer 2401, which results in a total of 143 dies. There is no requirement for the XY interval of the lens barrel array to match the XY interval of the die array on the wafer 2401.

図28Aは、それぞれ2μmのX寸法2805及び2μmのY寸法2806を有するサブフィールド2804へ分割された、集積回路(IC)パターンデータ2801の図である。それぞれのサブフィールド2804は、1nmのXYアドレスグリッド2807及び2808を有する。厳密に同じサブフィールド及びアドレスグリッド値を、鏡筒のビームアドレシングと、ICパターンデータとに使用しており、データ経路電子機器に関する要件は、描画ビームの位置(XYにおいて最大オフセット±1μm)を調整し、パターンデータを描画グリッドに被せることである。ICパターンデータは、図27Cの鏡筒配列用のX軸2730及びY軸2731に対応する、X軸2809及びY軸2810に整合させる。   FIG. 28A is a diagram of integrated circuit (IC) pattern data 2801 divided into subfields 2804 having an X dimension 2805 of 2 μm and a Y dimension 2806 of 2 μm, respectively. Each subfield 2804 has a 1 nm XY address grid 2807 and 2808. Exactly the same subfield and address grid values are used for the beam addressing of the lens barrel and the IC pattern data, and the requirements for data path electronics are to adjust the position of the drawing beam (maximum offset ± 1 μm in XY) The pattern data is put on the drawing grid. The IC pattern data is aligned with the X axis 2809 and the Y axis 2810 corresponding to the X axis 2730 and the Y axis 2731 for the lens barrel arrangement in FIG. 27C.

図28Bは、サブフィールドヘッダデータ形式の例を示す。ICパターンデータに対するサブフィールドアドレシング要件は、次の通りである。   FIG. 28B shows an example of the subfield header data format. Subfield addressing requirements for IC pattern data are as follows.

最大ICサイズ64mm×64mm(4096mm2
IC内サブフィールドアドレスグリッド2μm×2μm
IC内サブフィールドの16ビットXアドレス
IC内サブフィールドの16ビットYアドレス
Maximum IC size 64mm x 64mm (4096mm 2 )
IC subfield address grid 2μm × 2μm
16-bit X address of subfield in IC 16-bit Y address of subfield in IC

各2μm正方形サブフィールド内において、パターンアドレシング要件は次の通りである。
サブフィールド内パターンアドレシンググリッド1nm×1nm
サブフィールド内パターンの16ビットXアドレス
サブフィールド内パターンの16ビットYアドレス
Within each 2 μm square subfield, the pattern addressing requirements are as follows:
Sub-field pattern addressing grid 1nm x 1nm
16-bit X address of subfield pattern 16 bit Y address of subfield pattern

ICパターンデータ2801内の各サブフィールド2804に対して、9バイト2817で構成され、以下のデータを含むサブフィールドヘッダ2811を定義する。   For each subfield 2804 in the IC pattern data 2801, a subfield header 2811 is defined which is composed of 9 bytes 2817 and includes the following data.

バイト#0乃至1 2812=2μm正方形サブフィールド内で露光されるべきパターンの総数――最大数は、216−1=65535
バイト#2乃至3 2813=サブフィールドのXアドレス(2μm単位で−32768μm乃至+32768μm)
バイト#4乃至5 2814=サブフィールドのYアドレス(2μm単位で−32768μm乃至+32768μm)
バイト#6 2815=PEC線量レベル(補正無し=255乃至最大補正=0――図29C参照)
バイト#7 2816=描画されたサブフィールド領域の割合(描画無し=0乃至完全描画=255――図29A参照)
バイト#8 2818=このサブフィールドを描画する正方形ビームサイズ(ビームサイズ=nmを単位とするバイト#8の値:0nm乃至255nm)
Bytes # 0 to 1 2812 = 2 μm The total number of patterns to be exposed in the square subfield—the maximum number is 2 16 −1 = 65535
Bytes # 2 to 3 2813 = X address of subfield (32768 μm to +32768 μm in units of 2 μm)
Bytes # 4 to 5 2814 = Y address of subfield (32768 μm to +32768 μm in 2 μm units)
Byte # 6 2815 = PEC dose level (no correction = 255 to maximum correction = 0—see FIG. 29C)
Byte # 7 2816 = ratio of drawn subfield area (no drawing = 0 to complete drawing = 255—see FIG. 29A)
Byte # 8 2818 = square beam size for drawing this subfield (beam size = value of byte # 8 in nm: 0 nm to 255 nm)

二つ以上のビームサイズでサブフィールドを描画する方が効率的である場合には、複数のサブフィールドデータ定義(それぞれ独自のサブフィールドヘッダを有する)が必要となる。   If it is more efficient to draw subfields with two or more beam sizes, multiple subfield data definitions (each with its own subfield header) are required.

図28Cは、単一のフラッシュ及び複数のフラッシュを描画するためのパターンデータ形式の例の模式図である。単一のフラッシュ用のデータ形式2820は、五バイト2817を要する。   FIG. 28C is a schematic diagram of an example of a pattern data format for drawing a single flash and a plurality of flashes. A data format 2820 for a single flash requires 5 bytes 2817.

バイト#0 2821=パターンタイプ(=1)
バイト#1乃至2 2822=フラッシュのXアドレス(−1000nm乃至1000nm)
バイト#3乃至4 2823=フラッシュのYアドレス(−1000nm乃至1000nm)
Byte # 0 2821 = pattern type (= 1)
Bytes # 1 to 2 2822 = Flash X address (-1000 nm to 1000 nm)
Bytes # 3 to 4 2823 = Y address of flash (-1000 nm to 1000 nm)

複数(数=N)のフラッシュ用のデータ形式2838は、4N+2バイト2817を要する。   The data format 2838 for multiple (number = N) flashes requires 4N + 2 bytes 2817.

バイト#0 2821=パターンタイプ(=2)
バイト#1 2825=フラッシュ数(2乃至255)
バイト#2乃至3 2826=フラッシュ#1のXアドレス(−1000nm乃至1000nm)
バイト#4乃至5 2827=フラッシュ#1のYアドレス(−1000nm乃至1000nm)
バイト#2乃至3 2829=フラッシュ#2のXアドレス(−1000nm乃至1000nm)
バイト#4乃至5 2830=フラッシュ#2のYアドレス(−1000nm乃至1000nm)
バイト#2乃至3 2832=フラッシュ#3のXアドレス(−1000nm乃至1000nm)
バイト#4乃至5 2833=フラッシュ#3のYアドレス(−1000nm乃至1000nm)
... ...
バイト#2乃至3 2835=フラッシュ#NのXアドレス(−1000nm乃至1000nm)
バイト#4乃至5 2836=フラッシュ#NのYアドレス(−1000nm乃至1000nm)
Byte # 0 2821 = pattern type (= 2)
Byte # 1 2825 = number of flashes (2 to 255)
Bytes # 2 to 3 2826 = X address of flash # 1 (-1000 nm to 1000 nm)
Bytes # 4 to 5 2827 = Y address of flash # 1 (−1000 nm to 1000 nm)
Bytes # 2 to 3 2829 = X address of flash # 2 (-1000 nm to 1000 nm)
Bytes # 4 to 5 2830 = Y address of flash # 2 (−1000 nm to 1000 nm)
Bytes # 2-3 2832 = Flash # 3 X address (-1000 nm to 1000 nm)
Bytes # 4 to 5 2833 = Y address of flash # 3 (-1000 nm to 1000 nm)
. . . . . .
Bytes # 2 to 3 2835 = X address of flash #N (−1000 nm to 1000 nm)
Bytes # 4 to 5 2836 = Y address of flash #N (−1000 nm to 1000 nm)

図28Dは、単一ライン及びポリラインを描画するためのパターンデータ形式の例の模式図である。単一ライン用のデータ形式2840は、9バイト2817を要する。   FIG. 28D is a schematic diagram of an example of a pattern data format for drawing a single line and a polyline. The data format 2840 for a single line requires 9 bytes 2817.

バイト#0 2821=パターンタイプ(=3)
バイト#1乃至2 2841=ライン開始のXアドレス(−1000nm乃至1000nm)
バイト#3乃至4 2842=ライン開始のYアドレス(−1000nm乃至1000nm)
バイト#5乃至6 2844=ライン終了のXアドレス(−1000nm乃至1000nm)
バイト#7乃至8 2845=ライン終了のYアドレス(−1000nm乃至1000nm)
Byte # 0 2821 = pattern type (= 3)
Bytes # 1 to 2 2841 = X address of line start (-1000 nm to 1000 nm)
Bytes # 3 to 4 2842 = line start Y address (-1000 nm to 1000 nm)
Bytes # 5 to 6 2844 = X address of line end (-1000 nm to 1000 nm)
Bytes # 7 to 8 2845 = Y address of line end (-1000 nm to 1000 nm)

ポリライン(数=N)用のデータ形式2847は、4N+6バイト2817を要する。   The data format 2847 for polyline (number = N) requires 4N + 6 bytes 2817.

バイト#0 2821=パターンタイプ(=4)
バイト#1 2848=ポリライン内のライン数(2乃至255)
バイト#2乃至3 2849=ライン#1開始のXアドレス(−1000nm乃至1000nm)
バイト#4乃至5 2850=ライン#1開始のYアドレス(−1000nm乃至1000nm)
バイト#6乃至7 2852=ライン#1終了のXアドレス(−1000nm乃至1000nm)
=ライン#2開始のXアドレス(−1000nm乃至1000nm)
バイト#8乃至9 2853=ライン#1終了のYアドレス(−1000nm乃至1000nm)
=ライン#2開始のYアドレス(−1000nm乃至1000nm)
バイト#10乃至11 2855=ライン#2終了のXアドレス(−1000nm乃至1000nm)
=ライン#3開始のXアドレス(−1000nm乃至1000nm)
バイト#12乃至13 2856=ライン#2終了のYアドレス(−1000nm乃至1000nm)
=ライン#3開始のYアドレス(−1000nm乃至1000nm)
... ...
バイト#4N+2乃至4N+3 2858=ライン#N終了のXアドレス(−1000nm乃至1000nm)
バイト#4N+4乃至4N+5 2859=ライン#N終了のYアドレス(−1000nm乃至1000nm)
Byte # 0 2821 = pattern type (= 4)
Byte # 1 2848 = number of lines in polyline (2 to 255)
Bytes # 2 to 3 2849 = X address of line # 1 start (-1000 nm to 1000 nm)
Bytes # 4 to 5 2850 = Y address of line # 1 start (-1000 nm to 1000 nm)
Bytes # 6 to 7 2852 = X address of end of line # 1 (−1000 nm to 1000 nm)
= Line # 2 start X address (-1000nm to 1000nm)
Bytes # 8 to 9 2853 = Y address at the end of line # 1 (−1000 nm to 1000 nm)
= Y address at the start of line # 2 (-1000 nm to 1000 nm)
Bytes # 10 to 11 2855 = X address of end of line # 2 (−1000 nm to 1000 nm)
= Line # 3 start X address (-1000nm to 1000nm)
Bytes # 12 to 13 2856 = Y address at the end of line # 2 (−1000 nm to 1000 nm)
= Y address at the start of line # 3 (-1000 nm to 1000 nm)
. . . . . .
Byte # 4N + 2 to 4N + 3 2858 = X address of line #N end (-1000 nm to 1000 nm)
Byte # 4N + 4 to 4N + 5 2859 = Y address of end of line #N (−1000 nm to 1000 nm)

図28Eは、サブフィールド全体を描画、四角形を描画、又は右上象限の三角形を描画するためのパターンデータ形式の例の模式図である。サブフィールド全体を埋めるデータ形式2861は、一バイト2817のみを要する。   FIG. 28E is a schematic diagram of an example of a pattern data format for drawing the entire subfield, drawing a rectangle, or drawing a triangle in the upper right quadrant. The data format 2861 for filling the entire subfield requires only one byte 2817.

バイト#0 2821=パターンタイプ(=5)   Byte # 0 2821 = pattern type (= 5)

四角形用のデータ形式2862は、9バイト2817を要する。   The data format 2862 for the rectangle requires 9 bytes 2817.

バイト#0 2821=パターンタイプ(=6)
バイト#1乃至2 2863=左上角のXアドレス(−1000nm乃至1000nm)
バイト#3乃至4 2864=左上角のYアドレス(−1000nm乃至1000nm)
バイト#5乃至6 2866=右下角のXアドレス(−1000nm乃至1000nm)
バイト#7乃至8 2867=右下角のYアドレス(−1000nm乃至1000nm)
Byte # 0 2821 = pattern type (= 6)
Bytes # 1 to 2 2863 = X address in upper left corner (-1000 nm to 1000 nm)
Bytes # 3 to 4 2864 = Y address in upper left corner (-1000 nm to 1000 nm)
Bytes # 5 to 6 2866 = X address in lower right corner (-1000 nm to 1000 nm)
Bytes # 7 to 8 2867 = Y address in lower right corner (-1000 nm to 1000 nm)

右上象限の三角形用のデータ形式2869は、9バイト2817を要する。   The data format 2869 for the triangle in the upper right quadrant requires 9 bytes 2817.

バイト#0 2821=パターンタイプ(=7)
バイト#1乃至2 2870=左上角のXアドレス(−1000nm乃至1000nm)
バイト#3乃至4 2871=左上角のYアドレス(−1000nm乃至1000nm)
バイト#5乃至6 2873=右下角のXアドレス(−1000nm乃至1000nm)
バイト#7乃至8 2874=右下角のYアドレス(−1000nm乃至1000nm)
Byte # 0 2821 = pattern type (= 7)
Bytes # 1 to 2 2870 = X address of upper left corner (-1000 nm to 1000 nm)
Bytes # 3 to 42871 = Y address in upper left corner (-1000 nm to 1000 nm)
Bytes # 5 to 6 2873 = X address in lower right corner (-1000 nm to 1000 nm)
Bytes # 7 to 8 2874 = Y address in lower right corner (-1000 nm to 1000 nm)

左上、左下、及び右下象限の三角形を描画するには、パターンタイプを、それぞれ8、9、及び10とする。パターンタイプ8乃至10のデータ形式は、上記パターンタイプ7のものと同一である。   To draw triangles in the upper left, lower left, and lower right quadrants, the pattern types are 8, 9, and 10, respectively. The data format of the pattern types 8 to 10 is the same as that of the pattern type 7.

図28Fは、複数の描画パターンタイプを含む一般的なサブフィールド2804の具体例を示す。   FIG. 28F shows a specific example of a general subfield 2804 including a plurality of drawing pattern types.

タイプ#1――位置(Xsf,Ysf)2901での単一フラッシュであり、下付き文字「sf」は、単一フラッシュ(single flash)を意味する。 Type # 1--a single flash at position (X sf , Y sf ) 2901, the subscript “sf” means a single flash.

タイプ#2――位置(Xmf1,Ymf1)2903、(Xmf2,Ymf2)2904、(Xmf3,Ymf3)2905、及び(Xmf4,Ymf4)2906での複数フラッシュ2902。下付き文字「mfX」は、複数フラッシュ(multiple flashes)を表し、Xはフラッシュ番号を意味する(本例では1乃至4)。 Type # 2--multiple flashes 2902 at positions ( Xmf1 , Ymf1 ) 2903, ( Xmf2 , Ymf2 ) 2904, ( Xmf3 , Ymf3 ) 2905, and ( Xmf4 , Ymf4 ) 2906. The subscript “mfX” represents multiple flashes, and X represents a flash number (1 to 4 in this example).

タイプ#3――位置(Xsl0,Ysl0)2908において開始され、位置(Xsl1,Ysl1)2909において終了する単一ライン2907。下付き文字「sl」は、単一ライン(single line)を表す。 Type # 3—single line 2907 starting at position (X sl0 , Y sl0 ) 2908 and ending at position (X sl1 , Y sl1 ) 2909. The subscript “sl” represents a single line.

タイプ#4――位置(Xpl0,Ypl0)2911において開始され、点(Xpl1,Ypl1)2912へ進み、次に点(Xpl2,Ypl2)2913へ進み、点(Xpl3,Ypl3)2914において終了するポリライン2910。下付き文字「pl」は、ポリライン(polyline)表す。 Started in the type # 4 - position (X pl0, Y pl0) 2911 , proceed to the point (X pl1, Y pl1) 2912 , then proceeds to the point (X pl2, Y pl2) 2913 , the point (X pl3, Y pl3 ) polyline 2910 ending at 2914. The subscript “pl” represents a polyline.

タイプ#6――(Xr0,Yr0)2919に左上が位置し、(Xr1,Yr1)2920に右上が位置する四角形2918。下付き文字「r」は、四角形(rectangle)を表す。 Type # 6—A quadrangle 2918 whose upper left is located in (X r0 , Y r0 ) 2919 and whose upper right is located in (X r1 , Y r1 ) 2920. The subscript “r” represents a rectangle.

タイプ#9――(Xtc0,Ytc0)2916に左上角が位置し、(Xtc1,Ytc1)2917に右下角が位置する左下象限の三角形2915。下付き文字「tc」は、三角形タイプc(triangle type c)(左下象限)を表す。他の三角形タイプは、タイプa(右上象限)「ta」、タイプb(左上象限)「tb」、及びタイプd(右下象限)「td」である。 Type # 9—Triangle 2915 in the lower left quadrant where the upper left corner is located at (X tc0 , Y tc0 ) 2916 and the lower right corner is located at (X tc1 , Y tc1 ) 2917. The subscript “tc” represents a triangle type c (lower left quadrant). Other triangle types are type a (upper right quadrant) “ta”, type b (upper left quadrant) “tb”, and type d (lower right quadrant) “td”.

図29Aは、各サブフィールド2804内に描画される区画を計算する、近接効果補正(PEC)方式における第一のステップを示す模式図である。X軸2809及びY軸2810に対して定められたICパターンデータ2801について、各軸に沿ったサブフィールド数MX2923及びMY2922は、次の通りである。 FIG. 29A is a schematic diagram showing a first step in the proximity effect correction (PEC) method for calculating a section drawn in each subfield 2804. For the IC pattern data 2801 defined for the X axis 2809 and the Y axis 2810, the numbers of subfields M X 2923 and M Y 2922 along each axis are as follows.

X=(μm単位のICのX寸法)/(2μm)(切り捨て)
Y=(μm単位のICのY寸法)/(2μm)(切り捨て)
M X = (X dimension of IC in μm) / (2 μm) (rounded down)
M Y = (Y dimension of IC in μm) / (2 μm) (rounded down)

サブフィールドの番号付けは、X軸2809に沿って0乃至MX2923であり、Y軸2810に沿って0乃至MY2922であるため、ICパターンデータ内のサブフィールドの総数≡M=(MX+1)(MY+1)となる。単一のビームサイズにより全てのサブフィールドが描画対象となる場合Mdatasets=Mであり、ここでMdatasetsは、必要なサブフィールドデータセットの数である。複数のビームサイズにより一部のサブフィールドが描画対象となる場合、Mdatasets>Mとなる。 The numbering of the subfields is 0 to M X 2923 along the X axis 2809 and 0 to M Y 2922 along the Y axis 2810, so the total number of subfields in the IC pattern data ≡M = (M X + 1) ( MY + 1). If all subfields are to be rendered with a single beam size, M datasets = M, where M datasets is the number of required subfield datasets . When some subfields are to be drawn due to a plurality of beam sizes, M datasets > M.

例えば、
j=j種類の異なるビームサイズにより描画するべきサブフィールドの数であり、j=1、2、...である場合、

Figure 2009531855
となる。 For example,
N j = j is the number of subfields to be drawn with j different beam sizes, j = 1, 2,. . . If it is,
Figure 2009531855
It becomes.

各ビームサイズを設定するのに必要なオーバヘッド時間のため、二、三種類より多くのビームサイズが何れか一つのサブフィールドに最適となる可能性は低い。   Due to the overhead time required to set each beam size, it is unlikely that more than a few beam sizes will be optimal for any one subfield.

次に、各サブフィールド2804について、描画対象となるサブフィールド領域の総割合を計算する。例は、次の通りである。   Next, for each subfield 2804, the total ratio of subfield areas to be drawn is calculated. An example is as follows.

サブフィールド(2,1)2925は、全体が描画される[ボンディングパッドの一部となり得る]ため、割合=1.0であり、サブフィールドヘッダ2811内のバイト#7 2816の値=255となる。   Since the entire subfield (2, 1) 2925 is drawn [can be part of a bonding pad], the ratio = 1.0, and the value of byte # 7 2816 in the subfield header 2811 = 255. .

サブフィールド(5,4)2926は、サブフィールド面積の70%低度が密に描画されるため、割合=約0.7であり、サブフィールドヘッダ2811内のバイト#7 2816の値=179≒0.7×255となる。   In the subfield (5, 4) 2926, since 70% of the subfield area is densely drawn, the ratio = about 0.7, and the value of byte # 7 2816 in the subfield header 2811 = 179≈ 0.7 × 255.

サブフィールド(11,7)2927は、15%低度がまばらに描画されるため、割合は約0.15であり、サブフィールドヘッダ2811内のバイト#7 2816の値=38≒0.15×255となる。   Since the subfield (11, 7) 2927 is drawn sparsely by 15%, the ratio is about 0.15, and the value of byte # 7 2816 in the subfield header 2811 = 38≈0.15 × 255.

描画対象となる総割合がサブフィールド2804毎に計算されると、データは、サブフィールドデータヘッダのバイト#7 2816に格納される。任意の特定のサブフィールドに複数のビームサイズが使用される場合、描画対象の総面積の値は、各サブフィールドデータセットのバイト#7 2816に格納され、この場合の描画対象の総面積は、その特定のサブフィールド2804に対する全てのデータセットにおけるバイト#7 2816の値の合計である。サブフィールド2804毎の描画対象の総面積の計算は、他のサブフィールド2804における描画対象面積から完全に独立していることに留意されたい。図29Bは、一次ビーム線量に対するPEC補正がどのサブフィールド2804にも存在しないと仮定して、サブフィールド2937の総後方散乱電子(BSE)線量を計算する、PEC方式における第二のステップの模式図を示す。ICパターンデータ2801は、図29Aと同じである。サブフィールド(i,j)[i=0乃至MX及びj=0乃至MY]毎に、図示した相対強度グラフを使用して、全ての隣接サブフィールドからの後方散乱電子線量の荷重和を計算する。サブフィールド(i,j)2937から離れて半径2931が増加すると、相対強度スケール2932に対してプロットした曲線2934により示したように、寄与度は降下する。BSE分布は等方性であり、サブフィールド2937における総線量に対するBSEの寄与は、円2938の周囲では同じになると考えられる。最大可能後方散乱寄与度は、後方散乱電子係数であるη2936であり、これはサブフィールド(i,j)を直接取り囲む八つのサブフィールドと、サブフィールド(i,j)自体とに関連する。各サブフィールドからの寄与度は、ステップ1(図29A)において計算された、そのサブフィールドの描画の割合に比例する。サブフィールド(i,j)における合計後方散乱線量d(i,j)の式は、次の通りである。 When the total ratio to be drawn is calculated for each subfield 2804, the data is stored in byte # 7 2816 of the subfield data header. If multiple beam sizes are used for any particular subfield, the value of the total area to be drawn is stored in byte # 7 2816 of each subfield data set, where the total area to be drawn is It is the sum of the values of byte # 7 2816 in all data sets for that particular subfield 2804. Note that the calculation of the total area to be drawn for each subfield 2804 is completely independent of the area to be drawn in the other subfields 2804. FIG. 29B is a schematic diagram of a second step in the PEC scheme that calculates the total backscattered electron (BSE) dose for subfield 2937, assuming that there is no PEC correction for the primary beam dose in any subfield 2804. Indicates. IC pattern data 2801 is the same as FIG. 29A. For each subfield (i, j) [i = 0 to M X and j = 0 to M Y ], the weighted sum of backscattered electron doses from all adjacent subfields is calculated using the illustrated relative intensity graph. calculate. As radius 2931 increases away from subfield (i, j) 2937, the contribution decreases as shown by curve 2934 plotted against relative intensity scale 2932. The BSE distribution is isotropic and the BSE contribution to the total dose in subfield 2937 is considered to be the same around circle 2938. The maximum possible backscatter contribution is η2936, the backscattered electron coefficient, which is related to the eight subfields directly surrounding subfield (i, j) and the subfield (i, j) itself. The contribution from each subfield is proportional to the drawing ratio of that subfield calculated in step 1 (FIG. 29A). The formula for the total backscatter dose d (i, j) in subfield (i, j) is:

R(m,n;i,j)≡√[(m−i)2+(n−j)2](2μm)=(m,n)から(i,j)までの半径
s[R(m,n;i,j)]=サブフィールド(m,n)におけるBSE散乱によるサブフィールド(i,j)における相対強度
f(m,n)=サブフィールド(m,n)内で描画される面積の割合
p(m,n)≡現在は1(次のステップにおいて変更される)
K=スケール係数

Figure 2009531855
R (m, n; i, j) ≡√ [(m−i) 2 + (n−j) 2 ] (2 μm) = radius from (m, n) to (i, j) s [R (m , N; i, j)] = relative intensity in subfield (i, j) due to BSE scattering in subfield (m, n) f (m, n) = area drawn in subfield (m, n) P (m, n) = currently 1 (changed in next step)
K = scale factor
Figure 2009531855

計算中のサブフィールド(i,j)内部からの後方散乱電子もBSEバックグラウンド線量に寄与するため、m及びnの合計にはサブフィールド(i,j)が含まれることに留意されたい。   Note that the sum of m and n includes subfield (i, j) because backscattered electrons from inside the subfield (i, j) being calculated also contribute to the BSE background dose.

図29Cは、一次ビーム線量とBSE線量とを組み合わせることで、各サブフィールドの総線量を計算する、PEC方式における第三のステップの模式図を示す。発生する物理的プロセスは、サブフィールド(i,j)において描画中の各パターン内部のものであり、レジスト線量に対して三種類の寄与が存在する。   FIG. 29C shows a schematic diagram of the third step in the PEC method for calculating the total dose of each subfield by combining the primary beam dose and the BSE dose. The physical process that occurs is within each pattern being drawn in subfield (i, j), and there are three types of contribution to the resist dose.

(1)描画中のパターンに対する描画ビーム内の一次電子
(2)サブフィールド(i,j)内の他のパターンからの後方散乱電子
(3)隣接サブフィールド(m,n)からの後方散乱電子
(1) Primary electrons in the drawing beam for the pattern being drawn (2) Backscattered electrons from other patterns in subfield (i, j) (3) Backscattered electrons from adjacent subfield (m, n)

図29Cは、描画線量をどのように減少させて、寄与(2)及び(3)を補正可能かを示しており、これは近接効果補正(PEC)と呼ばれる当業者に周知のプロセスである。左側の線量プロファイル2942(相対軸2941に対してプロット)は、最小のBSEバックグラウンド線量であるため、PECを必要としない場合に対応する。プロセスの自由度を最大にするため、レジスト露光線量が線量プロファイルの最大傾斜の点、この場合、点2945において生じるように、描画線量を調整することが有用である。これにより、レジスト処理又は描画ビーム電流の変化が、線幅の変化に与える影響が最小になる。描画線量2951は、PECが無い場合、必要な露光量2950のレベルの二倍となる。   FIG. 29C shows how the writing dose can be reduced to correct contributions (2) and (3), a process known to those skilled in the art called proximity effect correction (PEC). The dose profile 2942 on the left (plotted relative axis 2941) corresponds to the case where no PEC is required since it is the minimum BSE background dose. To maximize process freedom, it is useful to adjust the writing dose so that the resist exposure dose occurs at the point of maximum slope of the dose profile, in this case, point 2945. This minimizes the effect of changes in resist processing or writing beam current on line width changes. The drawing dose 2951 is twice the level of the required exposure 2950 in the absence of PEC.

中央の例は、露光線量の約30%である中レベルのBSEバックグラウンド2948の場合を示している。プロセスの自由度を維持するために、図示したように、描画線量2943を同じパーセンテージ、或いはBSEバックグラウンド2948の二倍の量だけ減少させ、これにより、破線で示した露光量の点2946を維持している。描画線量2951は露光量2950の二倍であるため、露光量は、二倍の量だけ減少する。   The middle example shows the case of a medium level BSE background 2948 which is about 30% of the exposure dose. To maintain process freedom, as shown, the drawing dose 2943 is reduced by the same percentage or twice the BSE background 2948, thereby maintaining the exposure point 2946 indicated by the dashed line. is doing. Since the drawing dose 2951 is twice the exposure amount 2950, the exposure amount is reduced by a double amount.

右の例は、密なライン及びスペースを表し、最大のBSEバックグラウンドが生じている。この例において、BSEバックグラウンド2949は、露光線量2950の約60%であり、描画線量の60%の低減を必要とする。   The example on the right represents dense lines and spaces, with the largest BSE background. In this example, the BSE background 2949 is about 60% of the exposure dose 2950 and requires a 60% reduction in the writing dose.

全てのi=0,...MX及びj=0,...MYに対するBSEバックグラウンドが決定された後、第一のパスでの線量補正を実行できる。全てのサブフィールド(i,j)に対して、補正係数p(i,j)を計算する。
p(i,j)=1−2d(i,j)
All i = 0,. . . M X and j = 0,. . . After BSE background against M Y has been determined, you can execute dose correction at the first pass. A correction coefficient p (i, j) is calculated for all subfields (i, j).
p (i, j) = 1-2d (i, j)

次に、こうしたp(i,j)に対する新しい値を使用して、d(i,j)の全ての値を再計算すると、結果としてd(i,j)に対する小さな値が生じ、これにより、今度はp(i,j)に対する大きな値が生じる。したがって、p(i,j)に対する自己矛盾の無い解を見つけるプロセスは、振動するが、通常は数サイクル以内に収束する。p(i,j)に対する値が事前に設定した何らかの制限により変化しなくなった後、プロセスを終了し、p(i,j)に対する計算値に255を乗算し、バイト#6 2815に格納する。   Then, using these new values for p (i, j), recalculating all values of d (i, j) results in a small value for d (i, j), which This time a large value for p (i, j) occurs. Thus, the process of finding a self-consistent solution for p (i, j) oscillates, but usually converges within a few cycles. After the value for p (i, j) no longer changes due to some pre-set limit, the process ends and the calculated value for p (i, j) is multiplied by 255 and stored in byte # 6 2815.

図2Aの鏡筒に対する一般的な電極電圧

Figure 2009531855
Typical electrode voltage for the lens barrel of FIG. 2A

Figure 2009531855

一つ以上の電子光学鏡筒を利用して、レジスト被覆ウエハ上に一連のストライプを描画する、可能な描画戦略の一つを、図27Bに示している。一例として、同一鏡筒の6×6配列を300mmウエハの上方に位置決めすると仮定する。鏡筒間隔は、次のように決定できる。   One possible drawing strategy for drawing a series of stripes on a resist-coated wafer using one or more electro-optic columns is shown in FIG. 27B. As an example, assume that a 6 × 6 array of identical barrels is positioned above a 300 mm wafer. The distance between the lens barrels can be determined as follows.

鏡筒間隔=(ウエハ直径)/√(鏡筒数)
=(300mm)/√(6×6)=(300mm)/6=50mm
Distance between lens barrels = (wafer diameter) / √ (number of lens barrels)
= (300 mm) / √ (6 × 6) = (300 mm) / 6 = 50 mm

各鏡筒が描画する必要があるのは、ウエハの50mm×50mmの正方形領域のみである。特定の鏡筒が自分の領域の描画を完了した時には、他の35本の鏡筒のそれぞれは、自分のそれぞれの領域の描画を同時に完了し、ウエハが完成する。各鏡筒が描画するべき領域は、図27Aに示したように、一連の50μm幅の平行ストライプに分割され、ここではストライプの総数は1000になるように決定されている。   Each lens barrel needs to draw only a 50 mm × 50 mm square area of the wafer. When a specific lens barrel completes drawing of its own region, each of the other 35 lens barrels simultaneously completes drawing of their own region, completing the wafer. As shown in FIG. 27A, the region to be drawn by each lens barrel is divided into a series of 50 μm-wide parallel stripes, and here, the total number of stripes is determined to be 1000.

各ストライプ内では、鏡筒が、一連の「フラッシュ」においてレジスト上での描画を行い、各フラッシュでは、所定の感度(5μC/cm2と仮定)を有するレジスト上で、高電流密度ビームを使用して正方形領域を露光する。フラッシュ当たりの時間は、成形ビームの外縁部でのビーム電流密度により決定される。図21Cに示したように、ビーム電流密度は、2000A/cm2(30nm及び120nm正方形ビーム)から、2500A/cm2(約80nm正方形ビーム)、及び最大3000A/cm2(40nm正方形ビーム)の範囲となる。 Within each stripe, the lens barrel draws on the resist in a series of “flashes” and each flash uses a high current density beam over the resist with a given sensitivity (assuming 5 μC / cm 2 ). To expose the square area. The time per flash is determined by the beam current density at the outer edge of the shaped beam. As shown in FIG. 21C, the beam current density ranges from 2000 A / cm 2 (30 nm and 120 nm square beams) to 2500 A / cm 2 (approximately 80 nm square beams) and up to 3000 A / cm 2 (40 nm square beams). It becomes.

フラッシュ当たりの時間=(レジスト感度)/(ビーム電流密度)
=(5μC/cm2)/(3000A/cm2)=1.67ns
=(5μC/cm2)/(2500A/cm2)=2.00ns
=(5μC/cm2)/(2000A/cm2)=2.50ns
これらの計算フラッシュ時間は、図21Cにグラフ化されている。
Time per flash = (resist sensitivity) / (beam current density)
= (5 μC / cm 2 ) / (3000 A / cm 2 ) = 1.67 ns
= (5 μC / cm 2 ) / (2500 A / cm 2 ) = 2.00 ns
= (5 μC / cm 2 ) / (2000 A / cm 2 ) = 2.50 ns
These calculated flash times are graphed in FIG. 21C.

各フラッシュでは、ビームをウエハ表面の新たな位置へ偏向させることが必要であるため、1nsのセットアップ時間が想定されている。そのため、合計ピクセル描画時間は、以下により決定される。   Each flash requires a setup time of 1 ns because it is necessary to deflect the beam to a new position on the wafer surface. Therefore, the total pixel drawing time is determined as follows.

合計ピクセル描画時間=(フラッシュ数/サブフィールド)[(時間/フラッシュ)+(セットアップ時間)]
ここでサブフィールドは、2.0μm×2.0μmと仮定される。
Total pixel drawing time = (number of flashes / subfield) [(time / flash) + (setup time)]
Here, the subfield is assumed to be 2.0 μm × 2.0 μm.

全体的なパターン密度により、平均フラッシュ数/サブフィールドが決定され、これは、図30において変数として残る。予想されるように、パターン密度が高いと、平均して高いフラッシュ/サブフィールド3001が必要となり、結果としてパターン形成のスループット3002は低くなる。   The overall pattern density determines the average number of flashes / subfield, which remains as a variable in FIG. As expected, high pattern density requires, on average, a high flash / subfield 3001, resulting in low pattern formation throughput 3002.

ステージがストライプの長さに沿って(或いは、+Y及び−Y方向2702に――図27B参照)移動する際に、電子ビームは、ストライプの幅2715に亘って、垂直2740に(X軸に沿って)静電走査される。ここで想定した50μmストライプにより、サブフィールド/走査の総数は、図27Aにおいて25に決定される。10nsの走査回帰時間を含めると、走査当たりの合計時間は、次のようになる。
時間/走査=(サブフィールド数/走査)(時間/サブフィールド)+(走査回帰時間)
As the stage moves along the length of the stripe (or in the + Y and −Y directions 2702—see FIG. 27B), the electron beam spans the width 2715 of the stripe and is perpendicular 2740 (along the X axis). And electrostatic scanning. With the assumed 50 μm stripe, the total number of subfields / scans is determined to be 25 in FIG. 27A. Including the 10 ns scan regression time, the total time per scan is:
Time / scan = (number of subfields / scan) (time / subfield) + (scan regression time)

この描画戦略において、走査は、光軸に対する静電ビームの偏向=±(走査幅)/2を使用して達成される。ストライプ全体の長さを描画するのに必要な走査の総数は、次のように求められる(ここで、ストライプの長さ=鏡筒間隔)。
走査数=(鏡筒間隔)/(サブフィールド寸法)
=(50mm)/(2.0μm)=25000
In this drawing strategy, scanning is accomplished using the deflection of the electrostatic beam relative to the optical axis = ± (scan width) / 2. The total number of scans required to draw the length of the entire stripe is determined as follows (where stripe length = lens barrel spacing).
Number of scans = (barrel interval) / (subfield size)
= (50 mm) / (2.0 μm) = 25000

描画中のステージ移動の戦略の一つは、描画ビーム(鏡筒当たり一本)の下で連続的に移動させることである。この場合、ステージ速度は、次のようになる。
ステージ速度=(サブフィールド寸法)/(時間/走査)
One strategy for moving the stage during drawing is to move it continuously under the drawing beam (one per column). In this case, the stage speed is as follows.
Stage speed = (subfield size) / (time / scan)

フラッシュ数/サブフィールドを変数として、ステージ速度は、約550mm/s(1g=9800mm/s2のステージ加速度及びストライプ2702の長さ50mmにより制限される)から50mm/s未満に至る範囲となり、フラッシュ数/サブフィールドが増加するか、或いはビーム電流密度が減少するに従って低下する。 With the number of flashes / subfield as a variable, the stage speed ranges from approximately 550 mm / s (limited by the stage acceleration of 1 g = 9800 mm / s 2 and the length of the stripe 2702 of 50 mm) to less than 50 mm / s. It decreases as the number / subfield increases or the beam current density decreases.

最後に、ウエハの移送、全体的アライメント、局所的アライメント、及び一枚のウエハの描画終了と次のものの描画開始との間に必要となる他の全ての機能を可能にするために、各ウエハに対して45秒のオーバヘッドを想定した。したがって、ウエハ当たりの合計時間は、全ストライプの描画時間+全ストライプに対するステージのターンアラウンドタイム+ウエハのオーバヘッドで構成される。スループットは、ウエハ当たりの合計時間に反比例する。   Finally, each wafer to allow wafer transfer, global alignment, local alignment, and all other functions required between the end of drawing one wafer and starting drawing the next. In contrast, an overhead of 45 seconds was assumed. Therefore, the total time per wafer is composed of the writing time of all stripes + the stage turnaround time for all stripes + the overhead of the wafer. Throughput is inversely proportional to the total time per wafer.

図30は、6×6乃至10×10の様々な数の鏡筒を有する一つの描画モジュールでのサブフィールド当たりのフラッシュの平均数3001に対する計算スループット3002のグラフを示す図であり、必要な露光電流3003を3000A/cm2と仮定しており、これは最適化された40nm正方形ビームに対応する。サブフィールドは、2μm角を想定しており、フラッシュ/サブフィールドの平均数は40乃至360の範囲となっている。曲線3005は、6×6鏡筒配列に対応し、各鏡筒は50mm×50mmの領域を描画する。曲線3006は、7×7鏡筒配列に対応し、各鏡筒は42.9mm×42.9mm以下を描画する。曲線3007は、8×8鏡筒配列に対応し、各鏡筒は37.5mm×37.5mm以下を描画する。曲線3008は、9×9鏡筒配列に対応し、各鏡筒は33.4mm×33.4mm以下を描画する。最後に曲線3009は、10×10鏡筒配列に対応し、各鏡筒は30mm×30mm以下を描画する。多数のフラッシュ(160/サブフィールド超)に対して、スループットは、フラッシュの平均数にほぼ反比例する一方、領域3004内の少数のフラッシュに対して、スループットは、最大ステージ加速度(9800mm/s2=1gと仮定される)により制限される。2μm正方形サブフィールド全体が40nmビームによる描画対象となる場合、これには法外な数のフラッシュ、即ち[(2μm)/(40nm)]2=2500フラッシュが必要となり、これは、可変サイズのビームの必要性を明確に示している。 FIG. 30 shows a graph of the computational throughput 3002 versus the average number of flashes 3001 per subfield in a drawing module with various numbers of barrels from 6 × 6 to 10 × 10, and the required exposure. The current 3003 is assumed to be 3000 A / cm 2 , which corresponds to an optimized 40 nm square beam. The subfield is assumed to be 2 μm square, and the average number of flash / subfields is in the range of 40 to 360. A curve 3005 corresponds to a 6 × 6 barrel arrangement, and each barrel draws an area of 50 mm × 50 mm. A curve 3006 corresponds to a 7 × 7 column arrangement, and each column draws 42.9 mm × 42.9 mm or less. A curve 3007 corresponds to an 8 × 8 barrel arrangement, and each barrel draws 37.5 mm × 37.5 mm or less. A curve 3008 corresponds to a 9 × 9 barrel arrangement, and each barrel draws 33.4 mm × 33.4 mm or less. Finally, the curve 3009 corresponds to a 10 × 10 column array, and each column draws 30 mm × 30 mm or less. For a large number of flashes (over 160 / subfield), the throughput is approximately inversely proportional to the average number of flashes, whereas for a small number of flashes in region 3004, the throughput is the maximum stage acceleration (9800 mm / s 2 = 1g)). If the entire 2 μm square subfield is to be drawn with a 40 nm beam, this requires an extraordinary number of flashes, ie [(2 μm) / (40 nm)] 2 = 2500 flashes, which is a variable size beam. The need for is clearly shown.

30nm、約80nm、及び120nmビームについて、図21Bに示した電流密度を使用して、同様のグラフをプロットできる。(最適化された)40nm正方形ビーム以外の全てのビームサイズで、スループットは、図30に示したものより僅かに低下する。   Similar graphs can be plotted using the current density shown in FIG. 21B for 30 nm, about 80 nm, and 120 nm beams. For all beam sizes other than the (optimized) 40 nm square beam, the throughput is slightly lower than that shown in FIG.

中心間で125nmの間隔を空けた120nm正方形ビームでは、2μm正方形サブフィールドは、[(2μm)/(125nm)]2=256フラッシュで描画可能であり、これは、必要となる2.50nsの滞留時間を見越しても、許容可能な数である。ここで説明した鏡筒設計を使用して可能になる多数の鏡筒では、少なくとも一つの鏡筒は、他の鏡筒が微細な特徴部を有する領域を描画中に、常にボンディングパッドを描画している可能性が高いため、妥当な時間でサブフィールド全体を完全に描画できることが重要となる。描画戦略では全ての鏡筒が描画中に同期を継続する必要があることから、システムは、スループットを維持するために、全ての鏡筒の描画速度を維持できる必要がある。 For a 120 nm square beam with 125 nm spacing between centers, a 2 μm square subfield can be drawn with [(2 μm) / (125 nm)] 2 = 256 flash, which is the required 2.50 ns dwell. Even in anticipation of time, it is an acceptable number. In many barrels that are possible using the barrel design described here, at least one barrel always draws a bonding pad while the other barrel draws an area with fine features. Therefore, it is important that the entire subfield can be completely drawn in a reasonable time. The drawing strategy requires that all barrels continue to be synchronized while drawing, so the system needs to be able to maintain the drawing speed of all the barrels in order to maintain throughput.

上記の発明は、単一の電子ビーム鏡筒に二つのビーム限定アパーチャがある場合を含むように拡張可能である。二つのアパーチャは、一緒に使用して基板におけるビームを限定できる。二つのアパーチャは、共に光軸を中心としており、互いに軸方向で分離される。上記のように、更にビームパターン限定アパーチャを追加して、多数のアパーチャを単一の鏡筒に提供し得る。   The invention described above can be extended to include the case where there are two beam limiting apertures in a single electron beam column. The two apertures can be used together to limit the beam at the substrate. The two apertures are both centered on the optical axis and are separated from each other in the axial direction. As described above, additional beam pattern limited apertures can be added to provide multiple apertures in a single barrel.

多数のビーム限定アパーチャを電子ビーム鏡筒に組み込んで、基板における様々なビーム形状の選択を可能にし得る。アパーチャは、単一のアパーチャブレードに取り付け、必要に応じて軸上で移動させること、或いは、鏡筒の異なる部分において、別個の四角形アパーチャブレードに取り付けること、更に、上部及び下部偏向光学系の間で光軸に近接して位置決めし、ビームの偏向(上部偏向光学系を使用)により所望のアパーチャを選択できるようにし、下部偏向光学系を使用して(選択されたアパーチャの通過後)ビームを光軸上に再偏向すること等を実行し得る。   Multiple beam limiting apertures may be incorporated into the electron beam column to allow selection of various beam shapes on the substrate. The aperture can be attached to a single aperture blade and moved on axis as needed, or it can be attached to a separate square aperture blade in different parts of the barrel, and between the upper and lower deflection optics Position the beam close to the optical axis so that the desired aperture can be selected by deflecting the beam (using the upper deflection optics) and using the lower deflection optics (after passing the selected aperture) For example, re-biasing on the optical axis can be performed.

電子光学系は、電子ビームを拡大、縮小、又は変形できるように構成し、基板におけるビームがビーム限定アパーチャにより決定された形状を拡大、縮小、又は変形したものとなるようにし得る。正方形ビームの有用な変形の例は、三角形を形成するために一本の軸に沿って縮小することである。他の変形には、正方形ビームから、平行四辺形として形成されたビームへの変換が含まれる。こうした効果を達成するために使用し得る電子光学要素は、四極子及び八極子レンズを含む。   The electron optics may be configured to allow the electron beam to expand, contract, or deform so that the beam at the substrate is an expanded, contracted, or deformed shape determined by the beam limited aperture. An example of a useful deformation of a square beam is to shrink along one axis to form a triangle. Other variations include conversion from a square beam to a beam formed as a parallelogram. Electro-optical elements that can be used to achieve these effects include quadrupole and octupole lenses.

本明細書で説明したビームパターン限定アパーチャ212の設計手順では数値的方法を採用したが、別の分析方法も可能である。図1を参照すると、ブロック102乃至106の機能は変化しないが、ブロック108では、数値的電子線トレーシングの代わりに、光学系の動作の分析モデルと生成し、その後、これを使用して、円形ビームのどの電子線が所望のパターン化ビームプロファイル内を通過し、どの電子線が所望のパターン化ビームプロファイルの外側を通過するかを決定する。光学系の分析モデルは電子線トレーシングを使用して生成されるが、この分析手法は、電子線トレーシングの計算における数値的誤差の影響を低減することで、PBDA212の設計を改善し得る機会を提供する。この改善は、ウエハ表面221における電子線切片の値の小さな(nmのスケール)変動を均すために、電子線トレーシング計算から導かれた分析モデルを平滑化することで達成される。この分析手順を使用してブロック112においてPBDA設計を生成した後、図1で説明した残りのPBDA設計手順は同一となる。   Although the numerical method is adopted in the design procedure of the beam pattern limited aperture 212 described in the present specification, another analysis method is also possible. Referring to FIG. 1, the function of blocks 102-106 does not change, but in block 108, instead of numerical electron beam tracing, an analytical model of the behavior of the optical system is generated, which is then used to Determine which electron beam of the circular beam passes through the desired patterned beam profile and which electron beam passes outside the desired patterned beam profile. Although the analytical model of the optical system is generated using electron beam tracing, this analysis technique has the opportunity to improve the design of the PBDA 212 by reducing the impact of numerical errors in the calculation of electron beam tracing. I will provide a. This improvement is achieved by smoothing the analytical model derived from electron beam tracing calculations to smooth out small (nm scale) variations in the value of the electron beam intercept at the wafer surface 221. After generating the PBDA design at block 112 using this analysis procedure, the remaining PBDA design procedure described in FIG. 1 is the same.

本明細書に例において示した設計において、ビームサイズの実用的範囲は、ほぼ30nmから120nmまでとなる。本発明を実現する他の電子光学設計では、ビームサイズの範囲は、15乃至20nmから400乃至500nmまでにすることができる。   In the design illustrated in the examples herein, the practical range of beam sizes is approximately 30 nm to 120 nm. In other electro-optic designs that implement the present invention, the beam size range can be from 15-20 nm to 400-500 nm.

高強度パターン化電子ビームの生成において使用する、ビームパターン限定アパーチャを利用する電子光学システムの設計手順のフローチャートである。6 is a flowchart of a design procedure for an electron optical system that utilizes a beam pattern limited aperture for use in generating a high intensity patterned electron beam. 高電流密度パターン化電子ビームを生成するために非円形アパーチャを利用する電子鏡筒の断面図である。3 is a cross-sectional view of an electron column that utilizes a non-circular aperture to generate a high current density patterned electron beam. FIG. 図2Aの電子光学鏡筒の底部の断面図である。It is sectional drawing of the bottom part of the electron optical lens-barrel of FIG. 2A. ソースチップ201近くの電子線を示す様々な図である。It is various figures which show the electron beam near the source chip 201. FIG. 上部アライメント偏向器/非点補正器207における電子線を示す様々な図である。FIG. 6 is various views showing electron beams in the upper alignment deflector / astigmatism corrector 207. 下部アライメント偏向器208における電子線を示す様々な図である。FIG. 6 is various views showing an electron beam in the lower alignment deflector 208. ビームトリミングアパーチャ276直上の電子線を示す様々な図である。FIG. 6 is various views showing an electron beam immediately above the beam trimming aperture 276. ビームブランカにおける電子線を示す様々な図である。It is various figures which show the electron beam in a beam blanker. 下部ビームブランカ278における電子線を示す図である。It is a figure which shows the electron beam in the lower beam blanker 278. FIG. ビーム限定アパーチャ212直上の電子線を示す様々な図である。FIG. 4 is various views showing an electron beam immediately above the beam limiting aperture 212. FIG. 上部メインフィールド偏向器213内部の電子線とメインフィールド偏向器の概略とを示す図である。It is a figure which shows the electron beam inside an upper main field deflector 213, and the outline of a main field deflector. 下部メインフィールド偏向器214内部の電子線を示す図である。It is a figure which shows the electron beam inside a lower main field deflector. サブフィールド偏向器/非点補正器215内部の電子線を示す図である。It is a figure which shows the electron beam inside a subfield deflector / astigmatism corrector 215. 焦点1電極組立体216内部の電子線を示す図である。FIG. 4 is a diagram showing an electron beam inside a focus 1 electrode assembly 216. 焦点2電極組立体217内部の電子線を示す図である。It is a figure which shows the electron beam inside a focus 2 electrode assembly. フィールドフリー管218内部の電子線を示す図である。It is a figure which shows the electron beam inside the field free pipe | tube 218. FIG. 第一のパターン化アパーチャを図2Aの鏡筒に挿入する前の、光軸(0,0)を中心とした、ウエハ平面における第一の円形ビームプロファイルのグラフであり、所望の正方形プロファイルをグラフに重ねた図である。2B is a graph of the first circular beam profile in the wafer plane centered on the optical axis (0,0) before inserting the first patterned aperture into the lens barrel of FIG. FIG. 第一のパターン化アパーチャを図2Aの鏡筒に挿入する前の、中心が光軸から+12.5μm外れた、ウエハ平面における第一の円形ビームプロファイルのグラフであり、所望の正方形プロファイルをグラフに重ねた図である。2B is a graph of a first circular beam profile at the wafer plane, centered off +12.5 μm from the optical axis, prior to insertion of the first patterned aperture into the lens barrel of FIG. FIG. 第一のパターン化アパーチャを図2Aの鏡筒に挿入する前の、中心が光軸から+25μm外れた、ウエハ平面における第一の円形ビームプロファイルのグラフであり、所望の正方形プロファイルをグラフに重ねた図である。2B is a graph of the first circular beam profile in the wafer plane, centered off +25 μm from the optical axis, prior to insertion of the first patterned aperture into the barrel of FIG. 2A, with the desired square profile superimposed on the graph. FIG. パターン化アパーチャを図2Aの鏡筒に挿入した後の、光軸(0,0)を中心とした、ウエハ平面における理想ビームプロファイルを示す図である。FIG. 2B is a diagram showing an ideal beam profile in the wafer plane around the optical axis (0, 0) after the patterned aperture is inserted into the lens barrel of FIG. 2A. 図2Aの鏡筒においてビームパターン限定アパーチャ212が透過させる理想的なビームのグラフを示す図である。It is a figure which shows the graph of the ideal beam which the beam pattern limited aperture 212 permeate | transmits in the lens barrel of FIG. 2A. 図2Aの鏡筒においてビームパターン限定アパーチャ212が遮蔽する理想的なビームのグラフを示す図である。It is a figure which shows the graph of the ideal beam which the beam pattern limited aperture 212 shields in the lens barrel of FIG. 2A. 図2Aの鏡筒においてビームパターン限定アパーチャ212が透過させる実際のビームのグラフを示す図である。It is a figure which shows the graph of the actual beam which the beam pattern limited aperture 212 permeate | transmits in the lens barrel of FIG. 2A. 図2Aの鏡筒においてビームパターン限定アパーチャ212が遮蔽する実際のビームのグラフを示す図である。It is a figure which shows the graph of the actual beam which the beam pattern limited aperture 212 shields in the lens barrel of FIG. 2A. ウエハにおいて高電流密度正方形電子ビームと、ビームエネルギ5000eVの電子線とを生成するように設計されたビームパターン限定アパーチャ(PBDA)を示す図である。FIG. 2 shows a beam pattern limited aperture (PBDA) designed to generate a high current density square electron beam and an electron beam with a beam energy of 5000 eV on a wafer. ウエハ表面の電子線交点に対する、PBDAの中心部を通過する電子線のマッピングを示す図である。It is a figure which shows the mapping of the electron beam which passes the center part of PBDA with respect to the electron beam intersection of a wafer surface. ウエハ表面の電子線交点に対する、PBDAの外側部を通過する電子線のマッピングを示す図である。It is a figure which shows the mapping of the electron beam which passes the outer part of PBDA with respect to the electron beam intersection of a wafer surface. ビームプロファイルの計算に使用されるウエハ表面の様々なビーム位置A乃至Dを示す図である。FIG. 6 shows various beam positions A to D on the wafer surface used for calculating the beam profile. ビームが図9の位置Aにある時、40nm正方形電子ビームの一回のフラッシュによる計算露光量を示す図である。FIG. 10 is a diagram showing a calculated exposure amount by one flash of a 40 nm square electron beam when the beam is at the position A in FIG. 9. ビームが図9の位置Bにある時、40nm正方形電子ビームの一回のフラッシュによる計算露光を示す図である。FIG. 10 is a diagram showing a calculation exposure by one flash of a 40 nm square electron beam when the beam is at position B in FIG. 9. ビームが図9の位置Cにある時、40nm正方形電子ビームの一回のフラッシュによる計算露光量を示す図である。FIG. 10 is a diagram showing a calculated exposure amount by one flash of a 40 nm square electron beam when the beam is at a position C in FIG. 9. ビームが図9の位置Dにある時、40nm正方形電子ビームの一回のフラッシュによる計算露光量を示す図である。FIG. 10 is a diagram showing a calculated exposure amount by one flash of a 40 nm square electron beam when the beam is at a position D in FIG. 9. ビームが図9の位置Aにある時、40nmの間隔で「L字」パターンにおいて隣接する40nm正方形電子ビームの三回のフラッシュ(全て図10Aのフラッシュ)による計算露光量を示す図である。FIG. 10 shows the calculated exposure dose by three flashes (all flashes of FIG. 10A) of adjacent 40 nm square electron beams in an “L” pattern at 40 nm intervals when the beam is at position A in FIG. 9. ビームが図9の位置Aにある時、40nm正方形電子ビームの二回の重複するフラッシュ、及び別個の一回のフラッシュ(全て図10Aのフラッシュ)による計算露光量を示す図である。FIG. 10 shows the calculated exposure with two overlapping flashes of a 40 nm square electron beam and a separate single flash (all flashes in FIG. 10A) when the beam is at position A in FIG. ビームが図9の位置Aにある時、単一の40nm正方形ビーム(図10Aのもの)と、単一の40nmFWHMガウスビームとにおける計算ビーム電流密度のグラフを示す図である。FIG. 10 shows graphs of calculated beam current density for a single 40 nm square beam (of FIG. 10A) and a single 40 nm FWHM Gaussian beam when the beam is at position A in FIG. ビームが図9の位置Aにある時、三本の結合した40nm正方形ビーム(全て図10Aのフラッシュ、40nm間隔)と、同じく40nm間隔の三本の複合40nmFWHMガウスビームにおける計算ビーム電流密度のグラフを示す図である。When the beam is at position A in FIG. 9, a graph of the calculated beam current density for three combined 40 nm square beams (all flash in FIG. 10A, 40 nm spacing) and three composite 40 nm FWHM Gaussian beams also at 40 nm spacing. FIG. ビームが図9の位置Aにある時、ビーム間隔を40nmとして、三本の結合した40nm正方形ビームと、三本の分離した40nm正方形ビームと(全て図10Aのフラッシュ)における計算ビーム電流密度のグラフを示す図である。When the beam is at position A in FIG. 9, the calculated beam current density graph for three combined 40 nm square beams and three separate 40 nm square beams (all flash in FIG. 10A) with a beam spacing of 40 nm. FIG. ビーム間隔を全て40nmとして、三本の結合した40nmFWHMガウスビームと、三本の分離した40nmFWHMガウスビームとにおける計算ビーム電流密度のグラフを示す図である。It is a figure which shows the graph of the calculation beam current density in three 40nm FWHM Gaussian beams and three separated 40nm FWHM Gaussian beams by setting all beam intervals as 40 nm. 最適化された正方形ビームプロファイルを生成する光学系を設定するのに使用可能なビーム走査方法を示す図である。FIG. 5 illustrates a beam scanning method that can be used to set up an optical system that generates an optimized square beam profile. 最適化された40nm正方形ビームプロファイルを設定するための可能な方法を示す、図17Aの様々な走査方向に対する計算ライン走査を示す図である。FIG. 17B illustrates a calculated line scan for the various scan directions of FIG. 17A, showing a possible method for setting an optimized 40 nm square beam profile. 図8Aのビームパターン限定アパーチャ212を使用して、ビームが図9の位置Aにある時、30nm正方形電子ビームの一回のフラッシュによる計算露光量を示す図である。FIG. 8B is a diagram showing a calculated exposure amount by one flash of a 30 nm square electron beam when the beam is at the position A in FIG. 9 using the beam pattern limiting aperture 212 of FIG. 8A. 図8Aのビームパターン限定アパーチャ212を使用して、ビームが図9の位置Aにある時、約80nmの正方形電子ビームの一回のフラッシュによる計算露光量を示す図である。FIG. 8B is a diagram showing a calculated exposure dose by one flash of a square electron beam of about 80 nm when the beam is at the position A of FIG. 9 using the beam pattern limiting aperture 212 of FIG. 8A. 図8Aのビームパターン限定アパーチャ212を使用して、ビームが図9の位置Aにある時、120nm正方形電子ビームの一回のフラッシュによる計算露光量を示す図である。FIG. 8B is a diagram illustrating a calculated exposure dose by one flash of a 120 nm square electron beam when the beam is at position A in FIG. 9 using the beam pattern limiting aperture 212 of FIG. 8A. 所望の正方形ビームサイズに対するソースレンズ及び主レンズ集束電圧のグラフを示す図である。FIG. 6 is a graph showing source lens and main lens focusing voltage versus desired square beam size. 所望の正方形ビームサイズに対するチップの半角及びウエハでのビーム電流のグラフを示す図である。FIG. 5 is a graph of chip half-angle and beam current at the wafer for a desired square beam size. 所望の正方形ビームサイズに対するビームフラッシュ時間及びウエハ上での想定露光電流密度(レジスト感度5μC/cm2を仮定)のグラフを示す図である。It is a figure which shows the graph of the beam flash time with respect to a desired square beam size, and the assumption exposure current density on the wafer (resist sensitivity is assumed 5 microC / cm < 2 >). 所望の正方形ビームサイズに対するウエハ表面における仮想源の倍率のグラフを示す図である。FIG. 6 is a graph showing a magnification of a virtual source on a wafer surface against a desired square beam size. 近接効果補正を実現するためにサブフィールド毎の露光量を変化させるのに使用可能なビームブランキング戦略を示す図である。FIG. 6 shows a beam blanking strategy that can be used to change the exposure for each subfield to achieve proximity effect correction. 焦点1及び焦点2八極子電圧のために計算された設定を示す主レンズの断面接近側面図である。FIG. 6 is a cross-sectional close-up side view of the main lens showing the settings calculated for the focus 1 and focus 2 octupole voltages. ウエハステージ及び位置センサの一実施形態の模式図である。It is a schematic diagram of one Embodiment of a wafer stage and a position sensor. 鏡筒及びその制御電子機器の実施形態の概略図である。It is the schematic of embodiment of a lens-barrel and its control electronics. データパス及びシステム制御電子機器の一実施形態の概略図である。2 is a schematic diagram of one embodiment of a data path and system control electronics. FIG. 鏡筒描画領域をどのように分割し、1nmのXYアドレスグリッドを有する2μm正方形サブフィールドへそれぞれ再分割される50μm幅のストライプにできるかを示す図である。It is a figure which shows how a lens-barrel drawing area | region can be divided | segmented and can be made into a 50 micrometer width stripe each subdivided into a 2 micrometer square subfield which has XY address grid of 1 nm. XY配列内に位置決めされた多数の鏡筒により同時に50μm幅のストライプを描画する方法の実施形態の図である。FIG. 6 is a diagram of an embodiment of a method for simultaneously drawing a 50 μm wide stripe by a number of lens barrels positioned in an XY array. 一般的な300mmウエハ上でのダイの配置と、鏡筒のXY配列との間の対応性の例を示す図である。It is a figure which shows the example of the correspondence between arrangement | positioning of die | dye on a general 300 mm wafer, and XY arrangement | sequence of a lens-barrel. 1nmのXYアドレスグリッドを有する2μm正方形サブフィールドへ分割された集積回路(IC)パターンデータの図である。FIG. 5 is a diagram of integrated circuit (IC) pattern data divided into 2 μm square subfields with a 1 nm XY address grid. サブフィールドヘッダデータ形式の例の模式図である。It is a schematic diagram of the example of a subfield header data format. 単一のフラッシュ及び複数のフラッシュを描画するためのパターンデータ形式の例の模式図である。It is a schematic diagram of the example of the pattern data format for drawing a single flash and a some flash. 単一ライン及びポリラインを描画するためのパターンデータ形式の例の模式図である。It is a schematic diagram of the example of the pattern data format for drawing a single line and a polyline. サブフィールド全体、四角形、又は右上象限の三角形を描画するためのパターンデータ形式の例の模式図である。It is a schematic diagram of the example of the pattern data format for drawing the whole subfield, a rectangle, or a triangle of the upper right quadrant. 複数の描画パターンタイプを含む一般的なサブフィールドの具体例を示す図である。It is a figure which shows the specific example of the general subfield containing a some drawing pattern type. 各サブフィールド内に描画される区画を計算する、近接効果補正(PEC)方式における第一のステップを示す模式図である。It is a schematic diagram which shows the 1st step in a proximity effect correction (PEC) system which calculates the division drawn in each subfield. 一次ビーム線量に対するPEC補正が無いと仮定して、各サブフィールドの総後方散乱電子(BSE)線量を計算する、PEC方式における第二のステップの模式図である。FIG. 5 is a schematic diagram of a second step in the PEC scheme that calculates the total backscattered electron (BSE) dose for each subfield, assuming no PEC correction for the primary beam dose. 一次ビーム線量とBSE線量とを組み合わせることで、各サブフィールドの総線量を計算する、PEC方式における第三のステップの模式図である。It is a schematic diagram of the 3rd step in a PEC system which calculates the total dose of each subfield by combining a primary beam dose and a BSE dose. 必要な露光電流を3000A/cm2と仮定して、6×6乃至10×10の様々な数の鏡筒を有する一つの描画モジュールでのサブフィールド当たりのフラッシュの平均数に対する計算スループットのグラフを示す図である。Assuming a required exposure current of 3000 A / cm 2 , a graph of the computational throughput against the average number of flashes per subfield in one drawing module with various numbers of barrels from 6 × 6 to 10 × 10 FIG.

符号の説明Explanation of symbols

201 電子線ソースチップ
202 抽出電極
203 第一のソースレンズ電極
205 第一のソースレンズ電極
207 上部アライメント偏向器/非点補正器
208 下部アライメント偏向器
212 ビームパターン限定アパーチャ
214 下部メインフィールド偏向器
215 サブフィールド偏向器/非点補正器
216 焦点1電極組立体
217 焦点2電極組立体
276 ビームトリミングアパーチャ
2101 ソースレンズ(V)
2103 正方形ビームサイズ(nm)
2102 主レンズ(V)
2510 ソース及びレンズ制御部
2512 アライメント偏向器制御部
2513 加速カラム制御部
2511 ビームブランカドライバ
2514 メインフィールド偏向器制御部
2515 サブフィールド偏向器/非点補正器制御部
2516 主レンズ及びウエハバイアス制御部
2517 検出器制御部
2601 ウエハステージ干渉計
2650 システム制御コンピュータ
2615 パターンライブラリ記憶部
2618 コントローラ
2623 ストライプデータバッファ
2625 鏡筒コントローラ
2621 データプロセッサ
2640 6×6鏡筒配列
2510 ソースレンズ
2512 アライメント偏向器
2511 ブランカ
2514 メインフィールド偏向器
2515 サブフィールド偏向器及び非点補正器
2516 主レンズ
2517 検出器
201 Electron beam source chip 202 Extraction electrode 203 First source lens electrode 205 First source lens electrode 207 Upper alignment deflector / astigmatism corrector 208 Lower alignment deflector 212 Beam pattern limited aperture 214 Lower main field deflector 215 Sub Field deflector / astigmatism corrector 216 Focus 1 electrode assembly 217 Focus 2 electrode assembly 276 Beam trimming aperture 2101 Source lens (V)
2103 Square beam size (nm)
2102 Main lens (V)
2510 Source and Lens Control Unit 2512 Alignment Deflector Control Unit 2513 Acceleration Column Control Unit 2511 Beam Blanker Driver 2514 Main Field Deflector Control Unit 2515 Subfield Deflector / Astigmatism Corrector Control Unit 2516 Main Lens and Wafer Bias Control Unit 2517 Detection Controller 2601 Wafer stage interferometer 2650 System control computer 2615 Pattern library storage 2618 Controller 2623 Stripe data buffer 2625 Lens barrel controller 2621 Data processor 2640 6 × 6 lens array 2510 Source lens 2512 Alignment deflector 2511 Blanker 2514 Main field deflection 2515 Subfield deflector and astigmatism corrector 2516 Main lens 2517 Detector

Claims (43)

レジスト被覆基板のパターン形成を行うためのリソグラフィツールであって、
荷電粒子ビームを生成するように構成された荷電粒子源と、
前記荷電粒子源の下方に位置決めされ、前記荷電粒子ビームを実質的に層状の荷電粒子ビームにするように構成された第一のレンズと、
前記第一のレンズの下方に位置決めされ、前記レジスト被覆基板を運ぶステージと、
前記第一のレンズと前記ステージとの間に位置決めされ、前記実質的に層状の荷電粒子ビームを前記レジスト被覆基板の表面に集束させるように構成された第二のレンズと、
前記第一のレンズと前記第二のレンズとの間に位置決めされ、前記第二のレンズにより前記レジスト被覆基板の表面において所定のビームプロファイルへ集束できない前記実質的に層状の荷電粒子ビーム内の荷電粒子の大部分を遮蔽するように構成されたビームパターン限定アパーチャと
を備えるリソグラフィツール。
A lithography tool for patterning a resist-coated substrate,
A charged particle source configured to generate a charged particle beam;
A first lens positioned below the charged particle source and configured to turn the charged particle beam into a substantially layered charged particle beam;
A stage positioned below the first lens and carrying the resist-coated substrate;
A second lens positioned between the first lens and the stage and configured to focus the substantially layered charged particle beam onto a surface of the resist-coated substrate;
Charge in the substantially layered charged particle beam positioned between the first lens and the second lens and unable to focus to a predetermined beam profile on the surface of the resist-coated substrate by the second lens A lithography tool comprising: a beam pattern limited aperture configured to shield a majority of the particles.
更に、前記第一のレンズと前記ビームパターン限定アパーチャとの間に位置決めされ、前記実質的に層状の荷電粒子ビームをブランキングするビームブランカを備える、請求項1記載のリソグラフィツール。   The lithography tool of claim 1, further comprising a beam blanker positioned between the first lens and the beam pattern limiting aperture and blanking the substantially layered charged particle beam. 前記ビームブランカは、有効ブランキング面を投射して仮想源の位置へ戻すように構成された二重偏向ビームブランカである、請求項2記載のリソグラフィツール。   The lithography tool of claim 2, wherein the beam blanker is a dual deflection beam blanker configured to project an effective blanking surface back to the position of the virtual source. 更に、前記第一のレンズと前記ビームブランカとの間に位置決めされたビームトリミングアパーチャを備える、請求項2記載のリソグラフィツール。   The lithography tool according to claim 2, further comprising a beam trimming aperture positioned between the first lens and the beam blanker. 前記ビームトリミングアパーチャは、ブランキング中に前記実質的に層状のビームを前記ビームパターン限定アパーチャに掃射する際に、前記ビームパターン限定アパーチャの全ての開口領域に対して等しい露光時間を提供するように構成され、前記ビームトリミングアパーチャは、ブランキング時間を最小化するように構成される、請求項4記載のリソグラフィツール。   The beam trimming aperture provides equal exposure time for all aperture areas of the beam pattern limited aperture when sweeping the substantially layered beam onto the beam pattern limited aperture during blanking. The lithography tool of claim 4, wherein the lithography tool is configured and the beam trimming aperture is configured to minimize blanking time. 前記ビームトリミングアパーチャは、正方形の形状の開口部を有し、前記ビームパターン限定アパーチャは、正方形から派生した形状である開口部を有する、請求項5記載のリソグラフィツール。   The lithography tool according to claim 5, wherein the beam trimming aperture has an opening having a square shape, and the beam pattern limiting aperture has an opening having a shape derived from a square. 更に、前記ビームパターン限定アパーチャと前記第二のレンズとの間に位置決めされたビーム偏向器を備える、請求項1記載のリソグラフィツール。   The lithography tool according to claim 1, further comprising a beam deflector positioned between the beam pattern limiting aperture and the second lens. 前記ビーム偏向器は、前記ビームのテレセントリック走査を可能にするように構成された二重偏向器である、請求項7記載のリソグラフィツール。   The lithography tool of claim 7, wherein the beam deflector is a double deflector configured to allow telecentric scanning of the beam. 前記第二のレンズは、前記第二のレンズの有効軸が走査中の前記ビームと近軸の状態で移動できるように構成される、請求項8記載のリソグラフィツール。   The lithographic tool of claim 8, wherein the second lens is configured such that an effective axis of the second lens is movable paraxially with the beam being scanned. 前記ビームパターン限定アパーチャは、更に、前記第二のレンズにより前記レジスト被覆基板の前記表面において前記所定のビームプロファイルへ集束可能な前記実質的に層状のビーム内の荷電粒子の大部分を透過するように構成される、請求項1記載のリソグラフィツール。   The beam pattern limiting aperture is further adapted to transmit most of the charged particles in the substantially layered beam that can be focused to the predetermined beam profile at the surface of the resist-coated substrate by the second lens. The lithography tool according to claim 1, wherein the lithography tool is configured as follows. 前記ビームパターン限定アパーチャは、パターン化された導電材料を含む、請求項1記載のリソグラフィツール。   The lithography tool according to claim 1, wherein the beam pattern limiting aperture comprises a patterned conductive material. 前記ビームパターン限定アパーチャは、連続する荷電粒子透過膜に支持されたパターン化厚膜を含む、請求項1記載のリソグラフィツール。   The lithography tool of claim 1, wherein the beam pattern limiting aperture comprises a patterned thick film supported by a continuous charged particle permeable film. 前記荷電粒子は、電子である、請求項1記載のリソグラフィツール。   The lithography tool according to claim 1, wherein the charged particles are electrons. 前記ビームパターン限定アパーチャは、非円形ビームを生成するように構成される、請求項1記載のリソグラフィツール。   The lithography tool of claim 1, wherein the beam pattern limiting aperture is configured to generate a non-circular beam. 前記ビームパターン限定アパーチャは、正方形ビームを生成するように構成される、請求項1記載のリソグラフィツール。   The lithography tool of claim 1, wherein the beam pattern limiting aperture is configured to generate a square beam. レジスト被覆基板のパターン形成を行うためのリソグラフィツールであって、
荷電粒子ビームを生成するように構成された荷電粒子源と、
前記粒子源の下方に位置決めされ、前記荷電粒子ビームを実質的に層状の荷電粒子ビームにするように構成された第一のレンズと、
前記第一のレンズの下方に位置決めされ、前記レジスト被覆基板を運ぶステージと、
前記第一のレンズと前記ステージとの間に位置決めされ、前記実質的に層状の荷電粒子ビームを前記レジスト被覆基板の表面に集束させるように構成された第二のレンズと、
前記第一のレンズと前記第二のレンズとの間に位置決めされ、前記第二のレンズにより前記レジスト被覆基板の表面において所定のビームプロファイルへ集束できない前記実質的に層状のビーム内の荷電粒子の大部分を遮蔽するように構成された複数のビームパターン限定アパーチャと
を備えるリソグラフィツール。
A lithography tool for patterning a resist-coated substrate,
A charged particle source configured to generate a charged particle beam;
A first lens positioned below the particle source and configured to make the charged particle beam a substantially layered charged particle beam;
A stage positioned below the first lens and carrying the resist-coated substrate;
A second lens positioned between the first lens and the stage and configured to focus the substantially layered charged particle beam onto a surface of the resist-coated substrate;
Of charged particles in the substantially layered beam that are positioned between the first lens and the second lens and cannot be focused to a predetermined beam profile on the surface of the resist-coated substrate by the second lens. A lithography tool comprising a plurality of beam pattern limiting apertures configured to shield a majority.
前記複数のビームパターン限定アパーチャは、更に、前記第二のレンズにより前記レジスト被覆基板の前記表面において前記所定のビームプロファイルへ集束可能な前記実質的に層状のビーム内の荷電粒子の大部分を透過するように構成される、請求項16記載のリソグラフィツール。   The plurality of beam pattern limiting apertures further transmit most of the charged particles in the substantially layered beam that can be focused to the predetermined beam profile on the surface of the resist-coated substrate by the second lens. The lithography tool of claim 16, wherein the lithography tool is configured to: 前記複数のビームパターン限定アパーチャの全ては、前記ツールの光軸に沿って、互いに軸方向で分離され、前記アパーチャの全ては、前記ビームに作用して、前記レジスト被覆基板の前記表面において前記所定のビームプロファイルを生成する、請求項16記載のリソグラフィツール。   All of the plurality of beam pattern limiting apertures are axially separated from each other along the optical axis of the tool, and all of the apertures act on the beam to form the predetermined surface on the surface of the resist-coated substrate. The lithography tool of claim 16, wherein the lithography tool generates a beam profile of: 前記複数のビームパターン限定アパーチャは、二つのビームパターン限定アパーチャである、請求項18記載のリソグラフィツール。   The lithography tool of claim 18, wherein the plurality of beam pattern limiting apertures are two beam pattern limiting apertures. 前記複数のビームパターン限定アパーチャは、単一のアパーチャブレード上に位置決めされ、前記アパーチャブレードは、前記複数のビームパターン限定アパーチャの何れかの前記ビーム内への挿入を可能にするように構成される、請求項16記載のリソグラフィツール。   The plurality of beam pattern limited apertures are positioned on a single aperture blade, and the aperture blade is configured to allow insertion of any of the plurality of beam pattern limited apertures into the beam. A lithography tool according to claim 16. 荷電粒子鏡筒内のビームパターン限定アパーチャであって、前記アパーチャ及び前記鏡筒は、前記鏡筒の対物面に対して、前記アパーチャの平面における点のN:1マッピングを提供するように構成され、Nは1より大きな整数であり、前記アパーチャは、非円形ビームを生成するように構成される、ビームパターン限定アパーチャ。   A beam pattern limited aperture in a charged particle column, wherein the aperture and the column are configured to provide an N: 1 mapping of points in the plane of the aperture to the object plane of the column , N is an integer greater than 1, and the aperture is configured to generate a non-circular beam. 前記アパーチャは、前記鏡筒の前記対物面における所望のビームパターンに寄与しない荷電粒子の大部分を除外するように構成される、請求項21記載のビームパターン限定アパーチャ。   The beam pattern limited aperture according to claim 21, wherein the aperture is configured to exclude a majority of charged particles that do not contribute to a desired beam pattern at the object plane of the barrel. 前記アパーチャは、前記鏡筒内のビームブランカの下方に位置決めされる、請求項21記載のビームパターン限定アパーチャ。   The aperture limited to a beam pattern according to claim 21, wherein the aperture is positioned below a beam blanker in the lens barrel. 前記ビームパターン限定アパーチャは、ブランキングアパーチャとしても機能する、請求項23記載のビームパターン限定アパーチャ。   The beam pattern limited aperture according to claim 23, wherein the beam pattern limited aperture also functions as a blanking aperture. 前記ビームパターン限定アパーチャは、前記対物面において正方形ビームを生成するように構成される、請求項21記載のビームパターン限定アパーチャ。   The beam pattern limited aperture of claim 21, wherein the beam pattern limited aperture is configured to generate a square beam at the object plane. Nは、3に等しい、請求項21記載のビームパターン限定アパーチャ。   The beam pattern limited aperture according to claim 21, wherein N is equal to three. 成形荷電粒子ビーム生成用の荷電粒子鏡筒内のビームパターン限定アパーチャを設計する方法であって、
前記荷電粒子鏡筒の荷電粒子軌道を計算するステップと、
前記軌道が前記荷電粒子鏡筒の対物面において所望のビームプロファイル内に入るかを判断するステップと、
前記対物面において前記所望のビームプロファイルに寄与しない前記軌道を全て遮蔽する理想的なビームパターン限定アパーチャを定義するステップと、を備える方法。
A method for designing a beam pattern limited aperture in a charged particle column for generating a shaped charged particle beam,
Calculating a charged particle trajectory of the charged particle column;
Determining whether the trajectory falls within a desired beam profile at the object plane of the charged particle column;
Defining an ideal beam pattern limited aperture that shields all of the trajectories that do not contribute to the desired beam profile at the object plane.
前記計算するステップは、軌道のそれぞれの組が前記対物面の走査フィールド内の異なるビーム位置に対応する、複数の組の軌道を計算するステップを含む、請求項27記載の方法。   28. The method of claim 27, wherein the calculating step includes calculating a plurality of sets of trajectories, each set of trajectories corresponding to a different beam position within a scan field of the object plane. 更に、荷電粒子透過領域を定義するために、前記複数の組の軌道とビームパターン限定アパーチャの平面との交差をマッピングするステップを備え、前記定義するステップは、更に、前記荷電粒子透過領域を前記理想的なビームパターン限定アパーチャに組み込むステップを含む、請求項28記載の方法。   The method further comprises mapping intersections of the plurality of sets of trajectories and the plane of the beam pattern limiting aperture to define a charged particle transmission region, the defining step further comprising: 30. The method of claim 28, including the step of incorporating into an ideal beam pattern limiting aperture. 更に、実現可能なビームパターン限定アパーチャを製作するステップを備え、前記実現可能なアパーチャは、前記理想的なビームパターン限定アパーチャに極めて近似したものであり、前記所望のビームプロファイルに寄与しない荷電粒子軌道の大部分は、前記実現可能なアパーチャにより遮蔽される、請求項27記載の方法。   The method further comprises the step of fabricating a feasible beam pattern limited aperture, wherein the feasible aperture is very close to the ideal beam pattern limited aperture and does not contribute to the desired beam profile. 28. The method of claim 27, wherein a majority of is shielded by the realizable aperture. 更に、実現可能なビームパターン限定アパーチャを製作するステップを備え、前記実現可能なアパーチャは、前記理想的なビームパターン限定アパーチャに極めて近似したものであり、前記所望のビームプロファイルに寄与する荷電粒子軌道の大部分は、前記実現可能なアパーチャを透過可能となる、請求項27記載の方法。   The method further comprises the step of fabricating a feasible beam pattern limited aperture, wherein the feasible aperture is very close to the ideal beam pattern limited aperture and contributes to the desired beam profile. 28. The method of claim 27, wherein a majority of is made transparent through the feasible aperture. 前記所望のビームプロファイルに寄与しない前記荷電粒子軌道の大部分は、前記実現可能なアパーチャにより遮蔽される、請求項31記載の方法。   32. The method of claim 31, wherein a majority of the charged particle trajectories that do not contribute to the desired beam profile are shielded by the feasible aperture. 前記実現可能なアパーチャは、前記理想的なアパーチャには存在しない付加構造を有し、前記構造は機械的完全性を提供する、請求項31記載の方法。   32. The method of claim 31, wherein the realizable aperture has additional structures that are not present in the ideal aperture, and the structures provide mechanical integrity. 前記実現可能なアパーチャは、パターン化導電材料を含む、請求項31記載の方法。   32. The method of claim 31, wherein the realizable aperture comprises a patterned conductive material. 前記実現可能なアパーチャは、連続する荷電粒子透過膜に支持されたパターン化厚膜を含む、請求項31記載の方法。   32. The method of claim 31, wherein the feasible aperture comprises a patterned thick film supported on a continuous charged particle permeable membrane. 前記荷電粒子は、電子である、請求項27記載の方法。   28. The method of claim 27, wherein the charged particle is an electron. 前記計算するステップは、前記荷電粒子軌道を生成するためにレイトレーシングの数値的方法を使用するステップを含む、請求項27記載の方法。   28. The method of claim 27, wherein the calculating step comprises using a ray tracing numerical method to generate the charged particle trajectory. 前記計算するステップは、前記荷電粒子軌道を生成するために前記鏡筒の分析モデルを使用するステップを含む、請求項27記載の方法。   28. The method of claim 27, wherein the calculating includes using an analytical model of the barrel to generate the charged particle trajectory. 荷電粒子鏡筒内のビームパターン限定アパーチャの位置を最適化するための方法であって、
(a)前記荷電粒子鏡筒の荷電粒子軌道を計算するステップと
(b)前記軌道が前記荷電粒子鏡筒の対物面において所望のビームプロファイル内に入るかを判断するステップと、
(c)前記対物面において前記所望のビームプロファイルに寄与しない前記軌道を全て遮蔽する理想的なビームパターン限定アパーチャを定義するステップと、
(d)前記理想的なビームパターン限定アパーチャに極めて近似したものであり且つ前記所望のビームプロファイルに寄与しない前記荷電粒子軌道の大部分を遮蔽する、実現可能なビームパターン限定アパーチャを製作するステップと、
(e)前記鏡筒内の前記ビームパターン限定アパーチャの様々な位置に対してステップ(a)乃至(d)を実行するステップと、
(f)前記対物面において前記実現可能なビームパターン限定アパーチャにより生成されるビームプロファイルが前記対物面における前記所望のビームプロファイルに最も近くなる、前記ビームパターン限定アパーチャの最適な位置を選択するステップと
を備える方法。
A method for optimizing the position of a beam pattern limited aperture in a charged particle column,
(A) calculating a charged particle trajectory of the charged particle column; (b) determining whether the trajectory falls within a desired beam profile at the objective surface of the charged particle column;
(C) defining an ideal beam pattern limited aperture that blocks all of the trajectories that do not contribute to the desired beam profile at the object plane;
(D) producing a feasible beam pattern limited aperture that is very close to the ideal beam pattern limited aperture and shields most of the charged particle trajectories that do not contribute to the desired beam profile; ,
(E) performing steps (a) to (d) for various positions of the beam pattern limited aperture in the barrel;
(F) selecting an optimal position of the beam pattern limited aperture such that a beam profile generated by the feasible beam pattern limited aperture at the object plane is closest to the desired beam profile at the object plane; A method comprising:
前記選択するステップは、前記実現可能なアパーチャの製造可能性の考慮を含む、請求項39記載の方法。   40. The method of claim 39, wherein the step of selecting includes consideration of manufacturability of the feasible aperture. 前記選択するステップは、前記対物面における前記荷電粒子ビームの電流密度の考慮を含む、請求項39記載の方法。   40. The method of claim 39, wherein the step of selecting includes a consideration of a current density of the charged particle beam at the object plane. 前記選択するステップは、前記対物面における前記荷電粒子ビームの電流密度のプロファイルの考慮を含む、請求項39記載の方法。   40. The method of claim 39, wherein the step of selecting includes consideration of a current density profile of the charged particle beam at the object plane. 前記選択するステップは、荷電粒子の衝突による前記実現可能なアパーチャの加熱の考慮を含む、請求項39記載の方法。   40. The method of claim 39, wherein the step of selecting includes consideration of heating of the feasible aperture by charged particle collisions.
JP2009502733A 2006-03-27 2006-03-27 Optical system for generating high current density patterned charged particle beams Pending JP2009531855A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2006/011303 WO2007111603A1 (en) 2006-03-27 2006-03-27 Optics for generation of high current density patterned charged particle beams

Publications (1)

Publication Number Publication Date
JP2009531855A true JP2009531855A (en) 2009-09-03

Family

ID=38541428

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009502733A Pending JP2009531855A (en) 2006-03-27 2006-03-27 Optical system for generating high current density patterned charged particle beams

Country Status (4)

Country Link
EP (1) EP2005460A4 (en)
JP (1) JP2009531855A (en)
CN (1) CN101443877A (en)
WO (1) WO2007111603A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009054944A (en) * 2007-08-29 2009-03-12 Nuflare Technology Inc Method of creating lithographic data, and lithographic apparatus using charged particle beams

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9182660B2 (en) * 2012-06-01 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for electron beam patterning

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09167591A (en) * 1995-12-15 1997-06-24 Hitachi Ltd Scanning emission electron microscope
JPH11260308A (en) * 1998-03-10 1999-09-24 Sanyu Denshi Kk Image drawing device
JPH11297610A (en) * 1998-04-08 1999-10-29 Nikon Corp Charged particle beam aligner
JP2001102295A (en) * 1999-07-23 2001-04-13 Toshiba Corp Electron beam drawing apparatus and pattern drawing method
JP2001203150A (en) * 2000-01-21 2001-07-27 Nikon Corp Hollow aperture, charged particle beam exposure apparatus, method of aligning beam position in charged particle beam exposure apparatus, method of adjusting charged particle beam dose, method of adjusting generation source of charged particle beam, and method of manufacturing semiconductor device
JP2001244170A (en) * 2000-02-28 2001-09-07 Nikon Corp Hollow aperture for charged particle beam system and charged particle beam exposure system
JP2002124205A (en) * 2000-10-12 2002-04-26 Hitachi Ltd Scanning charged particle microscope
JP2004228309A (en) * 2003-01-22 2004-08-12 Toshiba Corp Method of controlling charged particle beam, method of manufacturing semiconductor device using the same, and charged particle beam exposure system using the same
JP2005302468A (en) * 2004-04-09 2005-10-27 Jeol Ltd Method and apparatus for displaying simulation image of charged particle beam device
JP2007528106A (en) * 2004-03-19 2007-10-04 イツェーテー インテグレイテッド サーキット テスティング ゲゼルシャフト フュール ハルブライタープリュッフテヒニク ミット ベシュレンクテル ハフツング High current density particle beam system

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001039243A1 (en) * 1999-11-23 2001-05-31 Ion Diagnostics, Inc. Electron optics for multi-beam electron beam lithography tool
KR101168200B1 (en) * 2003-05-28 2012-07-25 마퍼 리쏘그라피 아이피 비.브이. Charged particle beamlet exposure system
EP2579274A1 (en) * 2003-09-05 2013-04-10 Carl Zeiss SMT GmbH Particle-optical systems and arrangements and particle-optical components for such systems and arrangements
US7462848B2 (en) * 2003-10-07 2008-12-09 Multibeam Systems, Inc. Optics for generation of high current density patterned charged particle beams

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09167591A (en) * 1995-12-15 1997-06-24 Hitachi Ltd Scanning emission electron microscope
JPH11260308A (en) * 1998-03-10 1999-09-24 Sanyu Denshi Kk Image drawing device
JPH11297610A (en) * 1998-04-08 1999-10-29 Nikon Corp Charged particle beam aligner
JP2001102295A (en) * 1999-07-23 2001-04-13 Toshiba Corp Electron beam drawing apparatus and pattern drawing method
JP2001203150A (en) * 2000-01-21 2001-07-27 Nikon Corp Hollow aperture, charged particle beam exposure apparatus, method of aligning beam position in charged particle beam exposure apparatus, method of adjusting charged particle beam dose, method of adjusting generation source of charged particle beam, and method of manufacturing semiconductor device
JP2001244170A (en) * 2000-02-28 2001-09-07 Nikon Corp Hollow aperture for charged particle beam system and charged particle beam exposure system
JP2002124205A (en) * 2000-10-12 2002-04-26 Hitachi Ltd Scanning charged particle microscope
JP2004228309A (en) * 2003-01-22 2004-08-12 Toshiba Corp Method of controlling charged particle beam, method of manufacturing semiconductor device using the same, and charged particle beam exposure system using the same
JP2007528106A (en) * 2004-03-19 2007-10-04 イツェーテー インテグレイテッド サーキット テスティング ゲゼルシャフト フュール ハルブライタープリュッフテヒニク ミット ベシュレンクテル ハフツング High current density particle beam system
JP2005302468A (en) * 2004-04-09 2005-10-27 Jeol Ltd Method and apparatus for displaying simulation image of charged particle beam device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009054944A (en) * 2007-08-29 2009-03-12 Nuflare Technology Inc Method of creating lithographic data, and lithographic apparatus using charged particle beams

Also Published As

Publication number Publication date
EP2005460A1 (en) 2008-12-24
EP2005460A4 (en) 2010-11-24
WO2007111603A1 (en) 2007-10-04
CN101443877A (en) 2009-05-27

Similar Documents

Publication Publication Date Title
US7786454B2 (en) Optics for generation of high current density patterned charged particle beams
JP3796317B2 (en) Electron beam exposure method and device manufacturing method using the same
TWI650550B (en) Multi-beam device for high throughput ebi
JP4647820B2 (en) Charged particle beam drawing apparatus and device manufacturing method
EP1160824B1 (en) Illumination system for charged-particle lithography apparatus
US7005658B2 (en) Charged particle beam exposure apparatus and method
US6175122B1 (en) Method for writing a pattern using multiple variable shaped electron beams
JP6155044B2 (en) Electron beam drawing apparatus and electron beam drawing method
TWI478202B (en) Charged particle beam rendering method and charged particle beam rendering device
JP4077933B2 (en) Multi-electron beam exposure method and apparatus, and device manufacturing method
US5962859A (en) Multiple variable shaped electron beam system with lithographic structure
KR102410976B1 (en) Multi charged particle beam drawing device and multi charged particle beam drawing method
JP2023110072A (en) Scanning electron microscope and secondary electron detection method for scanning electron microscope
JP2019186140A (en) Multi-charged particle beam irradiation device and multi-charged particle beam irradiation method
JP2006294962A (en) Electron beam lithography system and method therefor
JP2009531855A (en) Optical system for generating high current density patterned charged particle beams
JP2007123599A (en) Charged particle beam lens array and charged particle beam exposure device using the same
JP2001093831A (en) Method and system of charged particle beam exposure, data conversion method, manufacturing method for semiconductor device and mask
JP3832914B2 (en) Electron beam exposure apparatus and device manufacturing method using the apparatus
JP4327434B2 (en) Electron beam apparatus and electron beam writing method
KR20090008283A (en) Optics for generation of high current density patterned charged particle beams
JP3529997B2 (en) Charged particle beam optical element, charged particle beam exposure apparatus and adjustment method thereof
JP2001332473A (en) Charged particle beam aligner and device-manufacturing method using it
JP2022126438A (en) Line segment image creating method and line segment image creating device
JP2007329221A (en) Charged particle beam lens array, photolithographic apparatus, and device manufacturing method

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120424

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120502

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120814