CN101443877A - Optical device for generating high current density picture composition electrified particle beam - Google Patents

Optical device for generating high current density picture composition electrified particle beam Download PDF

Info

Publication number
CN101443877A
CN101443877A CNA2006800546442A CN200680054644A CN101443877A CN 101443877 A CN101443877 A CN 101443877A CN A2006800546442 A CNA2006800546442 A CN A2006800546442A CN 200680054644 A CN200680054644 A CN 200680054644A CN 101443877 A CN101443877 A CN 101443877A
Authority
CN
China
Prior art keywords
hole
composition
charged particle
definition
lens
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800546442A
Other languages
Chinese (zh)
Inventor
N·W·帕克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
MULTIBEAM SYSTEM Inc
Multibeam Systems Inc
Original Assignee
Tokyo Electron Ltd
MULTIBEAM SYSTEM Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, MULTIBEAM SYSTEM Inc filed Critical Tokyo Electron Ltd
Publication of CN101443877A publication Critical patent/CN101443877A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/045Diaphragms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/049Focusing means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/10Lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30472Controlling the beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30472Controlling the beam
    • H01J2237/30477Beam diameter
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31752Lithography using particular beams or near-field effects, e.g. STM-like techniques
    • H01J2237/31754Lithography using particular beams or near-field effects, e.g. STM-like techniques using electron beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31776Shaped beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography

Abstract

A charged particle beam lithography system and/or method, which comprises a patterned beam defining aperture (212) for generating a high current density shaped beams (222) without the need for multiple beam shaping apertures, lenses (205, 216) for focusing charged particle beams (222) on a wafer (221), and blanking deflectors (277, 278) for deflecting the charged particle beams (222) without the need for an intermediate crossover between an electron source (201) and the wafer (221).

Description

Be used to generate the optics of high current density picture composition electrified particle beam
Technical field
The present invention relates to the charged particle optics devices field, relate in particular to the method and system that is used to generate high current density shaping electron beam.
Background technology
What utilize that electron beam comes lithographic printing ground (lithographically) composition semiconductor mask, groove and wafer is a kind of existing technology.Employed difference is write strategy and can be characterized by some key parameters:
The beam positioning strategy
The localized electron bundle is used for the resist exposure in lithography process two kinds of main methods:
(a) raster scan, wherein beam moves by the bidimensional lattice pattern of rule.The advantage of this method is that scanning electron equipment is in general fairly simple, may spend a large amount of time and moves through and do not need exposed areas but shortcoming is a beam.In addition, in order to realize point-device pattern edge location, may need complicated gray scale and/or many logical scanning.
(b) vector scan, wherein beam directly moves towards the regional bidimensional that will write.The advantage of this method is to have reduced by not needing the time of exposure area, but shortcoming is the deflection electronic equipment of more complex and expensive.Utilization is than the beam stationkeeping ability on the much smaller 2D address grid of beam dimensions, and location, accurate patterns edge is also fairly simple.
Every kind of method is favourable under specific environment all, and optimal selection depends on pattern critical dimension, pattern density (will write the percentage in zone), but also depends on beam current distribution map (seeing below).
Beam shape control
There are two kinds of well-known methods to be shaped to be used to the electron beam of the resist on the substrate of exposing:
(a) gaussian beam, be characterised in that the highest current density (general〉2000A/cm 2), because in these systems, therefore the image focusing of electron source can utilize the high brightness in source fully to substrate surface.The major defect of gaussian beam is its long electrical wave afterbody, is stretched to that beam current drops among the FWHM of bidimensional Gaussian Profile on the substrate of central beam diameter head and shoulders above-have only 50%.
(b) shaping beam, by generally have several in the middle of the electronic light post of shaped holes forms, in conjunction with the deflector that adds and lens focusedimage with formation hole on substrate surface.These systems generally have the order of magnitude beam current density lower than gaussian beam (20-50A/cm for example 2).An advantage of these systems is the electric current afterbodys that reduce outside the desired beam shape, makes the less influence that is subjected to process variation of composition.Another advantage is owing to the area of comparing variable shaping beam with single pixel can be very big, and therefore a large amount of pixels can be write efficiently simultaneously.
In semi-conductor industry, for mask with groove is write and may also have directly writing of wafer, need to realize the highest composition throughput.In two kinds of methods of beam location any can with any combination in two kinds of methods of beam shaping, but do not have a kind of demand that can satisfy semi-conductor industry fully in these four kinds of combinations.Very clear, need a kind of electron lithography system with high-throughput (several at least wafers/hour or write a groove and be less than a hour), and have composition have<ability of the very little CD of CD/8 edge precision and the simplest may the electron optics design, with guarantee that enough system reliabilities are arranged, long mean time between failures (MTBF) (MTBF) and short Mean Time To Repair.
Summary of the invention
A kind of charged particle optics device that is used to generate high current density shaping beam is disclosed at this.This device uses the design of charged particle light beam, and it generally is used to generate the gaussian beam of high current density, also has composition beam definition hole in addition, and these holes can customize the insertion of each position in the light beam.An example of charged particle light beam design will adopt two lens, and wherein charged particle source is launched the divergent beam of charged particle, and this beam is made of the charged particle beam of almost parallel first lens then.Then, the common Gauss's CURRENT DISTRIBUTION of the second lens utilization focuses on the charged particle beam of almost parallel on the substrate surface, has high current density at the center and has long-tail portion to extend towards all directions from the center of beam.In this example, composition beam definition hole can be between two lens.Based on writing the design of patterns requirement, determine the beam shape on the substrate.Then, define the shape in hole (PBDA) with multistep method exploitation composition beam disclosed herein.The PBDA shape must satisfy two requirements: it drops on most of charged particle in the predefine beam shape in should the transmission beam (1), and (2) it should stop the most of charged particle that drops in the transmission beam outside the predefine beam shape.
In adopting charged particle optical system of the present invention, can comprise a plurality of optional features, for example:
Beam blanking device-be used for is by with beam deflection conducting and by beam to the blanking hole.In the illustrated here embodiment of the present invention, PBDA also serves as the blanking hole.
Deflector-be used for moving beam by substrate surface, so that composition is carried out in the zone.In this embodiment, the D.D main deflector moves to beam at the center of the square son of 2 μ m field.In each son field, comprise the sub-field deflection device deflection beam of the single ends of the earth.
Mobile lens-in order to minimize the off-axis aberration in the shaping beam, effective optical axis axle other places dislocation of second lens is to mate the beam deflection that causes owing to the home court deflector.
Stigmator-be used for proofreading and correct light beam because the wrong defective that causes in the mechanical breakdown of various elements or location.
The method for designing that is used for composition beam definition hole is with data (for example critical dimension of IC size on the wafer and layout, IC, the alignment mark design about writing pattern, or the like) beginning, and with the combination of the optical signature of these data and charged particle beam light beam, with the optimum shaping beam size of determining to make that pattern can be write with maximal efficiency (that is high-throughput).Developing the PBDA design then, at first is as ideal form, utilizes then to revise to make it to make.After the PBDA design of advising finding, utilizing with initial exploitation this to design employed identical process it is tested, generally is to adopt the charged particle design software that utilizes ray trace to simulate under electric field and influence of magnetic field by the electrode of light beam and the actual charged particle of pole shoe (pole-piece) shaping.
The shaping beam that is generated by this light beam is characterised in that with respect to gaussian beam improved CURRENT DISTRIBUTION edge sharpness is arranged, and almost square CURRENT DISTRIBUTION (for lithography applications, the latter is very desirable) is arranged under the resist exposure dose.The advantage of this device comprises that the additional complexity that need not shaped hole, deflector and lens just can generate the ability of shaping beam, and these complexity generally can be found in variable shaping beam light beam.In addition, also realized current density, thereby significantly reduced the resist time for exposure and improved the throughput of writing in the lithography applications near the gaussian beam system.
The method that is used for designing picture composition beam definition hole (PBDA) has been described.The core of this method relates to ray trace, so that according to being used for transmission to the expectation ray that works of beam profile and stop the design process in the composition beam definition hole of the ray outside dropping on expectation distributes, determine that intrafascicular which ray of charged particle works to the expectation beam profile of a plurality of positions by substrate surface on the substrate.More innovation aspect of the present invention are described in following paragraph.
The unique blanking system that need between electron source and wafer, not use mid-span structure (crossover) of blanking system-adopt at this disclosed device.The D.D blanking interval is used for the efficient blanking planar projective is gone back to the position of virtual source.Owing to do not have the mid-span structure fundamentally to reduce because the space charge beam divergence that electronics and electron interaction cause, so this is favourable.Another advantage of D.D blanking interval geometry is in the ability of blanking beam on the beam size scope of non-constant width-in prior art design, because (single) blanking interval must be positioned at the cross-over configurations that is used in conjunction with blanking, the wide beam size scope that therefore can not realize utilizing the present invention to realize (<30nm is extremely〉120nm), because in order to change the light beam magnification ratio, so wide magnitude range must move to cross-over configurations each (width) position along optical axis.Another innovation aspect of blanking system is to use square beam correction of the flank shape hole on blanking interval, so that reduce beam size and be the square-section with beam shaping.The advantage of doing like this is beam only to be configured as be a bit larger tham (also serving as the blanking hole) PBDA, maximizes obtainable blanking speed thus.In addition, when inswept PBDA, square beam will shine each part of PBDA opening equably, make the deposition current on the wafer more even in the shaping beam thus.
Main deflector-the present invention adopts unique main deflector design, to optimizing than the requirement of other direction (~1 μ m) bigger distance of extrinsic deflection composition beam in a direction (general〉25 μ m).This deflector design adopts the separate mesh electrode of a large amount of (in the execution mode here being 22), but only needs 4 drive signals.The electric field that the layout simulation of 22 deflector electrodes is produced by one group of parallel-plate, this is more even than designing issuable electric field with the ends of the earth of prior art.More uniform electric field has reduced the deflection aberration that induces in the beam, and making here to describe in the composition beam of the present invention has sharper keen edge distribution.The deflector of prior art adopts the ends of the earth design of symmetry, and this will have the aberration of increase here for required big deflection.
Main lens design-for outer large-scale position (at least 25 μ m) forms the high current density beam of shaping across axle in wafer surface, the present invention adopts main lens structure, wherein the effective axle of lens can with the same moved further of the deflection of beam, make beam always appear on the optical axis of this main lens.Lens arrangement of the present invention adopts two groups of ends of the earth electrodes that are integrated in the lens arrangement, so that increase little horizontal dipole field to the plain shaft electric field of lens.For lens are focused on the beam, these dipole fields can the offset axis field〉25 μ m.Therefore, beam always to stand with axle on the almost completely the same focusing effect found.Because all off-axis aberration, (broom shape, astigmatism, the curvature of field, the distortion) of existing geometry has (variation of magnification ratio) of colourity again, all eliminated basically, improved the edge sharpness of composition beam thus, so this is favourable.Prior art systems adopts " mobile lens ", this need than adopt at this lens complexity the electrode design of Duoing.
The control system of control system-be used for multicolumn optics has been held a plurality of optical elements shared to all light beams, therefore can be controlled by single controller, and other optical element needs independent control, one in each light beam.
Pattern data path-data path of the present invention adopts the required a plurality of features of composition of coordinating a plurality of light beams simultaneously.All light beams are write that pattern stitches together so that the pattern quality of preserving on the wafer is necessary.In addition, write efficient, can generate the high current density beam (if desired, each light beam has different sizes) of various compositions in order to maximize.Therefore, a light beam can be to write the 30nm feature, and simultaneously another light beam then adopts the shaping beam of 120nm to write to comprise the land (bonding pad) of the square son of a plurality of 2 μ m.
Proximity effect correction method-for the correction of proximity effect, the present invention adopts an a kind of method by a son beam dosage variation to minimize beam and writes dosage, so that the process range in the maximization resist developing process.Adopt iterative processing, wherein determine will write in each son field the fragment in zone, this fragment is used for revising the dosage of adjacent sub-fields then, so that proofread and correct the back scattered electron (BSE) that whole resist exposure is worked.
Description of drawings
Fig. 1 shows the flow chart of design process that is used to generate highdensity composition electron beam of the electron-optical system that adopts composition beam definition hole.
Fig. 2 A shows the sectional view that utilizes non-circular hole to produce the electronics light beam of high current density picture composition electron beam.
Fig. 2 B shows the sectional view of the electronic light column bottom of Fig. 2 A.
Fig. 3 A shows near the various views of the ray the source most advanced and sophisticated 201.
Fig. 3 B shows the various views of aiming at ray in deflector/stigmator 207.
Fig. 3 C shows down the various views of ray in the aligning deflector 208.
Fig. 3 D shows the just various views of ray on beam correction of the flank shape hole 276.
Fig. 3 E shows the various views of ray in the beam blanking device.
Fig. 3 F shows down the view of ray in the beam blanking device 278.
Fig. 3 G shows the just various views of ray on beam definition hole 212.
Fig. 3 H shows the view of ray in the deflector 213 of last home court and the schematic diagram of this home court deflector.
Fig. 3 I shows down the view of ray in the home court deflector 214.
Fig. 3 J shows the view of ray in sub-field deflection device/stigmator 215.
Fig. 3 K shows the view that focuses on ray in 1 electrode assemblie 216.
Fig. 3 L shows the view that focuses on ray in 2 electrode assemblies 217.
Fig. 3 M shows the view of ray in the field-free pipe 218.
Before Fig. 4 A showed in the light beam that first pattern hole is inserted into Fig. 2 A, the center was at the figure of optical axis (0,0) and the first circular beam profile in wafer plane; The square distribution of expectation is added on this figure.
Before Fig. 4 B shows in the light beam that first pattern hole is inserted into Fig. 2 A, the center outside the optical axis+figure of 12.5 μ m and the first circular beam profile in wafer plane; The square distribution of expectation is added on this figure.
Before Fig. 4 C shows in the light beam that first pattern hole is inserted into Fig. 2 A, the center outside the optical axis+figure of 25 μ m and the first circular beam profile in wafer plane; The square distribution of expectation is added on this figure.
After Fig. 5 showed in the light beam that pattern hole is inserted into Fig. 2 A, the center was at the figure of optical axis (0,0) and the desirable beam profile in wafer plane.
Fig. 6 A shows the figure by the desirable beam of the definition of the composition beam in the light beam of Fig. 2 A hole 212 transmissions.
Fig. 6 B shows the figure of the desirable beam that is stopped by the definition of the composition beam in the light beam of Fig. 2 A hole 212.
Fig. 7 A shows the figure by the actual beam of the definition of the composition beam in the light beam of Fig. 2 A hole 212 transmissions.
Fig. 7 B shows the figure of the actual beam that is stopped by the definition of the composition beam in the light beam of Fig. 2 A hole 212.
Fig. 8 A shows and be designed to generate the composition beam definition hole (PBDA) of the square electron beam of high current density and the ray of 5000eV beam energy on wafer.
Fig. 8 B shows the mapping that the core that passes PBDA arrives the ray that ray occurs simultaneously on the wafer surface.
Fig. 8 C shows the mapping that the periphery that passes PBDA arrives the ray that ray occurs simultaneously on the wafer surface.
Fig. 9 shows the figure of the various beam spot A-D that are used for beam profile calculating on the wafer surface.
Figure 10 A shows the exposure dose that the single flash distillation (flash) owing to the square electron beam of 40nm that calculated causes when beam is arranged in the position A of Fig. 9.
Figure 10 B shows the exposure dose that the single flash distillation owing to the square electron beam of 40nm that calculated causes when beam is arranged in the position B of Fig. 9.
Figure 10 C shows the exposure dose that the single flash distillation owing to the square electron beam of 40nm that calculated causes when beam is arranged in the position C of Fig. 9.
Figure 10 D shows the exposure dose that the single flash distillation owing to the square electron beam of 40nm that calculated causes when beam is arranged in the position D of Fig. 9.
Figure 11 show when beam is arranged in the position A of Fig. 9, calculated owing to the adjacent spacing of " L " pattern is the exposure dose that three flash distillations of the square electron beam of 40nm (as all flash distillations of Figure 10 A) of 40nm cause.
Figure 12 show when beam is arranged in the position A of Fig. 9, calculated owing to twice overlapping flash distillation of the square electron beam of 40nm and the independent exposure dose that causes of flash distillation (as all flash distillations of Figure 10 A) once.
Figure 13 show when beam is arranged in the position A of Fig. 9, calculated across the square beam of single 40nm (as Figure 10 A) with across the figure of the beam current density of single 40nm FWHM gaussian beam.
Figure 14 shows the square beam of the 40nm across three combinations (separating as all flash distillations of Figure 10 A and with spacing 40nm) that calculated and across the figure of the beam current density of the 40nm FWHM gaussian beam of three combinations that also separate with the 40nm spacing when beam is arranged in the position A of Fig. 9.
Figure 15 shows the figure of the beam current density of the square beam of the 40nm across three combinations that calculated and three square beams of independent 40nm (as all flash distillations of Figure 10 A) when beam is arranged in the position A of Fig. 9, wherein beam separates with spacing 40nm.
Figure 16 shows the figure of the beam current density that calculated of 40nm FWHM gaussian beam independently across the 40nm FWHM gaussian beam of three combinations and three, and wherein all beams all separate with spacing 40nm.
Figure 17 A shows and is used to set up optical system so that generate the figure of the possible beam scanning method of optimizing square beam profile.
Figure 17 B shows the line scanning that is used for the various scanning directions of Figure 17 A that is calculated, and the possible method of the square beam profile of 40nm that is used to set up optimization has been described.
Figure 18 shows the composition beam that utilizes Fig. 8 A when beam is positioned at the position A of Fig. 9 and defines that hole 212 is calculated because the exposure dose that the single flash distillation of the square electron beam of 30nm causes.
Figure 19 show that the composition beam definition hole 212 that utilizes Fig. 8 A when beam is positioned at the position A of Fig. 9 is calculated because~exposure dose that the single flash distillation of the square electron beam of 80nm causes.
Figure 20 shows the composition beam that utilizes Fig. 8 A when beam is positioned at the position A of Fig. 9 and defines that hole 212 is calculated because the exposure dose that the single flash distillation of the square electron beam of 120nm causes.
Figure 21 A is that the square beam size of expectation is relatively assembled the source lens of voltage and the figure of main lens.
Figure 21 B be the square beam size of relatively expectation on wafer most advanced and sophisticated half-angle and the figure of beam current.
Figure 21 C is that the square beam size of the relatively expectation exposure current density of beam flash-off time and hypothesis on wafer (supposes to have 5 μ C/cm 2Resist sensitivity) figure.
Figure 21 D is the figure of square beam size magnification ratio of virtual source on wafer surface of relative expectation.
Figure 22 is the figure of beam blanking strategy, and in order to realize proximity correction, it serves as that the basis changes exposure dose that this strategy can be used for the son field.
Figure 23 is explanation to focusing on 1 and focus on the cross section closed side view that 2 ends of the earth voltages calculate the main lens of setting up.
Figure 24 is the picture specification of a kind of execution mode of wafer table and position transducer.
Figure 25 is the schematic diagram of the execution mode of light beam and control electronic device thereof.
Figure 26 is the schematic diagram of a kind of execution mode of data path and system's control electronic device.
Figure 27 A is that the demonstration light beam is write the figure how zone is divided into wide of 50 μ m, and wherein every all is subdivided into the square son of 2 μ m with 1nm X-Y address grid field basically.
Figure 27 B is used for utilizing a large amount of light beams that are positioned at the X-Y array to write the execution mode explanation of the method for wide of 50 μ m simultaneously.
Figure 27 C be show that die (die) on the typical 300nm wafer is arranged and light beam X-Y array between the figure of example of corresponding relation.
Figure 28 A is the figure that is divided into integrated circuit (IC) pattern data of the square son of 2 μ m with 1nm X-Y address grid field.
Figure 28 B is the diagrammatic representation of the example of a son data format.
Figure 28 C is used to write single flash distillation and the repeatedly diagrammatic representation of the example of the pattern data form of flash distillation.
Figure 28 D is the diagrammatic representation of example that is used to write the pattern data form of single file and multirow.
Figure 28 E is the diagrammatic representation of example that is used to write the pattern data form of whole son, and this child field is rectangle or the triangle in the right upper quadrant.
Figure 28 F shows and comprises a plurality of illustrative example of writing typical case's field of types of patterns.
Figure 29 A shows the diagrammatic representation of the first step in proximity correction (PEC) strategy, wherein calculates the fragment of being write in each son field.
Figure 29 B shows the diagrammatic representation in second step in the PEC strategy, and wherein supposing does not have PEC to proofread and correct to main beam dosage, calculates total back scattered electron (BSE) dosage that is used for each son field.
Figure 29 C shows the diagrammatic representation in the 3rd step in the PEC strategy, wherein adds that by combination main beam dosage BSE dosage calculates the accumulated dose of each son field.
Figure 30 is that hypothesis required exposure electric current is 3000A/cm 2, for the figure of the throughput that calculated of average flash distillation number of times of each son relatively of a lithographic printing module with various light beam numbers of from 6 * 6 to 10 * 10.
Embodiment
The present invention will utilize its realization in the electron beam lithography field specifically to discuss as il-lustrative example.But as following horse back general introduction, many other applications also it is contemplated that.
In order to maximize beam current density, scanning electron microscopy generally uses rough gaussian beam, minimizes the signal to noise ratio of imaging time and maximization image thus.The shortcoming of using gaussian beam to carry out microscopy is the long electrical wave afterbody that extends out from beam centre, and this can reduce obtainable picture contrast.The present invention has the potential use in scanning electron microscopy, reduces these electric current afterbody scopes, improves picture contrast thus.These identical considerations can be applied to the scanning beam imaging and the analysis tool of many types, for example scanning Auger microscope, scanning electron microscopy, scanning transmission electron microscop, or the like.
The present invention also has in the potential application of semiconductor metering with the field of inspection.Use for these, rough gaussian beam is used for maximizing metering and checking throughput by the required time of feature that minimizes on measurement or inspection semiconductor wafer or mask and the groove.The elimination of Gaussian Profile long electrical wave afterbody will improve the image contrast in these systems.On the contrary, constant if contrast keeps, then the present invention will make it possible to carry out faster that pixel data obtains, and throughput is improved thus.
Composition beam definition of the present invention hole can also be used in the particle beam system of other type, for example utilizes the system of ion.An example is to be used for the focused ion beam system that the maskless ion is implanted.In these systems, ion beam is to be made up of the implanting ions (for example, boron, arsenic, phosphorus, or the like) of expectation, and the minimizing of extracurrent afterbody will reduce the implantation that needs ion outside the doped regions.Another example is that focused ion beam is directly write lithography tool, is similar to the situation that electron beam direct is write, and wherein ion beam is used to the resist that exposes.The minimizing of extracurrent will improve the contrast in the lithographic process, increase thus to be used for the process range that resist develops.Also having another example is scanning secondary ion mass spectroscopy (SIMS) system, wherein focused ion beam bombardment sample surface, the secondary ion characteristic of inducing materials chemical composition thus.The minimizing of additional ions will improve SIMS image and mass spectral contrast and resolution, this is owing to secondary ion almost completely is to produce from interesting areas, wherein, therefore has only few products outside this zone because the ion afterbody of main ion bundle has reduced greatly.
Fig. 1 has illustrated the multistep method that is used to design the electronics light beam that adopts composition beam definition hole, is used to generate the shaping electron beam of high current density.Although the beam shape of wide scope can utilize the suitable selection of beam definition sectional hole patterns to realize, in this example, on wafer, expect to have square beam.
In piece 102, definition is about the primary data of integrated circuit (IC) pattern that will write, comprises the X-Y layout of IC on the X-Y size, wafer of critical dimension (CD), the IC of pattern and other required data.
In piece 103, definition is about the primary data of system operational parameters, and what comprise expectation writes throughput (generally be with wafer/hour be unit), and resist (generally is with μ C/cm to the sensitivity of writing beam 2Be unit), expect on the wafer write beam energy, write expense (for example wafer transmission time, aligning time, or the like) and other required parameter.
In piece 104, according to the pattern in piece 102 and 103 with write standard, determine optimum composition beam shape and size and required beam current density.For example, if specify the pattern CD of 45nm in piece 102, then the square beam profile of 40nm may be suitable.If resist sensitivity is 5 μ C/cm 2, 3000A/cm then 2Beam current density may be realize expectation to write throughput needed.
Next, in piece 106, generally will carry out the calculating of electron optics design, design with the exploitation light beam, comprise lens electrode boring, thickness, position and voltage, reach the diameter of circular beam on the wafer, this diameter is greater than the size of determined final composition beam in the piece 104.For example, if the expectation 40nm square beam, then need diameter 〉=√ 2 * 40nm ≈ 56nm circular beam-then, this beam diameter will allow to obtain the square beam of 40nm * 40nm in piece 110, and need not chamfering.Fig. 2 A-3M has illustrated the exemplary electronic light beam design of developing by means of this processing.Alternatively, the parameter of existing light beam can be transfused to, and composition beam definition hole can be designed as piece 108 beginnings are described then.
Piece 108 comprises a series of electron optics designing and calculating of utilizing the light beam design of being developed in the piece 106 to carry out, wherein be positioned at the ray (X in beam definition hole 212 (seeing Fig. 2 A), Y) terminal point on wafer surface 221 (seeing Fig. 2 A) of position and its (X, Y) record together.General use (X, Y) following five ray collection of position: 1) (that is) axle on, the 2) scanning that has difference on the wafer at the center of scanning ± 1/4th wide, and 3) scanning ± half-breadth (that is two ends that outer farthest is scanned).Then, these data be used for determining for each gather within the composition high current density beam profile which ray drops on expectation and for each gather which ray about on the wafer each independent (X, Y) position is dropped on outside the desired pattern.Fig. 4 A-4C has illustrated the orbital data on the wafer surface 221 has been divided into two groups: those data within the desired pattern and outside those data.Should be understood that, for each position in five positions on the wafer, these ray collection are not necessarily accurately corresponding to identical ray, promptly, when beam is positioned at when spool last, the ray that passes beam definition hole ad-hoc location may drop within the beam profile of expectation, but when beam deflection scan ± during half-breadth, ray may drop on outside the beam profile of expectation.Generally speaking, the beam that Fig. 4 A-4C is presented on shown all three kinds of situation lower wafers is circular, have for the position of independent ray that little variation-this is light beam design careful in the piece 106, especially introduce the home court deflector design of minimum aberration of beam, and use and almost eliminated the result that the mobile main lens of off-axis aberration is gone up in whole home court scanning (being in this example ± 25 μ m).
Piece 110 is carried out following step: find out common factor-these those rays that occur simultaneously and expect within the composition high current density beam corresponding to dropping on for all five wafer positions from five ray collection of piece 108.In general, this ray collection is than corresponding to any one little about 10-15% in each original five ray collection in five independent wafer positions in the piece 108.Because electron beam 222 clashed into composition beam definition hole before it is by home court deflector 213 and 214 deflections, feasible thus all positions for beam 222 on the wafer surface 221, exactly identical ray collection is transmitted to wafer surface 221 by composition beam definition hole 212, so this process is essential.
Piece 112 use from the orbital data of piece 110 develop desirable (promptly, might be can not physics realization) the hole design, its target is for all five positions on the wafer (promptly simultaneously, stride whole scanning), transmission all to the expectation ray that works of beam profile and stop that all drop on the ray of expectation outside distributing.Fig. 6 A shows the ray that should be defined 212 places, hole by the beam of transmission of gained.Fig. 6 B shows the ray at the beam that should be blocked definition 212 places, hole of gained.
In piece 114, last change is carried out in composition beam definition hole, so that allow 212 designs of actual hole, as illustrated among Fig. 7 A-8A.Two requirements must be satisfied in gained composition beam definition hole: it should drop on most of charged particle of expecting within the beam shape in the transmission beam (1), and it should stop the most of charged particle that drops in the transmission beam outside the expectation beam shape to reach (2).
Then, piece 116 comes the precision of measuring optical device and hole design by a large amount of electron raies of the electronics light beam of Fig. 2 A by the hole tracking that utilizes Fig. 8 A.
Piece 118 is combined in the piece 116 a large amount of rays of generating (general〉30000), and those beam current density shown in Figure 10 A-12 distribute for example to obtain.
At last, in piece 120, generate the figure that beam current distributes, and can distribute relatively with the corresponding current that is used for gaussian beam.Compare with the Gaussian Profile among Figure 16, can in Figure 13-15, see by the improved edge sharpness of the composition beam that adopts electronics light beam of the present invention to be generated.
Fig. 2 A shows the sectional view that can adopt the present invention to generate the exemplary electronic light beam of high current density picture composition electron beam.This view can be expanded along Y-axis, so that allow beam 222 and each electrode to be more clearly visible.This light beam design is typical in those designs of being developed in Fig. 1 piece 106.Illustrated parts comprise: electron source tip 201, extract electrode 202, the first source lens electrode 203, beam limiting holes (BLA) 204, the second source lens electrode 205, rifle mounting panel 206, last aligning deflector/stigmator 207, acceleration component 209, electron beam 222, following aligning deflector 208, beam correction of the flank shape hole (BTA) 276, last blanking interval 277, following blanking interval 278, optics mounting panel 210, beam definition hole installed part 211, composition beam definition hole (PBDA) 212, last home court deflector 213, following home court deflector 214, sub-field deflection device/stigmator 215, focus on 1 electrode assemblie 216, focus on 2 electrode assemblies 217, field-free pipe 218, detector module 219, the substrate 221 that voltage-contrast plate 220 reaches by electron beam 222 lithographic printing compositions.The combination that should be pointed out that field-free pipe 218, detector module 219 and voltage-contrast plate 220 is called as the detector optics, and is used for imaging alignment mark on substrate under the situation of electron beam lithography.The combination that focuses on 1 electrode assemblie 216, focusing 2 electrode assemblies 217 and field-free pipe 218 is called as main lens.
By the source most advanced and sophisticated 201 and extract under the high electric field effects that voltage difference (generally being 2500-3500V) induces between the electrode 202, electronics is most advanced and sophisticated 201 emissions from the source.The part of the close optical system symmetry axis of these electronics is passed the hole in the electrode 202, moves to the first source lens electrode 203.Beam limiting holes 204 is installed in the hole of electrode 203, and it only allows those electronics within the low-angle (generally being~2.0 ° of half-angles) to enter light beam downwards.(with respect to the tip, source 201 of 0V) generally is to be applied to electrode 203 and beam limiting holes 204-this electromotive force from 430 to 640V voltage to combine with the about 510V that is applied to second focusing electrode 205 and form the retarding lens that beam 222 is focused to the parallel-beam of passing rifle mounting panel 206.Last aligning deflector/stigmator 207 and following aligning deflector 208 be used for controlling electron beam 222 by with light (Z) parallel beam correction of the flank shape hole 276.Last aligning deflector/stigmator 207 promotes beam energy until 5000eV from 510eV with the acceleration region of aiming between the deflector 208 down 209.Then, beam 222 passes blanking interval 277 and following blanking interval 278.The patterned beam definition of some ray in the electron beam 222 hole 212 has stopped, maintains in the beam definition hole installed part 211, and other ray then passes home court deflector 213 and 214, sub-field deflection device/stigmator 215, enters main lens then.Main lens focuses on beam 222 on the substrate surface 221.(further describing at United States Patent (USP) the 6th, 734 of similar electronics light beam design provides in 428B2 number, and this patent is hereby incorporated by.)
Shown in light beam design only be that illustrative-composition beam definition hole of being generated by the inventive method can be used in a large amount of light beams designs that those skilled in the art are familiar with.
Fig. 2 B shows the sectional view of the exemplary electronic light beam bottom that can adopt the present invention to generate the high current density picture composition electron beam.Illustrated parts comprise: beam definition hole installed part 211, composition beam definition hole 212, last home court deflector 213, following home court deflector 214, sub-field deflection device/stigmator 215, focus on 1 electrode assemblie 216, focus on 1 support electrode 230, focus on 1 ends of the earth electrode 231-238, focus on 2 electrode assemblies 217, focus on 2 support electrodes 240, focus on 2 ends of the earth electrode 241-248, field-free pipe 218, detector module 219, voltage-contrast plate 220 reaches the substrate 221 of composition by electron beam 222 lithographic printings of the 250 bump substrate surfaces 221 in the position.
Electron ray shown in all figure all is to utilize SIMION 3D 6.0 versions (by the charged particle ray trace program of the DavidDahl of Idaho National Engineering and Environmental Laboratory exploitation) to calculate.
Fig. 3 A is a pair of view that leaves the ray at tip, source 201, and the initial distribution that shows ray is how " (laminar) of laminar flow ", that is, ray has from most advanced and sophisticated 201 flared even distributions, does not occur simultaneously each other.View (a) is tip, source 201, the side cross-sectional view of extracting electrode 202, the first source lens electrode 203, beam limiting holes 204 and beam 222, shows that most advanced and sophisticated 201 outside half-angles stretch out 30 ° to beam from the source.The axial, cross-sectional view of beam 222 is taken from position 301.Optical axis is parallel and vertical with Y-axis 320 with Z axle 310.
Beam 222 shown in (b), comprises ray intercept (intercept) 302 in the axial, cross-sectional view of position 301.X-axis 319 is all vertical with optical axis 310 with Y-axis 320.Can see that each ray intercept 302 separates equably in the grid corresponding to X-axis 319 and Y-axis 320.Ray in the beam 222 almost all keeps the Flow Behavior of this laminar flow in the whole process that arrives substrate surface 221.
The method for designing that is used for composition beam definition hole 212 described herein depends on the hypothesis that every ray is represented clear and definite quantity electric current.Being calculated as follows of this electric current:
I s=(generally being 0.4 ° to 1.5 ° half-angle, is 0.8 °-I usually in this example to be used to shine angle, source intensity on the emission solid angle in composition beam definition hole 212 sScope be to greater than 500 μ A/sr from 100 μ A/sr).
δ=along the angle increment between the ray 302 of X-axis 319 and Y-axis 320 (generally be 0.04 ° to 0.15 °, δ=0.08 °) in this example.
The solid angle of ω=face toward by every ray 302, for δ=0.08 °, ω=[δ (π/180 °)] 2=1.95 * 10 -6Sr
For every ray 302, I Ray302=I sω=(500 μ A/sr) (1.95 * 10 -6Sr)=0.98nA.
Hint during this calculates is a hypothesis angle intensity is uniformly on the angular region of the emission that is used for generating square beam (ray 306 that comprises Fig. 3 G), wherein square beam irradiation composition beam definition hole 212.In the example of Schottky thermal field reflector, this hypothesis is effectively because in general angle intensity launch center of distribution (that is, on the axle) at the angle be very uniform partly.Suppose invalid electron source hereto, the method that is used for composition beam definition hole design described herein can be modified as according to the initial angle of every ray 302 on the tip, source 201 considers I Ray302Different value.
Fig. 3 B is a pair of view at the ray of last aligning deflector/stigmator 207.View (a) be rifle mounting panel 206, on aim at deflector/stigmator 207 and beam 222 side cross-sectional view.
Beam 222 in the axial, cross-sectional view of position 303 shown in (b).The center of beam 222 is in last aligning deflector/stigmator 207.Show eight ends of the earth electrode 260-267 that aim at deflector/stigmator 207.Voltage can be applied to eight electrode 260-267, so that generate the rotatable ambipolar electric field that is used for deflection beam 222.In addition, voltage can be applied to eight electrode 260-267, so that generate the rotatable quadrupole field that is used for light beam beam 222 on the astigmatic correction.Ray intercept 304 is corresponding to the electronics that leaves tip, source 201 with average energy.Can see that each ray intercept 304 all separates equably, has the position toward each other much at one that the corresponding ray intercept 302 among Fig. 3 A is kept on approximate grid corresponding to X-axis 319 and Y-axis 320.
Fig. 3 C is a pair of view of the ray of following aligning deflector 208.View (a) is the side cross-sectional view of following aligning deflector 208, beam correction of the flank shape hole 276, last blanking interval 277 and beam 222.Beam 222 in the axial, cross-sectional view of position 398 shown in (b).The center of beam 222 under aim in the deflector 208.Show down eight ends of the earth electrode 268-275 of aligning deflector 208.Voltage can be applied to eight electrode 268-275, so that generate the rotatable ambipolar electric field that is used for deflection beam 222.Ray intercept 399 is corresponding to the electronics that leaves tip, source 201 with average energy.Can see that each ray intercept 399 all separates equably, has the position toward each other much at one that the corresponding ray intercept 302 among Fig. 3 A is kept on approximate grid corresponding to X-axis 319 and Y-axis 320.
Fig. 3 D is a pair of view that just is positioned at the ray on the beam correction of the flank shape hole 276, and how the initial layer distributions that shows angle shown in Fig. 3 A-3B keeps along light beam is approximate further.View (a) shows the situation for 30nm beam on the wafer 221, just is positioned at the beam 222 on the beam correction of the flank shape hole 276, and wherein voltage is applied to the first source lens electrode 203 and in general beam limiting holes 204 is about 640V.In this case, peripheral ray in the beam 222 is corresponding to the 2.0 ° of half-angles of maximum by 204 transmissions of beam limiting holes, and have only in the central square (corresponding to tip, source 201 along X-axis 319 and Y-axis 320 ± angle in 0.45 ° of half-angle) ray be transmitted to along the light beam farther place.Ray outside the central square has been stopped by beam correction of the flank shape hole 276.Beam correction of the flank shape hole is square, and square beam cross-section-as more specifically described as the following, for the correct control of dosage in the composition beam on the wafer surface 221, this is essential so that set up on composition beam definition hole 212.
View among Fig. 3 D (b) shows the situation for 120nm beam on the wafer 221, just is positioned at the beam 222 on the beam correction of the flank shape hole 276, and wherein voltage is applied to the first source lens electrode 203 and in general beam limiting holes 204 will be about 430V.Peripheral ray in the beam 222 is corresponding to the 2.0 ° of half-angles of maximum by 204 transmissions of beam limiting holes, and have only in the central square (corresponding to tip, source 201 along X-axis 319 and Y-axis 320 ± angle in 1.5 ° of half-angles) ray be transmitted to along the light beam farther place.
Fig. 3 E is a pair of view near beam blanking device and light beam wherein.View (a) be down aligning deflector 208, beam correction of the flank shape hole 276, go up blanking interval 277, the side cross-sectional view of blanking interval 278, optics mounting panel 210, beam definition hole installed part 211, composition beam definition hole 212 and beam 222 down.The axial, cross-sectional view of the position 395 that is positioned at blanking interval 277 centers of beam 222 is shown in (b).Optics intercept 394 is corresponding to the electronics that leaves tip, source 201 with average energy.Can see that each ray intercept 394 all separates equably, has the roughly the same position toward each other that ray intercept 302 corresponding among Fig. 3 A is kept on approximate grid corresponding to X-axis 319 and Y-axis 320.For blanking beam 222, generally the voltage in scope ± 1.7V+5000V is applied to blanking interval plate 280 and 282.The difference of 3.4V produces the transverse electric field parallel with Y-axis 320 between the plate 280 and 282, and as shown in Fig. 3 E (a), this electric field makes electron beam 222 bendings away from light (Z) spools 310.Plate 281 keeps the common-mode voltage of 5000V at any time, to guarantee between plate 280 and 282 in the slit electric field uniformly.
Fig. 3 F shows the axial, cross-sectional view of the position 393 at blanking interval 278 centers under being positioned at of beam 222.Ray intercept 392 is corresponding to the electronics that leaves tip, source 201 with average energy.Can see that each ray intercept 392 all separates equably, has the roughly the same position toward each other that the corresponding ray intercept 302 among Fig. 3 A is kept on approximate grid corresponding to X-axis 319 and Y-axis 320.For blanking beam 222, generally the voltage in scope ± 1.54V+5000V is applied to blanking interval plate 283 and 285.Between the plate 283 and 285 difference of 3.08V produce parallel with Y-axis 320 and with last blanking interval 277 in the opposite transverse electric field of field direction, as shown in Fig. 3 E (a), this electric field makes electron beam 222 backward towards light (Z) 310 bending.Plate 284 keeps the common-mode voltage of 5000V at any time, to guarantee between plate 283 and 285 in the slit electric field uniformly.The combination deflection effect of last blanking interval 277 and following blanking interval 278 is to seem still from light (Z) even the mode axle of virtual source location is outer and towards composition beam definition hole 212 deflection beam 222-this guarantees that not having actual cross-over configurations also to have in the light beam between source most advanced and sophisticated 201 and wafer surface 221 grips blanking altogether on the axle with beam.The benefit of avoiding the mid-span structure is that the larger diameter along light beam beam 222 has significantly reduced coulomb (space charge) beam divergence, has improved the edge sharpness of wafer surface 221 place's beams thus.
Fig. 3 G (a) shows a pair of beam 222 that just is positioned on the beam definition hole 212, has illustrated how the initial layer distributions of angle shown in Fig. 3 A-3B keeps along light beam is approximate further.Go up that the beam of square beam 305 after corresponding to the blanking shown in Fig. 3 E (a)-it has been deflected to fully away from the opening in the composition beam definition hole 212, has not therefore had transmission of radiation to arrive wafer surface 221.Ray 306 is shown in following (not blanking) square beam.Then, those transmission of radiation that pass composition beam definition hole 212 split sheds form the square beam of high current density to wafer surface 221 at 250 places, position of Fig. 2 B.
Can see the purpose in square beam correction of the flank shape hole 276 in Fig. 3 G: in order to minimize the blanking time, this is that beam size is as far as possible little necessary on the maintenance composition beam definition hole 212.And this also to be that beam has a square-section necessary, make that each position on PBDA 212 split sheds is with the time of illuminated same amount when the inswept composition beam of beam defines hole (PBDA) 212 (seeing Figure 22).This is only just possible when beam cross-section is square.For all possible beam size on the wafer surface 221, even great changes have taken place for the size of the peripheral beam diameter shown in the view of Fig. 3 D (a)-(b) (2 ° of half-angles corresponding to most advanced and sophisticated 201), the square irradiation beam of PBDA 212 also will be roughly the size shown in the view (a)-(b) of Fig. 3 G.Do not have beam correction of the flank shape hole 276, the beam diameter of irradiation PBDA 212 will show similar wide region dimensionally, and feasible littler beam size for wafer surface 221 needs the much longer blanking time.
View (b) is under the situation of the square beam of 30nm on the wafer surface 221, the feature in beam 222 cross sections on composition beam definition hole (PBDA) 212.Bigger beam dimensions for 40nm to 120nm on the wafer 221, the square beam of PBDA 212 will than in the view (b) slightly little-therefore for the square beam from 30nm to 120nm, blanking maintains about optimum (minimum) size to maximum bandwidth with the square beam of PBDA 212 in beam correction of the flank shape hole 276.
Fig. 3 H (a) is the view that is positioned at the ray 330 at home court deflector 213 centers.The initial layer distributions of angle shown in Fig. 3 A-3B keeps along light beam is approximate further.Should be pointed out that present beam cross-section keeps the image of composition beam definition hole 212 split sheds.
The purpose that comprises 213 and 214 home court deflector is as follows:
(1) medium and small (~± 2 μ m) wafer table polarization mistake of compensation X-axis 319 and Y-axis 320.
(2) medium and small (~± 2 μ m) mechanical error in light beam position in corrected X axle 319 and the Y-axis 320.
(3) beam with the particular subfield center is positioned to only can write (deflecting at least ± 25 μ m) along X-axis 319.
All these requirements all are relative low bandwidth, even but requiring big (for example, ± 25 μ m) axle extrinsic deflection is arranged along X-axis 319, the home court deflector also causes aberration hardly in beam.Because Y-axis 320 deflections are smaller, therefore, as shown in the figure, the deflector design of big X-axis deflection and little Y-axis deflection optimization is used for home court deflector 213 and following home court deflector 214.In general, the voltage to the last home court deflector 213 of maximum X-axis 319 deflection situations will be (common-mode voltage is 5000V):
Figure A200680054644D00261
Example hereto, electrode 4001-4009 and electrode 4012-4020 serve as two parallel-plates, and electrode 4010,4011,4021 and 4022 is used for keeping the required uniform directions X electric field of minimum deflection aberration.Show one group of static equipotential lines 4025, the rank that 0.5V arranged between 4997.5V and 5002.5V apart from-should be pointed out that the height in the electric field evenly will minimize deflection aberration.Under the situation of pure Y-axis 320 deflections, electrode 4009-4012 and 4001,4020-4022 serve as two parallel-plates, and electrode 4002-4008 and 4013-4019 are used for keeping uniform Y direction electric field.Because home court deflector 213 and 214 is optimized the much bigger deflection that is parallel to X-axis 319, so the design of deflector 213 and 214 is asymmetric, along Y-axis 320 bigger width is arranged, so that required higher X-axis 319 field intensities of right ± 25 μ m X deflections keep field uniformity.For required ± 1 μ m y deflection, the required field intensity degree is much smaller, therefore allows the electric field uniformity that reduces.The each side of parallel-plate deflector design is well-known to those skilled in the art.
View (b) shows and is used for voltage is connected to each possible method of electrode 4001-4022.For rough schematic view, electrode 4004-4006 and 4015-4017 have been ignored.Every pair of adjacent electrode all is resistor 4035 connections of R by value.As shown in the figure, these resistors are at drive signal V + X+Y4031, V -X+Y4032, V -X-Y4033 and V + X-YForm the voltage divider of 4 linearities between 4034.Therefore, only need 4 driving voltages to control 22 electrode 4001-4022.It is enough low that the value R of resistor 4035 must keep, make minimum RC time delay is arranged between 4 drive signal 4031-4034 and the internal electrode such as 4004-4006 and 4015-4017, but the value of R is necessary again can not be too low, and (power consumption is V to such an extent as to too much power consumption is arranged 2/ R, wherein V=deflecting voltage).For the capacitor C in the connection that minimizes to electrode 4001-4022, wish resistor 4035 install as close as possible light beam assembly, might be in vacuum casting; But owing to lack the convection current cooling, therefore heat radiation difficulty relatively in vacuum casting is so the power consumption in the resistor R 4035 must avoid too much RC to postpone to minimize during Datong District by making R as far as possible.
Fig. 3 I is positioned at the view of the ray 331 at deflector 214 centers, home court down.The initial layer distributions of angle shown in Fig. 3 A-3B keeps along light beam is approximate further with the image of PBDA 212 split sheds.Voltage on the counter electrode 4001-4022 in that differential voltage (common-mode voltage of ignoring 5000V) on following home court deflector 214 electrode 4101-4122 size always equates and polarity and the last home court deflector 213 is opposite.Show one group of static equipotential lines 4125, the rank distance of 0.5V is arranged between 4997.5V and 5002.5V.X-axis 319 is corresponding to the big yawing moment of beam 222, in general is the optical axis deflection μ m at least ± 25 on the wafer surface 221.The direction that workbench is advanced and Y-axis 320 parallel (be alternatively about each follow-up wafer scan+Y and-Y direction-see Figure 27 B).In general, electrode 4101-4122 is identical along the length of Z axle 310, and equals the length of electrode 4001-4022 in the home court deflector 213.Polarity is opposite because the length of last home court deflector 213 and following home court deflector 214 is that electrode voltage size identical and that applied is identical, and the result after therefore making up makes beam 222 depart from optical axis 310 and it returned to parallel with light (Z) spools 310.
Fig. 3 J is the axial, cross-sectional view that is positioned at the ray 332 at sub-field deflection device/stigmator 215 centers, and how the initial layer distributions that shows angle shown in Fig. 3 A-3B keeps along light beam is approximate further with the image of PBDA212 split shed.Sub-field deflection device/stigmator 215 combines two functions:
(1) as deflector (that is, having rotatable dipole excitation), sub-field deflection device/stigmator 215 is used in X 319 and Y 320 directions by μ m scanning beam 222 at the most ± 1, with the square son that covers 2 μ m,
(2) as stigmator 215 (that is, having rotatable four pole excitations), the astigmatism that sub-field deflection device/stigmator 215 corrections are gone out by other element senses in the light beam.
Because the combination beam deflection in last home court deflector 213 and the following home court deflector 214, beam 222 is off-centered in sub-field deflection device/stigmator 215.As shown in the figure, sub-field deflection device/stigmator 215 electrodes are according to traditional ends of the earth deployment arrangements.In general, electrode 223-230 in the ends of the earth will be identical and deflection and astigmatic correction voltage will be (common-mode voltages that 5000V is not shown) along the length of Z axle 310:
Sub-field deflection device/stigmator 215 electrodes Electrode voltage under+1 μ m X deflection Electrode voltage under+1 μ m y deflection Typical astigmatic correction voltage
Electrode 223 -0.52V +0.22V +0.003V
Electrode 224 -0.22V +0.52V -0.003V
Electrode
225 +0.22V +0.52V -0.003V
Electrode
226 +0.52V +0.22V +0.003V
Electrode
227 +0.52V -0.22V +0.003V
Electrode
228 +0.22V -0.52V -0.003V
Electrode 229 -0.22V -0.52V -0.003V
Electrode 230 -0.52V -0.22V +0.003V
For the beam deflection less than ± 1 μ m, the voltage in the table is with linear scale.As those skilled in the familiar, for the X-Y deflection of combination, the voltage in middle two row will increase by suitable zoom factor is linear, with the X and the y deflection of explanation expectation.Stigmator voltage be easy to as the axle extrinsic deflection square change also, will add on the X-Y deflecting voltage of each electrode 223-230.
Fig. 3 K is the axial, cross-sectional view that focuses on the ray 333 in 1 electrode assemblie 216.The initial layer distributions of angle shown in Fig. 3 A-3B keeps along light beam is approximate further with the image of PBDA 212 split sheds.Focusing on 1 electrode assemblie 216 is the parts that comprise the main lens assembly of electrode assemblie 216,217 and field-free pipe 218.The main lens assembly is used for beam 222 is focused on wafer surface 221.Because the beam deflection of combination in last home court deflector 213 and the following home court deflector 214, beam 222 are shown in off-center in focusing 1 electrode assemblie 216 (at+directions X).Focusing on 1 electrode assemblie 216 comprises: (1) has the support electrode 230 (seeing Fig. 2 C) of great circle cylindricality ID, and (2) form the electrode 231-238 of the conventional electrostatic ends of the earth, has the very short electrode length that is parallel to light (Z) axle and less than the ID of support electrode 230.The ratio that is excited into of the bipolar static excitation of ends of the earth 231-238 and last home court deflector 213 and following home court deflector 214 changes, and is concentric with beam 222 to keep the effective axle that focuses on 1 electrode assemblie 216.
Be used for+the typical electrode excitation voltage of 25 μ m directions X deflections will be (common-mode voltage that shows 5000V):
Focus on 1 electrode assemblie 216 Have+electrode voltage of 25 μ m X deflections The electrode voltage that does not have deflection Electrode voltage with-25 μ m X deflections
Electrode 230 5000V 5000V 5000V
Electrode
231 5000+2.22V 5000V 5000-2.22V
Electrode
232 5000+0.92V 5000V 5000-0.92V
Electrode
233 5000-0.92V 5000V 5000+0.92V
Electrode
234 5000-2.22V 5000V 5000+2.22V
Electrode
235 5000-2.22V 5000V 5000+2.22V
Electrode
236 5000-0.92V 5000V 5000+0.92V
Electrode
237 5000+0.92V 5000V 5000-0.92V
Electrode
238 5000+2.22V 5000V 5000-2.22V
More than the particular value shown in the table is determined theoretically according to the described process of Figure 23.
Fig. 3 L is the axial, cross-sectional view (wanting of ratio Fig. 3 K is big) that focuses on the ray 334 in 2 electrode assemblies 217.The initial layer distributions of angle shown in Fig. 3 A-3B keeps along light beam is approximate further with the image of PBDA 212 split sheds.Because the beam deflection of combination in last home court deflector 213 and the following home court deflector 214, beam 222 are shown in off-center in focusing 2 electrode assemblies 217 (at+directions X).Utilize the correct setting that focuses on the dipole excitation voltage on the electrode 241-248 in 2 electrode assemblies 217, leaving the X-Y beam spot that focuses on 2 electrode assemblies 217 will be identical with the X-Y beam spot that enters focusing 1 electrode assemblie 216.Focusing on 2 electrode assemblies 217 comprises: (1) has the support electrode 240 (seeing Fig. 2 C) of great circle cylindricality ID, and (2) form the electrode 241-248 of the conventional electrostatic ends of the earth, has the very short electrode length that is parallel to light (Z) axle and less than the ID of support electrode 240.The ratio that is excited into of the bipolar static excitation of ends of the earth 241-248 and last home court deflector 213 and following home court deflector 214 changes, and is concentric with beam 222 to keep the effective axle that focuses on 2 electrode assemblies 217.
Common-mode voltage on the electrode 240-248 is to be gone up to focus on by the axle of desired specific square beam size to require to determine.Typical focus voltage is as follows:
Square beam dimensions Source lens electrode 203 and 204 Focus on 2 electrode 240-248 common modes Most advanced and sophisticated 201 beam 222 half-angles
30nm 638.2 4906.5 0.4°
40nm 482.0 5007.4 0.8°
~80nm 445.3 5122.0 1.2°
120nm 432.0 5217.2 1.5°
For the deflection of+25 μ m directions Xs, typical electrode excitation voltage will be (common-mode voltage that shows the 5007.4V that is used for the 40nm beam):
Focus on 2 electrode assemblies 217 Have+electrode voltage of 25 μ m X deflections The electrode voltage that does not have deflection Electrode voltage with-25 μ m X deflections
Electrode 240 5007.4V 5007.4V 5007.4V
Electrode 241 5007.4+100.00V 5007.4V 5007.4-100.00V
Electrode
242 5007.4+41.42V 5007.4V 5007.4-41.42V
Electrode 243 5007.4-41.42V 5007.4V 5007.4+41.42V
Electrode 244 5007.4-100.00V 5007.4V 5007.4+100.00V
Electrode 245 5007.4-100.00V 5007.4V 5007.4+100.00V
Electrode 246 5007.4-41.42V 5007.4V 5007.4+41.42V
Electrode
247 5007.4+41.42V 5007.4V 5007.4-41.42V
Electrode 248 5007.4+120.00V 5007.4V 5007.4-120.00V
More than the particular value shown in the table is determined theoretically according to the described process of following Figure 23.Each ray intercept 334 separates on the grid corresponding to X-axis 319 and Y-axis 320 equably in the beam 222, has the roughly the same position toward each other that ray intercept 302 corresponding among Fig. 3 A is kept.
Fig. 3 M is the axial, cross-sectional view of the ray 335 in the field-free pipe 218.The initial layer distributions of angle shown in Fig. 3 A-3B keeps with the image of PBDA 212 split sheds is approximate.Because the beam deflection of making up in last home court deflector 213 and the following home court deflector 214, beam 222 are shown in off-center in the field-free pipe 218 (at+directions X).Electrode 230-238 goes up and focuses on the upward correct setting of voltage of electrode 240-248 in 2 electrode assemblies 217 in utilization focusing 1 electrode assemblie 216, and the X-Y beam spot that enters field-free pipe 218 will be roughly the same with the X-Y beam spot that enters focusing 1 electrode assemblie 216.At the inlet of field-free pipe 218, have since focus on that field penetration causes between 2 assemblies 217 and the field-free pipe 218 to disperse accelerating lens-this divergent lens general than the beam deflection on 25% wafer of will increasing that is caused owing to main deflector 213 and 214.Should be pointed out that the focussing force owing to main lens, the diameter of beam 222 is more much smaller than the diameter that focuses on 1 electrode assemblie, 216 porch now.
The use of above-mentioned " mobile lens " has two important advantages:
1) since beam always on the symmetry axis of lens field, so all off-axis aberration all fundamentally eliminated, these aberrations had not only comprised how much (broom shape, astigmatism, the curvature of field, distortion) but also had comprised (variation of magnification ratio) of colourity.
2) beam of bump wafer surface telecentric scanning always is promptly vertical with wafer surface, has improved depth of focus thus.
Consideration about beam definition hole site
The position in composition beam definition hole 212 is crucial Considerations of determining beam composition processing validity on the substrate surface 221 among the present invention.Can consider along optical axis with upper/lower positions:
1, near tip, source 201
Fig. 3 A illustration the beam 222 at tip, close source 201 distribute.Can be clear that being equally spaced of ray intercept 302 in the beam 222.In the position 301, because beam 222 very near most advanced and sophisticated, makes it almost not freely disperse from desirable equidistant angular distribution, so because any influence that spherical aberration or aberration cause all is minimum.Spherical aberration trends towards making peripheral ray back crooked towards light (symmetry) axle, and showing is exactly that peripheral ray is tightr than inner ray position.Aberration trends towards radially separating low energy electrons and high-energy electron.Beam is defined hole 212, and to navigate to shortcoming near tip, source 201 be that the electronics of impingement holes can backscattering and tip, bump source 201, causes heating and venting there.
2, on the top of quickening light beam 209
Fig. 3 B shows and is positioned at the beam profile of quickening light beam 209 tops.In this position (position 303), the ray intercept 304 in the beam 222 remains and evenly separates, and it is minimum that spherical aberration that is caused by source lens (comprising electrode 202,203,204 and 205) and aberration remain.
3, just on main deflector
Fig. 2 B show composition beam definition hole 212 the third possible position-this is the selected position of said execution mode.Beam is defined the optical alignment that key advantage that hole 212 is placed on position 3 relates to light beam.By beam correction of the flank shape hole 276 being placed on the bottom of quickening light beam 209, it can be used to help to set up the excitation of aiming at deflector 207 and 208, so that the control beam correctly also enters the bottom of light beam subsequently by composition beam definition hole 212, comprise home court deflector 213 and 214, sub-field deflection device/stigmator 215 and main lens.The correct aligning of the beam by main lens is crucial for obtaining correct composition beam at substrate surface 221.
The electron beam energy that is noted that the some place of placing beam definition hole in light beam will be a factor of definite hole caloric value that may occur.When determining the position in beam definition hole, should consider the hole heating.
Place more considerations of optimizing about beam definition hole
Can use optimization process, wherein the diverse location to beam definition hole in the light beam repeats processing shown in Figure 1.The beam definition hole design that this will produce beam profile and the electric current distribution on the substrate and be used for each position.Then, the optimum position can be determined by also considering following aspect:
A) beam profile on the substrate.
B) beam current density on the substrate.
C) manufacturability in beam definition hole.
Fig. 4 A showed before the light beam of Fig. 2 A is inserted in composition beam definition hole 212, and the circular beam profile that the center is calculated on the surface of the substrate on the optical axis 221, those beam profile normally produce in the piece 108 of Fig. 1.The cross section of beam 222 is expressed as about two axles, X 401 and Y 402.Then, be shown square but can have any composition beam shape 403 of realizing the expectation of shape at this and be added on the beam cross-section.Ray 404 drops on outside the shape 403 of expectation, and ray 405 drops on shape 403 the insides of expectation.With the corresponding X-Y coordinate of wafer surface 221 shown here together, in ray 404 and 405 each, the X-Y coordinate at write beam definition 212 places, hole.
Should be pointed out that the calculating in Fig. 1 piece 108 is adjusted to the beam pattern 403 that just encases expectation with the diameter of circular beam, shown in the corner of (square) pattern 403 considerably less ray loss is arranged.This guarantees when the emission current that uses from tip, source 201 peak efficiency is arranged, and maximizes the current density in the beam 222 on the substrate 221 thus.In the example shown, the beam pattern 403 of expectation is the square of 40nm, and that circular beam diameter has been adjusted to is bigger slightly than √ 2 * 40nm ≈ 56nm, corresponding to the distance between square beam pattern 403 diagonal angles.
Fig. 4 B showed before the light beam of Fig. 2 A is inserted in composition beam definition hole 212, and the circular beam profile of misalignment light (Z) axle+12.5 μ m that calculated on the surface of substrate 221, those beam profile normally produce in the piece 108 of Fig. 1.The cross section of beam 222 is about two axles, be X 406 with Y 402 represent-should be pointed out that to use with Fig. 4 A in identical Y-axis 402, but owing to the X deflection of+12.5 μ m, therefore defined different X-axis 406, X=0 is corresponding to X=+12.5 μ m on the X-axis 401 on the X-axis 406.Be shown identical among square expectation composition beam shape 403 and Fig. 4 A at this.Ray 407 drops on outside the shape 403 of expectation, and ray 408 drops on shape 403 the insides of expectation.With the corresponding X-Y coordinate of wafer surface 221 shown here together, in ray 407 and 408 each, the X-Y coordinate at write beam definition 212 places, hole.
Must guarantee that beam also keeps having the circle of diameter much at one when axle extrinsic deflection+12.5 μ m as shown in the figure even should be pointed out that the design of light beam.Resemble when beam when the optical axis, this is very important for identical beam size and the current density of realization when the axle extrinsic deflection.And, point out that the set that drops on the ray 408 in the desired pattern 403 shown here is important corresponding to the set that drops on the ray 405 in the desired pattern 403 among Fig. 4 A not necessarily.This is because the outer geometrical aberration of axle in the light beam.
Fig. 4 C showed before the light beam of Fig. 2 A is inserted in composition beam definition hole 212, and the circular beam profile of misalignment light (Z) axle+25 μ m that calculated on the surface of substrate 221, those beam profile normally produce in the piece 108 of Fig. 1.The cross section of beam 222 is about two axles, be X 409 with Y 402 represent-should be pointed out that to use with Fig. 4 A and 4B in identical Y-axis 402, but owing to the X deflection of+25 μ m, therefore defined different X-axis 409, X=0 is corresponding to X=+25 μ m on the X-axis 401 on the X-axis 409.Be shown identical among square expectation composition beam shape 403 and Fig. 4 A and the 4B at this.Ray 410 drops on outside the shape 403 of expectation, and ray 411 drops within the shape 403 of expectation.With the corresponding X-Y coordinate of wafer surface 221 shown here together, in ray 410 and 411 each, the X-Y coordinate at write beam definition 212 places, hole.
Must guarantee that beam also keeps having the circle of diameter much at one when axle extrinsic deflection+25 μ m as shown in the figure even should be pointed out that the design of light beam.Resemble when beam near light (Z) when axle, this is very important for identical beam size and the current density of realization when the axle extrinsic deflection ultimate range.And, the group that drops on the ray 411 in the desired pattern 403 shown in Fig. 4 A points out that not necessarily corresponding to the set that drops on the ray 405 in the desired pattern 403 among Fig. 4 A or corresponding to the set that drops on the ray 408 in the desired pattern 403 among Fig. 4 B this point also is important.This is because the outer geometrical aberration of axle in the light beam.
Fig. 5 shows after the light beam of Fig. 2 A is inserted in composition beam definition hole 212, and the square beam profile of the center that is calculated on the surface of substrate 221 on optical axis, those beam profile normally produce in the piece 108-110 of Fig. 1.The cross section of beam 222 is about two axles, and promptly X 401 and Y 402 represent, identical with among Fig. 4 A.All rays 505 all drop within the expectation beam shape 403 shown in Fig. 4 A.
Should be pointed out that calculating in the piece 110 determined the common factor of five ray collection:
1) 405 set (corresponding to there not being deflection) of the ray among Fig. 4 A
2) 408 set (corresponding to the X deflection of+12.5 μ m) of the ray among Fig. 4 B
3) 411 set (corresponding to the X deflection of+25 μ m) of the ray among Fig. 4 C
4) among Fig. 4 B on wafer surface 221 around ray 408 set of Y-axis mirror image imaging (the X coordinate is reverse) (this generate corresponding to the data of the X deflection of-12.5 μ m-should be pointed out that this also the mirror image imaging ray at beam definition 212 places, hole)
5) ray 411 that centers on Y-axis mirror image imaging (the X coordinate is reverse) among Fig. 4 C on wafer surface 221 is gathered (this generates the data corresponding to the X deflection of-25 μ m)
Any one all little 5-10% during in general these five intersection of sets collection are gathered separately than five.This is owing to make due to the outer geometrical aberration of axle of original circular beam profile distortion.The purpose of optical design process is to minimize the outer geometrical aberration of axle and maximize the size that above five ray collection are occured simultaneously thus in Fig. 1 piece 108.Therefore, 505 set of the ray shown in Fig. 5 are subclass of ray 405 set among Fig. 4 A.In this specific example, ray 405 set have 237 members, and ray 505 set have 223 members, have reduced 5.9%.
Fig. 6 A is that composition beam definition 212 places, hole should be transmitted to the Utopian ray 605,606 of wafer and the figure of 607 set, corresponding to the ray among Fig. 5 505.This is the result of the perfect apertures design of being developed in Fig. 1 piece 112.Have central ray 605 set in the zone of satisfied-40 μ m<X<+40 μ m and-40 μ m<Y<+40 μ m X-Y coordinates corresponding to those rays of the minimum spherical aberration of experience, because they are corresponding to the low-angle about optical axis on the tip, source 201.X<-40 μ m, X 〉+40 μ m, Y<-40 μ m and/or Y 〉+606 set of the peripheral ray of 40 μ m are corresponding to the ray of the big spherical aberration of experience, even this makes that they will drop on outside the expectation beam profile 403 in an optics, they also can " fold " in the beam profile 403 of expectation.Should be pointed out that in radiation profile parallel with Y-axis 602 is two holes 603.Parallel with X-axis 601 in radiation profile is two holes 604 in addition.These four holes 603 and 604 are corresponding to dropping on the ray of expectation outside the beam profile 403 (for example 404,407 or 410).Along the diagonal to Y-axis 602 and X-axis 601, all rays are all by transmission-this (circle) beam profile that is original and result along the careful coupling of its diagonal beam shape 403 expectation sizes.Hole 603 and 604 corresponding to composition beam definition hole 212 to beam 222 opaque zones, promptly real pattern.But as seeing among Fig. 6 A, these real patterns separate from the outside in beam definition hole 212, therefore can not physics realization.Ray 607 is corresponding to those rays that must lose in order to realize the design of actual apertures shown in Fig. 8 A.
Fig. 6 B be should by beam define hole 212 stop make its can not arrive wafer surface 221 Utopian ray 610 and 611 the set figure.This ray collection is replenishing of gathering shown in Fig. 6 A, and is the result of the perfect apertures design of being developed in Fig. 1 piece 112.Ray 610 set corresponding to being blocked in case generates expect square beam profile 403 those rays-these rays 610 corresponding to the hole among Fig. 6 A 603 and 604.Along the diagonal of X and Y-axis, there is not ray to be blocked-this (circle) beam profile that is original and result along the careful coupling of expectation size of its diagonal beam shape 403.The ray 611 around periphery that produces owing to initial (circle) beam profile is a bit larger tham the Diagonal Dimension of expect beam shape 403-owing to other shearing at actual beam profile angle may take place, so this is desirable.
Fig. 7 A is shown in the figure that the actual apertures design of being developed in Fig. 1 piece 114 is transmitted to the actual ray collection of wafer by Fig. 8 A.Unique variation of transmitted ray is in the position 701 between Fig. 6 A and Fig. 7 A, does not have the ray of transmission there now.This is corresponding to the loss of six rays 607 among Fig. 6 A.
Fig. 7 B is the figure of the actual ray collection that stopped by hole shown in Fig. 8 A design.This ray collection is replenishing of gathering shown in Fig. 7 A.The unique variation that stops ray between Fig. 6 B and Fig. 7 B is in the position 702, six additional rays that stop is arranged there now, corresponding to the ray 701 that lacks among Fig. 7 A.
Fig. 8 A shows to carrying out the design last result who changes in hole in Fig. 1 piece 114, so that obtain actual composition beam definition hole 212 designs.Ray intercept 605 and 606 is corresponding to Fig. 6 A and 7A, and pillar (strut) 812 is corresponding to supporting the required additional holes material of four division centers 805, and wherein four division centers 805 stop the ray corresponding to ray intercept 610.Various radial part (radii) 803 are increased to the hole design, and the manufacturing intensity that is used to add and the easiness of manufacturing-still, the big young pathbreaker of these radial part keeps required minimum, to avoid stopping too many beam current.Because home court deflection is always parallel with X-axis 601, only be parallel to little (± 1 μ m) deflection of Y-axis 602, so design is not the quadruple symmetry.Except two kicks 804 in the central square opening, this non-quadruple symmetry is obviously.Because the beam deflection around X-axis 601 and Y-axis 602 is symmetrical, so the composition beam of gained definition hole design is to center on X-axis 601 and Y-axis 602 mirror image symmetries.
Composition beam definition hole (PBDA) the 212nd remains on the conductive structure under the fixed potential.It can be made by following materials such as machining, laser ablation, micro-machine processing: metal forming, conductive film, conduction apply the thin material of diaphragm or equivalence.Utilize the application of PBDA 212 for high-energy electron incoming position in light beam, can select to use the composition thick film that is supported by continuous diaphragm, this continuous diaphragm is " electron lucent ".This design allows PBDA not need mechanical support pillar 812 and radial part 803 just can make.
Another main Consideration is the effect that electron beam 222 energy disperse, and for example utilizes Schottky emitter, and energy disperses to surpass 1.0eV FWHM.In the last light beam, i.e. the effect of the aberration of all optical elements on the beam definition hole 212 is the beams 222 at fuzzy beam definition 212 places, hole.On PBDA 212, do not have in the optical design of mid-span structure, this fuzzy be counted as usually high-energy electron away from optical axis low energy electrons near optical axis.If the colourity beam is fuzzy too big, then defines the ray that intersects in the hole and can not correctly pass the hole with the composition beam.The ray with beam definition 212 planes, hole that Fig. 8 A shows corresponding to electronics under the rated energy occurs simultaneously, and rated energy is 5000eV in this example.
Fig. 8 B has illustrated the part of the mapping that the ray that passes PBDA 212 ray on the wafer surface 221 occurs simultaneously.Square beam 840 on the wafer surface 221 is shown the ray that passes PBDA 212 centers (being roughly square) opening 860.Ray 841 passes the upper right corner of opening 860, falls on the wafer surface 221 in the upper right corner of square beam 840.Similarly, ray 845 passes the lower left corner of opening 860, falls on the wafer surface 221 in the lower left corner of square beam 840.The ray that passes PBDA 212 central openings to the mapping of square beam 840 follow the pattern identical with ray 842-844-this basically with prior art shaping beam system in the beam-forming mode of composition identical, on wafer surface 221, provided low relatively current density.
Shown in Fig. 8 B between PBDA and the wafer mapping of ray be the image of central square opening 860 on wafer surface 221 basically.In the shaping beam system of prior art, beam shape on the wafer surface 221 is the image that generally narrows down to the one or more holes on the wafer surface 221, beam shaping hole place (X, Y) coordinate on the wafer surface 221 (X, Y) coordinate has the mapping of 1:1.The mapping of 1:1 means that (X, Y) coordinate all have (X, Y) coordinate just on wafer 221 planes for each of PBDA212 plane.In order to realize 1:1 mapping, it is essential that all aberrations are all minimized, thereby imaging is by an optics control of system.Because aberration must minimize by the scope that is transmitted to the beam angle of wafer surface 221 on about electron gun tip 201, thus this limitations affect can focus on the electric current total amount in the beam on the wafer surface 221.
But,, not necessarily to adopt this 1:1 mapping in order to form the beam that is shaped in wafer surface.(wherein N is an integer, N 〉=2 can to adopt N:1 mapping from the PBDA plane to wafer plane; N=3 in the embodiments of the present invention here).At N=3 in this case, having definite three in the PBDA212 plane, different (X, Y) coordinate is mapped in wafer surface 221 planes each (X, Y) coordinate basically.The sole exception of this N:1 mapping is at the center of (0,0)=beam, but this is to not influence of beam shape, because it is away from the edge of beam.Because the irradiation of PBDA 212 is uniformly, therefore for the 3:1 mapping, electric current that may be bigger than the 1:1 mapping can focus in the shaping beam of wafer surface 221.Among the present invention the mapping be 3:1 (rather than 2:1,4:1 ...) reason be that spherical aberration is the leading aberration on the axle.Because 3:1 mapping is degradation otherwise, cause the loss of the composition beam shape expected, therefore, also be necessary off-axis aberration is minimized for the outer square beam shape of retainer shaft.In the present invention, it is concentric with beam that " mobile lens " (seeing Fig. 3 K, 3L) is used for that effective of main lens kept in all outer beam deflections of axle (seeing Figure 23).Because beam is always on the effective axle of main lens, so all off-axis aberration (how much with colourity) all almost has been eliminated, thereby keeps the leading of (axle is outer constant) spherical aberration.
Ground is not overlapping because each ray (every ray is represented the track of single electronics) can almost occur simultaneously between them, so the N:1 mapping is possible.Any remaining common factor all is called as " dispersion of space charge beam ".For the beam current of nA scope, these influences are minimum to the beam energy (50keV) that is adopted among the present invention.
In order to increase the current density in the shaping beam 840, the ray collection of passing peripheral openings 861 among the PBDA 212 and 862 except that ray 841-845 has been shown in Fig. 8 C.Ray 846 just passes and falls on the wafer surface 221 at the opening outside the central square opening 860 861 and in the lower left corner of shaping beam 840.Ray 851 passes roughly between opening 861 outer edge the opening 861 of half and falls on the wafer at the center of shaping beam 840.Ray 849 passes near opening 861 outward flanges and drops on the upper right corner of shaping beam 840.As shown in the figure, ray 847,850 and 848 mapping of passing opening 862 is similar.Should be pointed out that the situation that does not resemble opening 860, the ray that passes opening 861 and 862 is " folding ", passes away from the opening 861 at PBDA 212 centers and 862 ray and drops on the wafer surface 221 at the diagonal angle of shaping beam 840.Because the current density of irradiation PBDA 212 is uniformly, the area that therefore arrives total beam current of shaping beam 840 and opening 860-862 is proportional.Because the focusing of ray 841-845 is similar with the focusing that is used to produce prior art shaping beam, so compare with the opening 860-862 gross area, the area of opening 860 is determined the increase of beam current density on the wafer surface 221 that the application of the invention obtains.In the example of Fig. 8 B-8C, the opening 861 and 862 the gross area be about opening 860 areas 6-7 doubly, so the increase of current density is only to utilize about 7-8 that central opening 860 can obtainable current density doubly.
Fig. 9 shows the figure of various beam spot A-D on the wafer surface that is used for beam profile calculating.Only use sub-field deflection device/stigmator 215 (seeing Fig. 2 A), maximum deflection at directions X 910 is ± 1 μ m, is ± 1 μ m that beam 222 is moved to position B 902 from center A 901 in Y direction 911.Only use home court deflector 213 and 214, shown in maximum deflection be+25 μ m that beam 222 is moved to position C 903 at directions X 910.Utilize simultaneously home court deflector 213 and 214 and sub-field deflection device/stigmator 215 beam is moved to position D 904.Show the square son of typical 2 μ m field 912, defined 2 μ m914 width of scan stripes.Although home court deflector 213 and 214 totally is that beam is moved along X-axis 910, in the illustrated serpentine pattern of Figure 27 B, wafer table moves on the direction 915 that is parallel to Y-axis 911.
That Figure 10 A shows that position A is calculated in Fig. 9 because the exposure dose that the flash distillation of square electron beam single causes.Reference axis on the substrate surface 221 is X 1001 and Y 1002.Zone 1004 is corresponding to beam current density 〉=3000A/cm 2In this illustrative example, if resist sensitivity is assumed to be 5 μ C/cm 2, and the time of staying be 1.67ns, then:
Enter dosage=(current density) (time of staying) of substrate
=(3000A/cm 2) (1.67ns)=5 μ C/cm 2The sensitivity of=resist.
Therefore, resist will expose in zone 1004 fully.In zone 1003, resist dosage is<5 μ C/cm 2, so resist can not expose fully.Figure 10 A shows and is roughly the square zone of 40nm 1004.The combined effect of virtual source size, (all orders) aberration and (all orders) spherical aberration has all been considered in this calculates fully.Beam profile figure among Figure 13 is corresponding to the electric current between two row 1005 and 1006, promptly across the current density of square beam shape side.
That Figure 10 B shows that position B is calculated in Fig. 9 because the exposure dose that the flash distillation of square electron beam single causes.Reference axis on the substrate surface 221 is X 1011 and Y 1012.In Figure 10 A, zone 1014 is corresponding to beam current density 〉=3000A/cm 2The time of staying and 5 μ C/cm at 1.67ns 2Resist sensitivity under, resist will expose in 1014 fully in the zone.In zone 1013, exposure dose<5 μ C/cm 2, so resist can not expose fully.Figure 10 B shows and is roughly the square zone of 40nm 1014 equally, is very similar to zone 1004.The similitude of zone between 1004 and 1014 shows that μ m X and the sub-field deflection of Y have minimum influence to beam shape completely+1.The combined effect of virtual source size, (all orders) aberration, (all orders) spherical aberration and (geometry of all orders and colourity) off-axis aberration has all been considered in this calculates fully.
That Figure 10 C shows that position C is calculated in Fig. 9 because the exposure dose that the flash distillation of square electron beam single causes.Reference axis on the substrate surface is X 1021 and Y 1022.In Figure 10 A and 10B, zone 1024 is corresponding to beam current density 〉=3000A/cm 2The time of staying and 5 μ C/cm at 1.67ns 2Resist sensitivity under, resist will expose in 1024 fully in the zone.In zone 1023, exposure dose<5 μ C/cm 2, so resist can not expose fully.Figure 10 C shows and is roughly the square zone of 40nm 1024 equally, is very similar to zone 1004 and 1014.The μ m home court deflection completely+25 that relatively shows in zone 1004 and 1024 has minimum influence to beam shape.The combined effect of virtual source size, (all orders) aberration, (all orders) spherical aberration and (geometry of all orders and colourity) off-axis aberration has all been considered in this calculates fully.
That Figure 10 D shows that position D is calculated in Fig. 9 because the exposure dose that the flash distillation of square electron beam single causes.Reference axis on the substrate surface is X 1031 and Y 1032.In Figure 10 A-10C, zone 1034 is corresponding to beam current density 〉=3000A/cm 2The time of staying and 5 μ C/cm at 1.67ns 2Resist sensitivity under, resist will expose in 1034 fully in the zone.In zone 1033, exposure dose is<5 μ C/cm 2, so resist can not expose fully.Figure 10 D shows and is roughly the square zone of 40nm 1034 equally, is very similar to zone 1004,1014 and 1024.The μ m home court deflection completely+25 that relatively shows in zone 1004 and 1034 has minimum influence in conjunction with μ m X and the sub-field deflection of Y completely+1 to beam shape.The combined effect of virtual source size, (all orders) aberration, (all orders) spherical aberration and (geometry of all orders and colourity) off-axis aberration has all been considered in this calculates fully.
That Figure 11 shows that position A is calculated in Fig. 9 owing to, wherein have the spacing of 40nm between beam centre and the center with square electron beam (in Figure 10 A) exposure dose that three times flash distillation causes of " L " pattern adjacency.Reference axis on the substrate surface is X 1101 and Y1102.Zone 1104 is corresponding to beam current density 〉=3000A/cm 2The time of staying and 5 μ C/cm at 1.67ns 2Resist sensitivity under, resist will expose in 1104 fully in the zone.In zone 1103, exposure dose<5 μ C/cm 2, so resist can not expose fully.Exposure area 1104 roughly is " L " shape, and some fillets 1105 are arranged at the turning of " L ".Width across " L " arm is about 40nm, corresponding to the square region 1004 of 40nm.Figure 11 proves that complex pattern can be by exposing in abutting connection with square beam on substrate surface 221.The combined effect of virtual source size, (all orders) aberration and (all orders) spherical aberration has all been considered in this calculates fully.
That Figure 12 shows that position A is calculated in Fig. 9 because the exposure dose that twice overlapping flash distillation of square electron beam and independently single flash distillation (as all flash distillations among Figure 10 A) cause.Reference axis on the substrate surface is X 1201 and Y 1202.Zone 1204 and 1205 is corresponding to beam current density 〉=3000A/cm 2The time of staying and 5 μ C/cm at 1.67ns 2Resist sensitivity under, resist will expose in 1204 and 1205 fully in the zone.In zone 1203, exposure dose is<5 μ C/cm 2, so resist can not expose fully.Exposure area 1204 is identical with exposure area 1004.The zone is 1205 corresponding to twice flash distillation having only the square beam of 40nm of 30nm from the center distance among Figure 10 A, and making has that 10nm's is overlapping.This overlapping zone 1205 the central area overexposure that causes causes having in the pattern projection 1206 of 2-3nm.The pattern that Figure 12 proof does not correspond to square beam size (being 40nm in this case) integral multiple can expose on substrate surface 221 by overlapping square beam.The combined effect of virtual source size, (all orders) aberration and (all orders) spherical aberration has all been considered in this calculates fully.
What Figure 13 showed that position A is calculated in Fig. 9 strides single square beam (as Figure 10 A) and strides the figure of the beam current density 1302 of single gaussian beam along X-axis 1301.As indicated by joining 1305, the height of gaussian beam 1304 is adjusted at 3000A/cm 2(dosage=5 μ C/cm 2, suppose time of staying of 1.67ns) beam current density provide~FWHM of 40nm, and square beam current distribution curve 1303 is by calculating along the Y-axis average current density between the row 1005 and 1006 of Figure 10 A.Square beam current density is from 3000A/cm 2(in joining 1305, apart from beam centre ± 20nm) reduce to apart from beam centre only far away 5nm (at ± 25nm place)<1000A/cm 2
What Figure 14 showed that position A is calculated in Fig. 9 strides the figure of the beam current density 1402 of three adjacent square beams along X-axis 1401, the spacing that wherein has 40nm between three square beam centres and the center is with (all three beams are all at 3000A/cm from three adjacent gaussian beams 2With the FWHM that has 40nm under the center distance of 40nm) current density that obtains compares.As among Figure 13, gaussian beam is adjusted at beam current density 3000A/cm 2(dosage=5 μ C/cm 2, suppose time of staying of 1.67ns) and provide joining 1405.-20nm and+100nm (=120nm wide=3 * 40nm, the wherein width of each square beam of 40nm=), the afterbody of three square beam curves 1403 leaves the beam edge quickly than the afterbody of three gaussian beam curves 1404.The rapid decline that Figure 14 is presented at square beam edge current density makes that these beams can be adjacent, so that the generation of finding in the edge at single beam has the large scale feature of basic identical maximum current density.This has explained why might create bigger pattern (as the pattern among Figure 11 1104) in conjunction with the beam flash distillation, and has minimized because the projection that the electric current afterbody of single beam flash distillation causes.
What Figure 15 showed that position A is calculated in Fig. 9 strides the figure of the beam current density 1502 of three adjacent square beams along X-axis 1501, the spacing that wherein has 40nm between three square beam centres and the center, both shown independent square beam profile 1503,1504 and 1505, also shown three beam distribution 1403 from the combination of Figure 14.The flat relatively top of curve 1403 is (from 6045A/cm 2To 6667A/cm 2) show (when the center distance of skew 40nm) each square radiation profile 1503,1504 and 1505 precipitous side and how to provide net current density fluctuation less than ± 5%.
Figure 16 show calculated stride the figure of the beam current density 1602 of the gaussian beam 1404 (from Figure 14) of three combinations and three independent Gaussian beams 1603,1604 and 1605 along X-axis 1601, the spacing that wherein has 40nm between beam centre and the center, and each independent Gaussian beam is at exposure dose 3000A/cm 2FWHM (time of staying and the 5 μ C/cm of supposition 1.67ns with 40nm 2Resist sensitivity).Can be clear that the long-tail portion outside the expectation edge from-20nm to+100nm exposure area.These long-tail portions have reduced the required process range of maintenance desired pattern critical dimension (CD).
The combination of three square beams of adjacency has proved generally and has striden more uniform current density in exposure area and sharper keen edge (descending faster in the current density) among the curve 1403 among Figure 15 and the comparison displayed map 15A-D of the curve 1404 among Figure 16, thereby causes bigger process range.
Figure 17 A shows the figure of the possible beam scanning method that is used to set up the optics that produces the square beam profile of optimizing.The square beam profile of 1701 places, position expectations is on the center surface 1723 of particular mask structure, and wherein specific mask arrangement can be included on the wafer table of system or be installed to specific setting up on the wafer in the system.Surface 1713 is configured to provide the bigger imaging signal of imaging signal that produces than by center surface 1723 when by beam 222 irradiations.This imaging contrast can not be connected to imaging system with surface 1723 by surface 1713 is connected to imaging system and realize.Although beam 222 is in the position 1701, beam current flows to the surface 1723 that is free of attachment to imaging system.When beam 222 when direction 1702 is striden edge 1710 scanning, the beam current part of increase is connected to bump on the surface 1713 of imaging system.For fear of charge effects, the electric current that flows to surface 1713 and 1723 finally must flow to ground.In the position 1703, because beam has half on collector region 1713, so the beam current of half will be collected.Should be pointed out that the square rim of beam profile is parallel with edge 1710 when beam 222 during in direction 1702 scanning, so signal becomes 100% from 0% on the distance of the beam dimensions D 1720 that equals to be parallel to scanning direction 1702.
When beam 222 when direction 1704 is striden edge 1711 scanning, the beam current part impact surface 1713 of increase also is collected thus, to provide imaging signal.In the position 1705, because beam has half on collector region 1713, so the beam current of half will be collected.Should be pointed out that when beam 222 during in direction 1704 scanning, the square rim of beam profile and 1711 angles at 45, edge, so signal becomes 100% from 0% on the distance of the beam diagonal-size √ 2D 1722 that equals to be parallel to scanning direction 1704.
When beam 222 during in direction 1706 scanning, result and above described similar to scanning direction 1702 supposes that beam profile is square, and dimension D 1721 is parallel to scanning direction 1706.In the position 1707,, therefore there is the beam current of half just will collect because beam has half on the edge 1712 in zone 1713.
The optional method that generates image comparison will be from having the made zone 1713 and 1723 of different secondary electron emission factors, thereby make the detector optics that can use Fig. 2 A.Imaging method is well-known to those skilled in the art in electron beam system.
Figure 17 B shows Figure 17 A sweep direction 1702 and 1704 line scannings of being calculated, and has illustrated to be used to set up the possible method of optimizing square beam.Shown in axle 1740 corresponding to position along arbitrary scanning direction 1702,1704 or 1706.Intensity axis 1741 is relative, from corresponding to do not detect imaging signal 0.0 to corresponding to detecting 1.0 of maximum imaging signal.Curve 1742 is corresponding to arbitrary scanning direction 1702 or 1706.For curve 1742, the rising of intensity from 0.0 to 1.0 is from-27.5nm to+27.5nm.45 ° of curves 1743 are corresponding to scanning direction 1704.For curve 1743, from 0.0 to 1.0 rising is from-42.5nm to+42.5nm, rises slowly manyly than curve 1742.Article two, the stand out that intensity rises in the curve 1742 and 1743 can be used for tuning light beam, to generate the most square possible beam profile.Mid point 1745 is corresponding to the beam at position 1703,1704 or 1707 places.
For relatively, drawn the intensity curve 1744 of the single gaussian beam that is used to have 40nm FWHM.Away from the beam centre of 0nm, this curve and curve 1743 are overlapping.Near the beam centre of 0nm, arbitrary has slower rising in this curve ratio curve 1742 or 1743.Key difference is that Gaussian curve all has identical shape to any scanning direction 1702,1704 or 1706, when detector surface 1713 is used for imaging, and difference gaussian beam and square beam.
Utilize the composition beam definition hole 212 of Fig. 8 A, Figure 18 show Fig. 9 position A calculate because the exposure dose that the single flash distillation of the square electron beam of 30nm causes.Reference axis on the substrate surface 221 is X 1801 and Y 1802.When the multiple shape of lithographic printing composition on substrate, it is useful can generating multiple shaping beam size and not need the mechanical alteration in composition beam definition hole 212.By adjusting the electro-optical device (generally being by changing the common electric voltage on the first source lens electrode 203 and the beam limiting holes 204) on light beam top, the diameter of the circular beam 222 in irradiation beam correction of the flank shape hole (BTA) 276 can be adjusted to the shaping beam size (seeing Fig. 3 D) that changes on the wafer surface 221.In example shown in Figure 180, light beam top optics has been adjusted to the square beam 1804 (rather than the square beam of the 40nm shown in Figure 10 A) that generates 30nm.Because can not being optimized to fully, total in this configuration optics provides highest current density beam (this needs different BTA 276 and PBDA212), so the exposure beam current density has been reduced to 2000A/cm 2, for 5 μ C/cm 2Resist sensitivity need time of staying of 2.50ns.Corresponding to current density 〉=2000A/cm 2, zone 1804 roughly is the square of 30nm.In zone 1803, exposure dose<5 μ C/cm 2, so resist can not expose fully.The combined effect of virtual source size, (all orders) aberration and (all orders) spherical aberration has all been considered in this calculates fully.Figure 18 proves and produces the square beam that Fig. 2 A optical system that the square beam of 40nm carried out optimizing also can be used to generate 30nm.Performance shown in Figure 180 is enough to make the composition of feature to drop to 30nm resolution, and the time of staying is only long slightly than the 40nm situation of illustrated optimization among Figure 10 A-15.
Utilize the composition beam definition hole 212 of Fig. 8 A, Figure 19 show Fig. 9 position A calculate because~exposure dose that the single flash distillation of the square electron beam of 80nm causes.Reference axis on the substrate surface 221 is X 1901 and Y 1902.In this example, the electro-optical device on light beam top is being adjusted with opposite direction shown in Figure 180, is similar to big (~80nm) the square beam 1904 of twice among Figure 10 A so that provide.Because can not being optimized to fully, total in this configuration optics provides highest current density beam (this needs different BTA276 and PBDA 212), so the exposure beam current density has been reduced to 2500A/cm 2, for 5 μ C/cm 2Resist sensitivity need time of staying of 2.00ns.Corresponding to current density 〉=2500A/cm 2, the zone 1904 roughly is~80nm square.In zone 1903, exposure dose<5 μ C/cm 2, so resist can not expose fully.The combined effect of virtual source size, (all orders) aberration and (all orders) spherical aberration has all been considered in this calculates fully.Figure 19 proves and produces Fig. 2 A optical system that the square beam of 40nm carried out optimizing and also can be used to generate~the square beam of 80nm.Performance shown in Figure 19 be enough to make can be under 80nm resolution pattern features, and the time of staying is only long slightly than the 40nm situation required time of optimizing shown in Figure 10 A-15.
Utilize the composition beam definition hole 212 of Fig. 8 A, Figure 20 show Fig. 9 position A calculate because the exposure dose that the single flash distillation of the square electron beam of 120nm causes.Reference axis on the substrate surface 221 is X 2001 and Y 2002.In this example, the electro-optical device on light beam top is adjusted in the farther place of direction same as shown in Figure 19, so that provide the beam 2004 that is similar to three times big (120nm) among Figure 10 A.Because can not being optimized to fully, total in this configuration optics provides highest current density beam (this needs different BTA 276 and PBDA 212), so the exposure beam current density has been reduced to 2000A/cm 2, for 5 μ C/cm 2Resist sensitivity need time of staying of 2.50ns.Corresponding to current density 〉=2000A/cm 2, zone 2004 is the square of 120nm.In zone 2003, exposure dose<5 μ C/cm 2, so resist can not expose fully.The combined effect of virtual source size, (all orders) aberration and (all orders) spherical aberration has all been considered in this calculates fully.Figure 20 proves and produces Fig. 2 A optical system that the square beam of 40nm carried out optimizing and also can be used to generate the square beam of 120nm.Performance shown in Figure 20 be enough to make can be under 120nm resolution pattern features, and the time of staying is only long slightly than the 40nm situation required time of optimizing shown in Figure 10 A-15.Utilize the square beam of 120nm, might utilize 256 flash distillations to write the square son (with the center to center spacing of 125nm) of 2 μ m-be necessary the to fill up big zone that to write, for example land fully.
Figure 21 A is a phase square shaped beam size 2103, the figure of source lens focus voltage 2105 in Fig. 2 A light beam (left side axle 2101) and main lens focus voltage 2104 (right axle 2102).Source lens voltage 2105 is applied to first lens electrode 203 and beam limiting holes 204.Main lens voltage 2104 is applied to and focuses on 2 support electrodes 240, and it still is used for the common-mode voltage of ends of the earth electrode 241-248.Figure 21 A shows the source lens voltage 2105 that is used for the square beam size 2103 of various expectations and a plurality of values of main lens voltage 2104, and scope is from 30nm to 120nm.Curve 2105 is recently much lower from the energy that enters electronics at tip, source 201, and it generally is an energy〉2800eV-this shows that source lens is the deceleration electrostatic lens.Curve 2104 changes to and is higher than this energy from being lower than the electron energy that enters main lens, and the electron energy that wherein enters main lens is 5000eV.It is that wherein field-free pipe 218 is under the 49986V and is used for 50000eV writing to wafer 221 between focusing 2 assemblies 217 and field-free pipe 218 from 4906.5V to 5217.2V that the prime focus effect occurs in scope.
Figure 21 B is a phase square shaped beam size 2113, at the half-angle 2114 (left side axle 2111) at tip, source 201 and the figure of the beam current 2115 (right axle 2112) on the wafer surface 221.The half-angle 2114 at tip, source 201 has following relation with the beam current 2115 on the wafer surface 221:
I s=be used to shine angle, source intensity on the emission solid angle in composition beam definition hole 212 (generally the scope of this angle intensity be from 100 μ A/sr to 500 μ A/sr).We suppose I in following table s=500 μ A/sr.Angle intensity is quite constant in the half-angle of some number of degrees of optical axis usually.
α=beam 222 is at the half-angle (unit is degree) at tip, source 201
I BeamBeam current on the=wafer surface 221
=I s[π(απ/180°) 2]
Specified square beam size Most advanced and sophisticated half-angle Beam current on the wafer Current density
30nm 0.4° 49.2nA 2000A/cm 2
40nm 0.8° 196.9nA 3000A/cm 2
80nm 1.2° 443.1nA 2500A/cm 2
120nm 1.5° 758.1nA 2000A/cm 2
Optical device designs in Fig. 1 piece 106 is optimized to and generates the square beam of 40nm with possibility highest current density.This means that optics does not have to be optimized with other beam size (30nm, 80nm and 120nm) shown in Figure 21 A-21D in the his-and-hers watches.Here it is to less than with in table, can see the descend reason of (seeing the curve 2125 of Figure 21 C) of current density greater than the 40nm beam.Might the beam that be greater than or less than 40nm be optimized in the optical device designs of Fig. 1 piece 106-especially, for the instrument extensibility of following several generations equipment, optimization can or even be carried out more for a short time to 30nm.In this case, might reduce, but may not can reduce a lot for the performance of big beam.
Figure 21 C is a phase square shaped beam size 2123, (supposes 5 μ C/cm on flash-off time 2124 (left side axle 2121) and the wafer surface 221 2Resist sensitivity) figure of current density 2125 (right axle 2122).Flash-off time and current density have reverse-power:
(current density)=(5 μ C/cm 2)/(flash-off time).
Therefore, when current density 2125 increased, flash-off time 2124 reduced on the contrary.Equally because optical design is to the 40nm beam optimization, therefore all other beam size (less than with greater than 40nm) performance located can not resemble flash-off time 2124 so good (the shortest flash-off time is best).The deterioration that drops to 30nm from 40nm is the most significant, shows that optical device designs that 30nm is optimized may be at 40nm and abovely carry out fairly goodly (although do not resemble shown in Figure 21 C so good).As can be expected, beam size is more near optimal size, and is good more about the performance of flash-off time.
Figure 21 D is the figure of the magnification ratio 2134 (left side axle 2131) of virtual source on phase square shaped beam size 2133 wafer surface 221.Magnification ratio 2134 determine since the images of virtual source cause the angle of square beam occurred how much rounding off-magnification ratio 2134 is low more, the angle is sharp more.For the Schottky electron source, the virtual source radius is 10nm, thus the magnification ratio of 0.17X corresponding on the wafer surface 221 since (0.17) of the square beam angle that causes of virtual source (10nm)=the 1.7nm radius.Colourity and geometrical aberration have also increased additional rounding off.
Figure 22 is in order to realize that proximity correction can be used for the figure of the beam blanking strategy of an a son place change exposure dose.In order to simplify, in Figure 22, last blanker is shown two plane electrodes 2202 and 2203, and beam 222 each side have one.Similarly, following blanking interval is shown two plane electrodes 2204 and 2205, and beam 222 each side have one.The electronics of launching from tip, source 201 focuses on approximately parallel beam 222 by source lens 2201, the beam definition hole 212 that beam 222 irradiations are supported by beam definition hole (BDA) installed part 211.
View (a) shows and passes beam definition hole 212 and go forward side by side into the not blanking beam 222 of light beam bottom, and wherein beam focuses on wafer surface 221 by the main lens assembly.In this case, blanker plate 2202,2203,2204 and 2205 is in identical voltage (generally being 5000V), therefore can not induce transverse electric field.Owing to do not have transverse electric field, therefore the deflection of beam 222 can not take place at blanker.
View (b) shows by the beam of blanking.The voltage of electrode 2202 changed+and voltage on 1.7V and the electrode 2203 changed-and 1.7V-this has caused the transverse electric field 2240 that upward deflects beam when beam passes blanking interval.Similarly, the voltage of electrode 2204 changed-and voltage on 1.54V and the electrode 2205 changed+1.54V, and this has caused transverse electric field 2241 in the direction opposite with last blanking interval, beam is deflected down.The final result of two deflections is that 222 outer composition beams that arrive of beam define the plane in hole (PBDA) 212 and do not pass opening.By the suitable adjustment of blanking interval voltage up and down, virtual source location remains on the axle, provides the blanking of variation.
View (c) is to show the sequential chart that is used for the possible method of exposure dose on the control wafer surface 221 as the part of proximity effect correction method.The center of beam 222 PBDA212 have three possible position :+d, 0 (not blanking) and-d.When its inswept PBDA 212, beam can be dynamically-d and+have the centre position between the d.Show 5 at interval 2221-2225, each cycle at interval is T, shows altogether 5T along time shaft 2245.Being placed on the axle 2210 of PBDA 212 drawn.According to the demand of proximity correction (PEC), may need to a different sons change dosage, as illustrated in interval 2222,2224 and 2225.
(1) in first interval 2221, by remaining on an outer distance+d2231, beam is by blanking shown in figure (b).
(2) second 2222 have illustrated the high dose blanking signal of beam 222 linear tilt 2232 by PBDA212 at interval.Because inclined-plane 2232 has taken whole gap periods T, thus the possible maximum exposure dosage of its representative, corresponding to not needing the sparse design producing of writing of proximity correction zone substantially.
(3) the 3rd intervals 2223 show the optional blanking positions to interval 2221.In interval 2223, beam remains on distance-d 2233, corresponding to the mirror image of view (b) (around optical axis).
(4) the 4th at interval 2224 show the very blanking signal of low dosage, and wherein beam 222, remains in the remaining time of gap periods T+d 2235 (blanking) position by beam definition hole 212 then from-d to+d quick slant 2234.This is corresponding to writing intensive design producing zone under the situation of big proximity correction having.
(5) last at interval 2225 shows the intermediatenesses between 2222 and 2224 at interval, and wherein beam tilted from-d to+d 2236 to pass through in most of the time of 2225 at interval, remained in the remaining time of gap periods T then-d2237.This corresponding to than at interval in 2224 low but than at interval in 2222 high pattern density write the zone.
The possible advantage of this blanking method is that easy electronics is realized, is very difficult because generate the ns blanking pulse with inferior ns precision in pulse length.In the method for this suggestion,,, only need low blanking bandwidth so have only slope to need control because do not have short rising and decline demand for the voltage that is applied to blanker plate 2202,2203,2204 and 2205.
Optionally the blanking strategy will adopt more traditional method, and wherein beam (for example ,+d) deflects into optical axis (not blanking beam 222 thus) from the first blanking position fast.Keep the center after the time for exposure required on the PBDA 212 at beam, beam (for example ,-d) will deflect into its second blanking position fast.Because the possible errors in the switching rate represent pixel time for exposure now, therefore the shortcoming of this method is the blanker of high bandwidth more.Since the first blanking position and the advantage that finishes in the second blanking position be that each point of PBDA 212 all has identical total beam time of staying, the dosage of striding the shaping beam is equated.For the next pixel that will expose, the first blanking position will be-d, and the second blanking position will be+d.As shown in Figure 22, later pixel will be utilized blanking position exposure alternately, and beam passes PBDA212 back and forth.
Figure 23 focuses on explanation being used to of calculating 1 and focus on the main lens cross section closed side view of setting up of 2 ends of the earth voltages.Beam 222 enters main lens after leaving sub-field deflection device/stigmator 215.The beam 222 of this point the axle extrinsic deflection up to ± 20 μ m-geometrical aberration for fear of axle outside (broom shape, astigmatism, the curvature of field, distortion) and off-axis chromatic aberration (variation of magnification ratio), being necessary will be by focusing on 1 assembly 216 and focusing on also mobile ± 20 μ m outside the axle of electric field that 2 assemblies 217 produce.In the prior art, used the strategy of various complexity to realize " moving target lens " or " flexible shaft lens ", the high order that adopts axle to go up static and/or magnetic lens field derive control bipolar, four utmost points, sextupole, the ends of the earth and more the high order field the applying of lens field on the axle mated beam deflection to be offset effective axis of lens.The axle extrinsic deflection that the beam that is shaped if desired is bigger then may need in conjunction with the more complicated mobile lens strategy that utilizes in these additional optics some or all.In the present invention, advised simpler method, wherein had only pure ambipolar field to be increased to and focus in the field of 1 assembly 216 and focusing 2 assemblies 217.
Focus on 1 assembly 216 and comprise support electrode 230 and ends of the earth electrode 231-238 (in the sectional view of Figure 23, having only electrode 232 and 237 as seen).In the discussion of Fig. 3 K-3L, the various voltages that adopt with the coupling beam deflection for the skew electrostatic field have been discussed.Figure 23 shows resultant static equipotential lines.Line 2301 protrudes into sub-field deflection device/stigmator 215 and focuses in the zone between 1 assembly 216, and line 2310 protrudes into and focuses on 1 assembly 216 and focus in the zone between 2 assemblies 217.Line 2301 and 2310 shape are to be determined by sub-field deflection device/stigmator 215, support electrode 230 and eight voltages that focus on 1 ends of the earth electrode 231-238.It is very little but line 2310 is had material impact to line 2301 influence to focus on voltage on 2 assemblies 217.The internal diameter (ID) of eight ends of the earth electrode 231-238 is less than the ID of support electrode 230, so electrode 231-238 has appreciable impact to the position and the shape of equipotential lines 2301 and 2310.By on the common-mode voltage of its 5000V (seeing the table of Fig. 3 K in describing), ends of the earth electrode 231-238 being increased little (<3V) the bipolar component of static, possible outer portable cord 2301 of axle and 2310 ± 20 μ m are to mate the beam deflection that causes owing to home court deflector 213 and 214.The outer beam spot of radial axle determines it is in the position 2305 in theory, and the voltage on the electrode 231-238 is adjusted to when beam and passes any deflection of eliminating beam 222 when focusing on 1 assembly 216 then.There is not beam deflection to be counted as the line 2301 correctly indication of skew, the skew of having mated beam 222.
Beam 222 enters after leaving focusing 1 assembly 216 and focuses on 2 assemblies 217.Should go blanking (if more than set up process correct execution) by focusing on 1 assembly 216 at the beam 222 of this point, so beam 222 can reach ± 20 μ m by an axle extrinsic deflection.Focus on 2 assemblies 217 and comprise support electrode 240 and eight focusing 2 ends of the earth electrode 241-248 (in the sectional view of Figure 23, having only electrode 242 and 247 as seen).In Fig. 3 L, the various voltages that adopt with the coupling beam deflection for the skew electrostatic field have been discussed.Figure 23 shows resultant static equipotential lines.Line 2303 and 2310 protrudes into and focuses on 1 assembly 216 and focus in the zone between 2 assemblies 217.Line 2303 and 2310 shape are to focus on 1 ends of the earth electrode 231-238, support electrode 240 and eight voltages that focus on 2 ends of the earth electrode 241-248 by eight to determine.Voltage on the field-free pipe 218 has slight influence to the spacing of line 2303 and line 2302.Eight ID that focus on the ID of 2 ends of the earth electrode 241-248 much smaller than support electrode 240, so electrode 241-248 has appreciable impact to the position and the shape of line 2303 and 2310.By its~common-mode voltage of 4900-5200V (seeing the table of Fig. 3 L in describing) on to ends of the earth electrode 241-238 increase the bipolar composition of static (~100V), possible axle outer portable cord 2303 and 2310 is to mate the beam deflection that causes owing to home court deflector 213 and 214.The outer beam spot of radial axle determines it is in the position 2306 in theory, and the voltage on the electrode 241-248 is adjusted to when beam and passes nearly all deflection of eliminating beam 222 when focusing on 2 assemblies 217 then.There is not beam deflection to be counted as the line 2303 and 2310 correctly indication of skew, the skew of having mated beam 222.
It is definite in theory with the above process that focusing 2 ends of the earth 241-248 go up voltage to be used to that focusing 1 ends of the earth 231-238 is set.In practice, before the deflection of position 2305 and 2306 is all eliminated, common at least twice iteration that needs to focus between 216 settings of 1 assembly and 217 settings of focusing 2 assemblies.In the electron optics model, the bipolar voltage on ends of the earth 231-238 and the 241-248 changes with the beam offset linear, and the sensitivity of beam shape final result is not too high on the wafer 221.For this reason, the voltage that obtains by theoretical model (Fig. 3 K and 3L describe in table) should be enough to realize the lens skew strategy of this suggestion in conjunction with the linear scan process described in Figure 17 A-17B.
Figure 24 is the picture specification of wafer table and a kind of execution mode of position transducer.In lithographic system, generally be installed on the precision stage 2402 at this substrate that is shown 300mm wafer 2401, wherein workbench 2402 can move by X-Y, and sometimes there is the additional shaft of for example deflection (, vertical), Z motion and rolling and pitching (in wafer plane, centering on the rotation of two vertical axis X and Y) to move with wafer around the rotation of Z axle.Here, we only are concerned about the motion in first three axle: X, Y and Yaw.Y interferometer #1 2406 and Y interferometer #2 2407 point to workbench mirror 2404 with its laser beam 2416 and 2417 separately.Because any relative motion between wafer 2401 and the mirror 2404 all can influence beam 222 Y direction and around the positioning accuracy of Yaw axle on wafer surface 221 unfriendly, so wafer 2401 must be clamped to workbench 2402 tightly and mirror 2404 must be put down very much and strict to be installed to workbench 2402 be very important.X interferometer 2405 points to workbench mirror 2403 with its laser beam 2415, and workbench mirror 2403 must be put down very much and strictness is installed to workbench 2402, to avoid the beam location mistake of directions X.If it is that then X and Y-axis are also with out of plumb by relative position definition-these mirrors of mirror 2403 and 2404 out of plumb each other that the X of workbench 2402 and Y-axis are advanced.Following formula is used to calculate X, Y and the Yaw position of wafer 2401 with respect to workbench 2402 centers 2422:
Y=[(Y interferometer #1 2406 data)+(Y interferometer #2 2407 data)]/2*K 1
X=[(X interferometer 2405 data) * K 2
Yaw=[(Y interferometer #1 2406 data)-(Y interferometer #2 2407 data)]/2*K 3
K wherein 1, K 2And K 3It is zoom factor.
Each light beam in the light beam array all has unique X-Y motion vector, for example from 2420 and 2421 of 2422s, workbench center.Then, each die calculates by making up following data with respect to the position of particular column on the wafer:
1) workbench (X, Y, Yaw) position of setting out from its (0,0,0) position
2) (X, Y) motion vector that set out from workbench (0,0,0) position of particular column.
3) (X, Y, Yaw) position (the measuring) of wafer on the workbench by the several imaging alignment marks on the wafer
This strategy that is used for the wafer position measurement is that those skilled in the art are familiar with, and just has to come from the additional consideration that multiple beam uses.A kind of example of wafer table that is applicable to multi-beam light beam assembly is at United States Patent (USP) 6,355, describes in 994, and this application is hereby incorporated by.The example that combines the control system of wafer position measurement strategies is described in U.S. Patent application 10/059,048, and this application is hereby incorporated by.
Figure 25 is the schematic diagram (comparing with Fig. 2 A) of the execution mode of light beam and control electronic device thereof.Source and lens control 2510 are applied to voltage electron source tip 201, source heater filament (not shown), suppress electrode 2501, extract electrode 202, the first source lens electrode 203, beam limiting holes 204 and the second source lens electrode 205.Aim at deflector control 2512 and voltage is applied to eight electrode 268-275 in eight electrode 260-267 aiming in deflector/stigmator 207 and the following aligning deflector 208.Quicken light beam control 2513 voltage is offered all electrodes and optics mounting panel 210 in the acceleration component 219.Beam blanker driver 2511 is applied to electrode 283-285 in electrode 280-282 in the blanking interval 277 and the following blanking interval 278 with voltage.Deflector control 2514 in home court is applied to electrode 4001,4009,4012 and 4020 in the home court deflector 213 with four voltages and also identical four voltages (opposite polarity is connected-sees Fig. 3 H and 3I) is applied to down electrodes 4101,4109,4112 and 4120 in the home court deflector 214.Sub-field deflection device and stigmator control 2515 offer voltage eight electrode 223-230 of sub-field deflection device and stigmator 215.Main lens and wafer bias control 2516 offer nine electrode 230-238 that focus on 1 electrode assemblie 216 and nine electrode 240-248 that focus on 2 electrode assemblies 217 with voltage, also offer field-free pipe 218, voltage-contrast plate 220 and wafer 221.Main lens and wafer bias control 2516 also offer common-mode voltage detector control 2517.Detector control 2517 offers detector module 219 with bias voltage.
For the multicolumn assembly,, then only need an acceleration post control 2513 if all a plurality of beams all pass identical acceleration light beam.In some execution mode, might utilize single home court deflector control 2514.Other light beam control 2510-2512 and 2515-2517 will only be applied to a light beam usually.
Figure 26 is the schematic diagram of a kind of execution mode of data path and system's control electronics.Data block 2601 will offer along three high speed data link X 2602, (Y1+Y2)/22603 and data path (Y1-Y2)/2 2604 and system's control electronics from X, Y1 and the Y2 data difference (seeing Figure 24) of three interferometers 2405,2406 and 2407.Data link X 2602 and (Y1+Y2)/2 2603 be connected to piece 2605, wherein piece 2605 determines that the center 2422 of wafer tables 2402 is with respect to light beam array center position (X, Y) (X, Y) position.Data link (Y1-Y2)/2 2604 is connected to piece 2606, and wherein piece 2606 is determined the yaw angle of wafer table 2402 with respect to light beam array 2640.Piece 2607 comprises each light beam (i in the light beam array 2640, j) (X, Y) coordinate, rule of thumb determined before this coordinate-this (X, Y) coordinate data offers piece 2608 by data link 2609, and wherein the data that provided by data link 2609,2610 and 2641 are provided piece 2608, to determine each light beam (i, i) with respect to (X, Y) position of wafer 2401.Piece 2614 uses from the data of piece 2608 to be determined by each light beam (i, (X, Y) coordinate of the son field of j) being write.Piece 2618 receives (X, Y) a son coordinate that is produced by piece 2614 by data link 2619.It is given that (then piece 2618 receives sub-field pattern case data by data link 2616 from pattern storehouse memorizer 2615, wherein needs (50 μ m bar width)/(the sub-field width degree of 2 μ m)=25 sub-field pattern case data sets for each light beam for X, a Y) son coordinate.In illustrated embodiment, 6 * 6=36 light beam arranged, then the data set sum that downloads to piece 2618 by data link 2616 is a 25 * 36=900 sub-field data collection.Piece 2618 is connected to system's control computer 2650 by data link 2617.Sub-field pattern case data from piece 2618 send to data processor 2621 by data link 2620.Sub-field pattern case data are fed to bar data buffer 2623 (one in each light beam) from data processor 2621 36 parallel data link 2622, these data of this buffer buffers are prepared to write.Light beam controller 2625 (one in each light beam) receives these data by 36 data links 2624.As shown in figure 26, these 36 light beam controllers 2625 offer each light beam power supply with sub-field data by data link 2626: source lens control 2510, aligning deflector control 2512, beam blanker driver 2511, home court deflector control 2514, sub-field deflection device and stigmator control 2515, main lens and wafer bias control 2516 and detector control 2517.
Figure 27 A (a) shows (for the example of 300mm wafer and 6 * 6 light beam arrays) 50mm * 50mm light beam and writes the zone and 2701 how can resolve into the wide bar 2702 of 50 μ m.The number of writing bar 2702 in the zone 2701 is:
The number of bar=(light beam spacing)/(bar width)
=(50mm)/(50 μ m)=1000 write bar
View (b) shows the end of typically writing bar 2702, wherein shows the independently square son of 2 μ m field 2703.The son field 2703 of each scanning adds up to:
Sub-number of fields/scanning=(bar width)/(a son size)
=(50 μ m)/(2 μ m)=25 son fields
The feature of a son field 2703 is shown in the view (c), and wherein 1nm X-Y address grid is expanded in the lower right corner.View (d) shows the address grid 2704 and 2705 of 1nm.Stepping number in each location, sub-place grid is:
Address number of steps=(a son size)/(address grid)
=(2μm)/(1nm)=2000≈2 11
Addressing~2 in an axle 11Address lattice stepping needs the address bit of following number:
Address size=log 211 of (address number of steps) ≈
Because addressing is two-dimentional, therefore 22 address bits just are enough to define in the square son of given 2 μ m 2703 Anywhere beam spot altogether.
Figure 27 B is used for utilizing simultaneously the light beam that much is positioned at the X-Y array to write the explanation of the method execution mode of wide of 50 μ m.View (a) is the perspective view (each beam all is equivalent to the beam 222 among Fig. 2 A) of beam 2706 arrays, writes concurrently on the wafer 2401 of 300mm.Each beam 2706 is write a zone 2701.
View (b) is the feature in a zone 2701, shows the beam 2706 of writing this zone 2710.Should be pointed out that scan deflection 2740 keeps beam 2706 always vertical with wafer surface 221, provides telecentric scanning thus.In Figure 27 A, 25 son fields 2703 are write in each scanning altogether, and each son field is the square of 2 μ m.Wafer table 2402 is advanced in spiral pattern 2711, alternately+Y ,-Y ,+Y ... direction, beam scanning is at directions X 2740 simultaneously.Between scanning 2707, wafer is along directions X stepping 2708, the beginning of the bar 2702 that will write up to the next one.View (c) is the detail view of scanning 2712, shows the scanning width 2715 of 25 son 2703 and 50 μ m.In scanning 2715 processes of writing, to be similar to " writing immediately " processing that those skilled in the art are familiar with, movable workbench distance 2714.
Figure 27 C shows that die on the typical 300mm wafer 2401 arranges and have the figure of correspondence example between the light beam X-Y array of X-axis 2730 and Y-axis 2731.Light beam is write zone 2701 and is had by Figure 27 A and calculate determined X-Y size, and (6x6 light beam array) is 50mm * 50mm in this example.As shown in the figure, very little zone on angle light beam [for example (0,0), (0,5), (5,0) and (5, the 5)] writing to wafer.For big light beam array (for example 7 * 7,8 * 8 ...), might delete the one or more light beams on each at four angles of light beam array.Light beam has X label 2721 and Y label 2720, wherein for 6 * 6 light beam arrays, and label from 0 to 5.For the array of even-numbered (for example 6 * 6,8 * 8 ...), light beam array center will be between light beam, and for the array of odd-numbered (for example 7 * 7,9 * 9 ...), light beam array center will be at the center of light beam.
In this example, the die size is assumed to be X size=22mm, Y size=19.5mm.Do not have scope except the edge at wafer 2401 edges, this has provided 143 dies altogether.The demand of the X-Y spacing of light beam array not being mated the X-Y spacing of die array on the wafer 2401.
Figure 28 A is the figure that resolves into integrated circuit (IC) pattern data 2801 of son field 2804, and each son field has the X size 2805 of 2 μ m and the Y size 2806 of 2 μ m.Each son field 2804 all has the X-Y address grid 2807 and 2808 of 1nm.Accurately identical son and address grid value both be used for the addressing of light beam beam also to be used for IC pattern data-to the demand of data path electronics be to adjust the position of writing beam (X-Y has ± 1 μ m peak excursion), so that the pattern data on the grid is write in covering.The IC pattern data is aimed at X-axis 2809 and Y-axis 2810, corresponding to X-axis 2730 that is used for the light beam array among Figure 27 C and Y-axis 2731.
Figure 28 B shows the example of a son data format.A son addressing requirement that is used for the IC pattern data is as follows:
The maximum IC size (4096mm of 64mm * 64mm 2)
Location, the sub-place lattice of 2 μ m among the IC * 2 μ m
16 X addresses of IC neutron field
16 Y addresses of IC neutron field are in the square son field of each 2 μ m, and the pattern addressing requirement is:
The pattern address grid of 1nm * 1nm in the son field
16 X coordinates of pattern in the son field
16 Y coordinates of pattern in the son field
For each the son field 2804 in the IC pattern data 2801, sub 2811 of definition comprises 9 bytes 2817 and comprises following data field:
Total number of patterns-the maximum number that will expose in the square son of the byte #0-1 2812=2 μ m field is 2 16-1=65535
The X address of byte #2-3 2813=(-32768 μ m that with 2 μ m are unit are to+32767 μ m)
The Y address of byte #4-5 2814=(-32768 μ m that with 2 μ m are unit are to+32767 μ m)
Byte #6 2815=PEC dosage level (never proofread and correct=255 to maximum correction=0, see Figure 29 C)
Byte #7 2816=write territory, sub-place fragment (do not write=0 to write fully=255, see Figure 29 A)
Byte #8 2818=writes the square beam size (beam size=unit is the value of the byte #8 of nm: from 0nm to 255nm) of this height field
If utilize more than a beam size and more effectively write sub, then need a plurality of sub-field data definition (each definition all has the son field head of oneself).
Figure 28 C is used to write single flash distillation and the repeatedly diagrammatic representation of the pattern data form example of flash distillation.Five bytes 2817 of data format 2820 needs that are used for the single flash distillation:
Byte #0 2821=types of patterns (=1)
The X address (1000nm to 1000nm) of byte #1-2 2822=flash distillation
The Y address (1000nm to 1000nm) of byte #3-4 2823=flash distillation
Be used for repeatedly (number of times=N) data format 2838 of flash distillation needs 4N+2 byte 2817:
Byte #0 2821=types of patterns (=2)
Byte #1 2825=flash distillation number of times (2 to 255)
The X address (1000nm to 1000nm) of byte #2-3 2826=flash distillation #1
The Y address (1000nm to 1000nm) of byte #4-5 2827=flash distillation #1
The X address (1000nm to 1000nm) of byte #2-3 2829=flash distillation #2
The Y address (1000nm to 1000nm) of byte #4-5 2830=flash distillation #2
The X address (1000nm to 1000nm) of byte #2-3 2832=flash distillation #3
The Y address (1000nm to 1000nm) of byte #4-5 2833=flash distillation #3
...... ......
The X address (1000nm to 1000nm) of byte #2-3 2835=flash distillation #N
The Y address (1000nm to 1000nm) of byte #4-5 2836=flash distillation #N
Figure 28 D is the picture specification that is used to write the pattern data form example of single file and multirow.Nine bytes 2817 of data format 2840 needs that are used for single file:
Byte #0 2821=types of patterns (=3)
The capable X address (1000nm to 1000nm) that begins of byte #1-2 2841=
The capable Y address that begins of byte #3-4 2842=(1000nm to 1000nm)
The X address (1000nm to 1000nm) of the capable end of byte #5-6 2844=
The Y address (1000nm to 1000nm) of the capable end of byte #7-8 2845=
Be used for multirow (data format 2847 of line number=N) needs 4N+6 byte 2817:
Byte #0 2821=types of patterns (=4)
Line number in the byte #1 2848=multirow (2 to 255)
The X address (1000nm to 1000nm) that the capable #1 of byte #2-3 2849=begins
The Y address (1000nm to 1000nm) that the capable #1 of byte #4-5 2850=begins
The X address (1000nm to 1000nm) that the capable #1 of byte #6-7 2852=finishes
The X address (1000nm to 1000nm) that=row #2 begins
The Y address (1000nm to 1000nm) that the capable #1 of byte #8-9 2853=finishes
The Y address (1000nm to 1000nm) that=row #2 begins
The X address (1000nm to 1000nm) that the capable #2 of byte #10-11 2855=finishes
The X address (1000nm to 1000nm) that=row #3 begins
The Y address (1000nm to 1000nm) that the capable #2 of byte #12-13 2856=finishes
The Y address (1000nm to 1000nm) that=row #3 begins
...... ......
Byte #4N+2-4N+3 2858
The X address (1000nm to 1000nm) that=row #N finishes
Byte #4N+4-4N+5 2859
The Y address (1000nm to 1000nm) that=row #N finishes
Figure 28 E is used for whole son, is used to write rectangle or is used to write the diagrammatic representation of the pattern data form example of right upper quadrant intermediate cam shape.Fill byte 2817 of 2861 needs of data format of whole son field:
Byte #0 2821=types of patterns (=5)
Nine bytes 2817 of data format 2862 needs that are used for rectangle:
Byte #0 2821=types of patterns (=6)
The X address (1000nm to 1000nm) in the byte #1-2 2863=upper left corner
The Y address (1000nm to 1000nm) in the byte #3-4 2864=upper left corner
The X address (1000nm to 1000nm) in the byte #5-6 2866=lower right corner
The Y address (1000nm to 1000nm) in the byte #7-8 2867=lower right corner
Nine bytes 2817 of data format 2869 needs that are used for right upper quadrant intermediate cam shape:
Byte #0 2821=types of patterns (=7)
The X address (1000nm to 1000nm) in the byte #1-2 2870=upper left corner
The Y address (1000nm to 1000nm) in the byte #3-4 2871=upper left corner
The X address (1000nm to 1000nm) in the byte #5-6 2873=lower right corner
The Y address (1000nm to 1000nm) in the byte #7-8 2874=lower right corner
Triangle in, lower-left upper left for writing and the right lower quadrant, types of patterns is respectively 8,9 and 10.The data format that is used for types of patterns 8-10 is identical with the data format that is used for above types of patterns 7.
Figure 28 F shows and comprises a plurality of illustrative example of writing typical case's field 2804 of types of patterns:
Type #1-is at position (X Sf, Y Sf) 2901 single flash distillation, wherein subscript " sf " indication single flash distillation.
Type #2-is at position (X Mf1, Y Mf1) 2903, (X Mf2, Y Mf2) 2904, (X Mf3, Y Mf3) 2905 and (X Mf4, Y Mf4) 2906 repeatedly flash distillation 2902.Subscript " mfX " is indicated repeatedly flash distillation, and wherein X is flash distillation number of times (being 1-4 in this example).
Type #3-is at position (X Sl0, Y Sl0) 2908 the beginning and at position (X Sl1, Y Sl1) 2909 single files 2907 that finish.Subscript " sl " indication single file.
Type #4-is at position (X Pl0, Y Pl0) 2911 beginnings, advance to point (X Pl1, Y Pl1) 2912, point (X Pl2, Y Pl2) 2913 and at point (X Pl3, Y Pl3) 2914 multirows 2910 that finish.Subscript " pl " indication multirow.
The type #6-upper left corner is at (X R0, Y R0) 2919 and the lower right corner at (X R1, Y R1) 2920 rectangle 2918.Subscript " r " indication rectangle.
The upper left corner is at (X in the type #9-left lower quadrant Tc0, Y Tc0) 2916 and the lower right corner at (X Tc1, Y Tc1) 2917 triangle 2915.Subscript " tc " indication triangular type c(left lower quadrant).Other triangular type is: type a(right upper quadrant)-" ta ", type b(left upper quadrant)-" tb ", and type d(right lower quadrant)-" td ".
Figure 29 A shows the diagrammatic representation of the first step in proximity correction (PEC) strategy, wherein calculates the regional fragment that will write in each son field 2804.Given IC pattern data 2801 about X-axis 2809 and Y-axis 2810 definition is along the son M of each x2923 and M Y2922 number is:
M x=(unit is the X size of the IC of μ m)/(2 μ m) (rounding up)
M Y=(unit is the Y size of the IC of μ m)/(2 μ m) (rounding up)
Should be pointed out that along the son field of X-axis 2809 and number from 0 to M x2923, be to M from 0 along Y-axis 2810 Y2922, so the total ≡ M=(M of IC pattern data neutron field x+ 1) (M Y+ 1).If all son fields all will utilize single beam size to write, then M Datasets=M, wherein M DatasetsIt is the number of required sub-field data collection.If have a little will utilize a plurality of beam size to write, then M DatasetsM.For example, if
N j=son the number that utilize j different beam size to write,
Wherein j=1,2 ...
Then
Σ j = 1 ∞ N j = M And Σ j = 1 ∞ ( jN j ) = M datasets
Because the required time overhead of each beam size is set, can not have more than 2-3 different beam size is optimum to any one son field.
Now to each son field 2804, total fragment in the territory, sub-place that calculation will be write.Example is:
Son (2, a 1) 2925[who writes fully can be the part of land], so its fragment=1.0, and in the son 2811 value of byte #7 2816 will be=255.
70% intensive son (5,4) 2926 of writing, territory, about sub-place, so its fragment=~0.7, and the value of byte #7 2816 will be=179 ≈ 0.7 * 255 in sub 2811.
Sparse son (11,7) 2927 of writing, territory, about sub-place 15% provide~0.15 fragment, and the value of byte #72816 will be=38 ≈ 0.15 * 255 in the son 2811.
In case each son field 2804 has all been calculated the total fragment that will write, and then storage is in the byte #7 2816 of sub-field data head.If a plurality of beam size will be used for any specific son field, the value that then is used for the overall area that will write is stored in the byte #7 2816 of each sub-field data collection-in this case, and the overall area that write will be the summation of value that is used for the byte #7 2816 of these particular subfield 2804 all data sets.Should be pointed out that calculating to each son 2804 overall area that will write is to be totally independent of the zone that will write in other son 2804.
Figure 29 B shows the diagrammatic representation in second step of PEC strategy, and wherein supposing does not all have PEC to proofread and correct to the main beam dosage in any sub-field 2804, calculates back scattered electron (BSE) dosage of son field 2937.Identical among IC pattern data 2801 and Figure 29 A.For each son (i, j) [i=0 to M wherein x, and j=0 to M Y], utilize shown relative intensity figure, calculate weighted sum from the back scattered electron dosage of all adjacent sub-fields.(its effect descends shown in figure curve 2934 for i, the j) radius 2931 of 2937 increase, and curve 2934 is drawn with respect to relative intensity scale 2932 by ion field far away.Suppose that it is isotropic that BSE distributes, so the effect of BSE antithetical phrase field 2937 accumulated doses will be identical around circumference 2938.The backscattering effect of maximum possible is η 2936, and backscattered electron coefficient-this is for directly (i, (i, j) itself is correlated with for eight Zi Chang j) and Zi Chang around son.As (Figure 29 A) that is calculated in the step 1, proportional from the fragment that effect and this child field of each son field will be write.Be used for the son (i, total backscattering dosage d j) (i, formula j) is:
R (m, n; I, j) ≡ √ [(m-i) 2+ (n-j) 2] (2 μ m)=from (m is n) to (i, radius j)
S[R (m, n; I, j)]=(m n) locates son (i, relative intensity j) of BSE scattering because son
F (m, n)=son (m, the regional fragment that will write in n)
(m, n) ≡ 1, (will change in following step) for p now
The K=zoom factor
d ( i , j ) = K Σ m = 0 M X Σ n = 0 M Y s [ R ( m , n ; i , j ) ] f ( m , n ) p ( m , n ) (equation 1)
Should be pointed out that since calculated from son (i, j) back scattered electron in also measures effect to the BSE context agent, therefore to the summation of m and n comprise son (i, j).
Figure 29 C shows the diagrammatic representation in the 3rd step of PEC strategy, wherein adds that by making up main beam dosage BSE dosage calculates the accumulated dose of each son field.The physical process that is taken place be Zi Chang (i in each pattern that will write in j), has three kinds of effects to agent dose against corrosion:
(1) writes the main electronics that is used for the pattern that to write in the beam
(2) son (i, j) in from the back scattered electron of other pattern
(3) from adjacent sub-fields (m, back scattered electron n).
Figure 29 C shows and writes dosage and how to reduce a process of coming compensating action (2) and (3), those skilled in the art to be familiar with and be called proximity correction (PEC).On the left side, dose distribution 2942 (drawing with respect to reference axis 2941) does not therefore need PEC corresponding to the situation of minimum BSE background dosage.For maximum process range, adjust and to write dosage and make the resist exposure dose appear at that the point on maximum inclined-plane is favourable in the dose distribution, be at point 2945 in this case.The variation that resist is handled or write in the beam current will change the influence that minimum is arranged to line width.Write the twice that dosage 2951 is required exposure dosage 2950 when not having PEC.
Middle example shows the intergrade of BSE background 2948 at about 30% exposure dose.In order to keep process range, write dosage 2943 and reduced the percentage that equates, perhaps be the twice of BSE background 2948 as shown in the figure, thus at the indicated exposure dose support level 2946 of dotted line.Because write the twice that dosage 2951 is exposure doses 2950, so exposure dose has reduced twice.
The example on the right is represented intensive row and space, maximum BSE background wherein occurs.In this example, BSE background 2949 approximately is 60% of an exposure dose 2950, need reduce 60% in writing dosage 2944.
To all i=0 ... M XAnd j=0 ... M YDetermine BSE background d (i, j) after, can carry out first pass dosage and proofread and correct.For all the son (i, j), calculation correction factor p (i, j):
p(i,j)=1-2d(i,j)
(i, the new value of j) these recomputates d, and (i, all values-result j) will provide d, and ((i, j) littler value can provide p (i, j) bigger value again to d for i, j) littler value to utilize p now.Therefore, (i, j) process of self-supporting solution is vibrated, but generally can restrain in several cycles to find out p.In case p (i, value j) no longer changes certain default limit, and this process will stop, the p that is calculated (i, j) on duty with 255 and be stored among the byte #62815.
The typical electrode voltage that is used for Fig. 2 A light beam
Tip voltage 201 0V
Extract electrode
202 Generally be 2800-3400V
The first source lens electrode 203 Be 432-638V (Figure 16 D) in this example
Beam limiting holes 204 =the first source lens electrode 203
The second source lens electrode 205 512V
Source mounting panel 206 512V
Last aligning deflector/stigmator 207 818V ± deflection and astigmatic correction voltage
Following aligning deflector 208 5000V ± deflecting voltage
Beam correction of the flank shape hole 5000V
Last blanking interval 277 5000V ± blanking voltage (~1.7V)
Following blanking interval 278 5000V ± blanking voltage (~1.54V)
Beam definition hole installed part 220 5000V
Composition beam definition hole 212 5000V
Last home court deflector 213 5000V ± deflecting voltage (<3V)
Following home court deflector 214 5000V ± deflecting voltage (<3V)
Sub-field deflection device/stigmator 215 5000V ± deflection and astigmatic correction voltage (<1V)
Focus on 1 electrode assemblie 216 5000V ± lens offset voltage (<3V)
Focus on 2 electrode assemblies 217 ~4906V to~5220V ± lens offset voltage (<100V)
Field-free pipe 218 49986V
Detector module
219 54000V
Voltage-contrast plate 220 49986V
Substrate
221 50000V
Be used to utilize one or more electronics light beams to write on the wafer that is covered by resist a series of a kind of possible and write strategy shown in Figure 27 B.As an example, the 6x6 array of supposing identical light beam is positioned on the wafer of 300mm.The light beam spacing can followingly be determined:
Light beam spacing=(wafer diameter)/√ (light beam number)
=(300mm)/√(6×6)=(300mm)/6=50mm
Each light beam will only need the square region of 50mm * 50mm on the writing to wafer.After particular column had been write its zone, each in other 35 light beams also all write their zones separately that is over simultaneously, and wafer is finished.Shown in Figure 27 A, the Region Decomposition that each light beam is write becomes the wide parallel strip of a series of 50 μ m, and the sum of its discal patch is defined as 1000.
In each bar, light beam is write resist in a series of " flash distillations ", (is assumed to be 5 μ C/cm with predefined sensitivity 2) utilize at every turn expose a square region on the resist of high current density beam.The time of each flash distillation is determined by the outer peripheral beam current density of shaping beam.As shown in Figure 21 C, beam current density is from 2000A/cm 2(the square beam of 30nm and 120nm) is to 2500A/cm 2(the square beam of~80nm) is up to 3000A/cm 2(the square beam of 40nm):
Each flash distillation time=(resist sensitivity)/(beam current density)
=(5μC/cm 2)/(3000A/cm 2)=1.67ns
=(5μC/cm 2)/(2500A/cm 2)=2.00ns
=(5μC/cm 2)/(2000A/cm 2)=2.50ns
These flash-off times that calculate are figured in Figure 21 C.
Each flash distillation needs beam deflection new position on the wafer surface, supposes the settling time of 1ns thus.Then, total pixel is write the time and is determined by following formula:
Total pixel write time=(flash distillation number/son) [(time/flash distillation)+(settling time)]
Its neutron field hypothesis is 2.0 μ m * 2.0 μ m.
Whole pattern density determines that the field-this gives over to variable to average flash distillation number/son in Figure 30.As expected, higher pattern density needs average more flash distillation/son field 3001, and the result causes lower molding throughput 3002.
When workbench along the length of bar (be alternatively+Y and-Y direction 2707-sees Figure 27 B) when mobile, electron beam (along X-axis) is across the width 2715 of bar electrostatic scanning 2740 vertically.Utilization is at 50 μ m bars of this hypothesis, and the sum of son field/scanning is defined as 25 in Figure 27 A.The scan retrace that comprises 10ns, the total time of each scanning will be:
Time/scanning=(sub-number of fields/scanning) (time/the son field)+(raster flyback time)
Write in the strategy this, scanning be the electron beam deflecting that utilizes relative optical axis=± realize (scanning width)/2.Write whole the required scanning sum of length and can find (its discal patch length=column pitch) now:
# scanning=(column pitch)/(a son size)
=(50mm)/(2.0μm)=25000
Being used at a kind of strategy of writing the process movable workbench is to write to continue to move (beam of every row) under the beam.In this case, the speed of table will be:
The speed of table=(a son size)/(time/scanning)
By with the number of flash distillation/son as variable, the speed of table (is subjected to 1g=9800mm/s from~550mm/s 2The restriction of workbench acceleration and bar 2702 50mm length) drops to<50mm/s, reduce along with the increase of flash distillation/sub-number of fields or along with the reduction of beam current density.
At last, be the expense of each wafer hypothesis 45s, with allow wafer transportation, overall aligning, local alignment and write a wafer finish and write next wafer begin between all required other operations.So, comprise the total time of each wafer be used for all bars write time+be used for the combination of the workbench time of reversing+wafer expense of all bars.The total time of throughput and each wafer is inversely proportional to.
Figure 30 is the figure that is used for each son throughput 3002 that flash distillation average 3001 is calculated of a lithographic printing module relatively, and wherein the lithographic printing module has from 6 * 6 to 10 * 10 various light beam numbers, supposes that required exposure electric current 3003 is 3000A/cm 2The square beam of-this 40nm corresponding to optimum.Suppose that son is that to have 2 μ m of from 40 to 360 flash distillations/son field average square.Curve 3005 is corresponding to 6 x, 6 light beam arrays, and wherein each light beam is write the zone of 50mm * 50mm.Curve 3006 is corresponding to 7 * 7 light beam arrays, and wherein each light beam is write and is no more than 42.9mm * 42.9mm.Curve 3007 is corresponding to 8 * 8 light beam arrays, and wherein each light beam is write and is no more than 37.5mm * 37.5mm.Curve 3008 is corresponding to 9 * 9 light beam arrays, and wherein each light beam is write and is no more than 33.4mm * 33.4mm.At last, curve 3009 is corresponding to 10 * 10 light beam arrays, and wherein each light beam is write and is no more than 30mm * 30mm.For big flash distillation number of times (〉 160/ son field), throughput roughly is inversely proportional to flash distillation average, and for the little flash distillation number of times in the zone 3004, throughput is subjected to the maximum stage acceleration (to be assumed to be 9800mm/s 2=1g) restriction.Should be pointed out that if the square son of whole 2 μ m field will utilize the 40nm beam to write, then need very large flash distillation number: [(2 μ m)/(40nm)] 2=2500 flash distillations-this has emphasized the demand to the variable-size beam.
Be used for 30nm ,~figure of 80nm and 120nm beam also can draw, and wherein uses the current density shown in Figure 21 B.For all beam size except that the square beam of (optimization) 40nm, throughput is all than shown in Figure 30 minimizing being arranged slightly.
Utilize the 120nm square beam of center distance for 125nm, the square son field of whole 2 μ m can utilize [(2 μ m)/(125nm)] 2=256 flash distillations write-and this is the acceptable number of times, even allows the time of staying of required 2.50ns.Because utilize the possible big light beam number of said light beam design, might there be at least one light beam writing the land at any time, and other light beam is being write the zone with desirable features, so can the whole son of complete filling field be very important in the rational time.Need all light beams when writing, to keep synchronously because write strategy, so, be necessary to make system can keep the writing rate of all light beams in order to keep throughput.
Above-described the present invention can be extended to the situation that comprises two beam definition holes in single electron beam light beam.Two holes can a beam that be used from the definition substrate.Two holes all are positioned at the center of optical axis and are axially offset from one another.As mentioned above, can add more composition beam definition hole, so that in single light beam, provide many holes.
A plurality of beam definition hole can be incorporated in the electron beam light beam, to allow the selection of different beam shapes on the substrate.The hole can be attached on the blade of single hole, moves on axle as required then; Alternatively, they can be attached on the scalable hole blade that separates in the light beam different piece; In another possibility, they can be in the place that is positioned between the deflectiometry device up and down near optical axis, so that allow to select by (utilizing the upper deflecting optics) beam deflection the hole of expectation, (after passing selected hole) beam utilizes the deflect optics to deflect on the optical axis once more then; Or the like.
Electro-optical device can be configured to allow electron beam expansion, dwindles or be out of shape, make be positioned at beam on the substrate become by beam define shape that the hole defines expansion, dwindle or be out of shape version.An example of the useful distortion of square beam is along the dwindling an of axle, thereby forms rectangle.Other distortion may comprise the conversion of square beam to the parallelogram beam.Can be used to realize that the electro optical element of this effect comprises four utmost points and ends of the earth lens.
Adopt numerical method although be used for the design process in said composition beam definition hole 212, optionally analytical method also is possible.With reference to figure 1, it is identical that the function of piece 102-106 keeps, but at piece 108, it or not the numerical value ray trace, but the analytical model of generation optics work, this model is used for determining which ray of circular beam passes the composition beam profile of expectation then, and which ray passes outside the composition beam profile of expectation.But the analytical model of optics utilizes ray trace to generate, and by reducing the influence of numerical fault in the ray trace calculating, this analytical method provides the chance that may improve PBDA 212 designs.This improvement is by the analytical model that smoothly derives from ray trace calculating little (nm level) fluctuation of wafer surface 221 ray intercept values to be flattened to realize.In case this analytic process is used to generate the PBDA design in piece 112, the remainder of the design process of PBDA described in Fig. 1 is just the same.
For illustrated design in the example here, the practical framework of beam size is about 30nm to 120nm.For embodying other electron optics design of the present invention, the scope of beam size can be from 15-20nm to 400-500nm.

Claims (43)

1, a kind ofly be used for the lithography tool that composition is coated with the substrate of resist, comprise:
Charged particle source is configured to produce charged particle beam;
Be positioned at first lens below the described charged particle source, described first lens configuration becomes described charged particle beam is formed the charged particle beam of laminar flow basically;
Be positioned at the workbench below described first lens, be used to carry the described substrate that is coated with resist;
Second lens between described first lens and described workbench, described second lens configuration become the charged particle beam with described laminar flow basically to focus on the surface of the described substrate that is coated with resist; And
Composition beam between described first lens and described second lens definition hole, described composition beam definition hole are configured to stop the intrafascicular most of charged particle that can not be focused into the predefine beam profile by described second lens on the surface of the described substrate that is coated with resist of the charged particle of described laminar flow basically.
2, lithography tool as claimed in claim 1 also comprises the beam blanking device between described first lens and described composition beam definition hole, is used for the charged particle beam of the described laminar flow basically of blanking.
3, lithography tool as claimed in claim 2, wherein said beam blanking device is a D.D beam blanking device, is configured to the efficient blanking planar projective is gone back to the position of virtual source.
4, lithography tool as claimed in claim 2 also comprises the beam correction of the flank shape hole between described first lens and described beam blanking device.
5, lithography tool as claimed in claim 4, wherein said beam correction of the flank shape hole is configured to, when the beam of described laminar flow basically in concealment process during inswept described composition beam definition hole, all open areas to described composition beam definition hole provide the equal time for exposure, and wherein said beam correction of the flank shape hole is configured to minimize the blanking time.
6, lithography tool as claimed in claim 5, wherein said beam correction of the flank shape hole has square aperture, and described composition beam definition hole has shape and is derived from square opening.
7, lithography tool as claimed in claim 1 also comprises the beam deflector between described composition beam definition hole and described second lens.
8, lithography tool as claimed in claim 7, wherein said beam deflector is the D.D device, is configured to allow the telecentric scanning of described beam.
9, lithography tool as claimed in claim 8, wherein said second lens configuration become to allow effective axle of described second lens to move about described beam paraxonic in scanning process.
10, lithography tool as claimed in claim 1, wherein said composition beam definition hole also is configured to, and can be focused into most of charged particle of described predefine beam profile in the described laminar flow basically of the transmission beam by described second lens on the described surface of the described substrate that is coated with resist.
11, lithography tool as claimed in claim 1, wherein said composition beam definition hole comprises the patterning conductive material.
12, lithography tool as claimed in claim 1, wherein said composition beam definition hole comprises the composition thick film that is supported by continuous charged particle transparent membrane.
13, lithography tool as claimed in claim 1, wherein said charged particle is an electronics.
14, lithography tool as claimed in claim 1, wherein said composition beam definition hole is configured to produce non-circular beam.
15, lithography tool as claimed in claim 1, wherein said composition beam definition hole is configured to produce square beam.
16, a kind ofly be used for the lithography tool that composition is coated with the substrate of resist, comprise:
Charged particle source is configured to produce charged particle beam;
Be positioned at first lens below the described source, described first lens configuration becomes described charged particle beam is formed the charged particle beam of laminar flow basically;
Be positioned at the workbench below described first lens, be used to carry the described substrate that is coated with resist;
Second lens between described first lens and described workbench, described second lens configuration become the charged particle beam with described laminar flow basically to focus on the surface of the described substrate that is coated with resist; And
A plurality of composition beams definition hole between described first lens and described second lens, described a plurality of composition beams definition hole are configured to stop that the charged particle of described laminar flow basically is intrafascicular can not be focused into most of charged particle in the predefine beam profile on the surface of the described substrate that is coated with resist by described second lens.
17, lithography tool as claimed in claim 16, wherein said a plurality of composition beam definition hole also is configured to, the intrafascicular most of charged particle that can be focused into described predefine beam profile by described second lens on the described surface of the described substrate that is coated with resist of the charged particle of the described laminar flow basically of transmission.
18, lithography tool as claimed in claim 16, wherein said a plurality of composition beam definition hole is axially offset from one another along the optical axis of described instrument all, and all work to described beam in described hole, to produce described predefine beam profile on the described surface of the described substrate that is coated with resist.
19, lithography tool as claimed in claim 18, wherein said a plurality of composition beam definition hole is two composition beam definition holes.
20, lithography tool as claimed in claim 16, wherein said a plurality of composition beam definition hole is positioned on the blade of single hole, and described hole blade arrangement becomes to allow, and any one is inserted in the described beam in described a plurality of composition beam definition hole.
21, the definition of the composition beam in a kind of charged particle column hole, wherein said hole and described post are configured to provide point on the plane in described hole of N:1 to the mapping of the object plane of described post, N is the integer greater than 1, and described hole is configured to produce non-circular beam.
22, composition beam as claimed in claim 21 defines the hole, and wherein said hole is configured to get rid of to the inoperative most of charged particle of expectation beam profile in the described object plane of described post.
23, composition beam as claimed in claim 21 definition hole, wherein said hole be arranged in described post beam blanking device below.
24, composition beam as claimed in claim 23 defines the hole, and the blanking hole is also served as in wherein said composition beam definition hole.
25, composition beam as claimed in claim 21 defines the hole, and wherein said composition beam definition hole is configured to produce square beam in described object plane.
26, composition beam as claimed in claim 21 defines the hole, and wherein N equals 3.
27, a kind of method that designs the composition beam definition hole that is used to produce the forming belt beam of charged particles in the charged particle column comprises step:
Calculating is used for the charged particle trajectory of described charged particle column;
Determine whether described track drops in the expectation beam profile on the object plane of described charged particle column; And
The composition beam definition hole of defining ideal, wherein said desirable hole stops inoperative all the described tracks of the described expectation beam profile on the described object plane.
28, method as claimed in claim 27, wherein said calculation procedure comprise calculates a plurality of track set, and each track set is all corresponding to beam spots different in the scanning field in the described object plane.
29, method as claimed in claim 28, comprise that also the described a plurality of track set of mapping and the common factor on the plane in composition beam definition hole define the step of charged particle transparent region, and wherein said definition step comprises also with described charged particle clear areas incorporated in described desirable composition beam definition hole.
30, method as claimed in claim 27, also comprise the step of making attainable composition beam definition hole, wherein said attainable hole and described desirable composition beam definition hole are very approximate, and wherein the inoperative most of charged particle trajectory of described expectation beam profile are all stopped by described attainable hole.
31, method as claimed in claim 27, also comprise the step of making attainable composition beam definition hole, wherein said attainable hole and described desirable composition beam definition hole are very approximate, and the most of charged particle trajectory that wherein described expectation beam profile is worked all is allowed to by described attainable hole.
32, method as claimed in claim 31 has wherein all been stopped by described attainable hole the described charged particle trajectory of the inoperative major part of described expectation beam profile.
33, method as claimed in claim 31, wherein said attainable hole has the additional structure that does not have in the described perfect apertures, and described structure provides mechanical integrity.
34, method as claimed in claim 31, wherein said attainable hole comprises the electric conducting material of composition.
35, method as claimed in claim 31, wherein said attainable hole comprise the composition thick film that is supported by continuous charged particle transparent membrane.
36, method as claimed in claim 27, wherein said charged particle is an electronics.
37, method as claimed in claim 27, wherein said calculation procedure comprise that the numerical method of utilizing ray trace generates described charged particle trajectory.
38, method as claimed in claim 27, wherein said calculation procedure comprise that the analytical model of utilizing described post generates described charged particle trajectory.
39, a kind of method of optimizing the composition beam definition position of hole in charged particle column comprises step:
(a) calculate the charged particle trajectory that is used for described charged particle column;
(b) determine whether described track drops in the expectation beam profile on the object plane of described charged particle column;
(c) the composition beam of defining ideal definition hole, wherein said desirable hole stops inoperative all the described tracks of the described expectation beam profile on the described object plane;
(d) the attainable composition beam definition of definition hole, wherein said attainable hole and described desirable composition beam definition hole are very approximate, and wherein the described charged particle trajectory of the inoperative major part of described expectation beam profile have all been stopped by described attainable hole;
(e) described composition beam is defined the diverse location execution in step (a) of hole in described post to (d); And
(f) select optimal location at described composition beam definition hole, described optimal location is the position by the above expectation beam profile of the most approaching described object plane of beam profile of the above attainable composition beam definition hole generation of described object plane.
40, method as claimed in claim 39, wherein said selection step comprise considers the described manufacturability that realizes the hole.
41, method as claimed in claim 39, wherein said selection step comprise considers the intrafascicular current density of the above charged particle of described object plane.
42, method as claimed in claim 39, wherein said selection step comprise considers the intrafascicular distribution of current density of the above charged particle of described object plane.
43, method as claimed in claim 39, wherein said selection step comprise to be considered because the described heating that realizes the hole that charged particle bombardment causes.
CNA2006800546442A 2006-03-27 2006-03-27 Optical device for generating high current density picture composition electrified particle beam Pending CN101443877A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2006/011303 WO2007111603A1 (en) 2006-03-27 2006-03-27 Optics for generation of high current density patterned charged particle beams

Publications (1)

Publication Number Publication Date
CN101443877A true CN101443877A (en) 2009-05-27

Family

ID=38541428

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006800546442A Pending CN101443877A (en) 2006-03-27 2006-03-27 Optical device for generating high current density picture composition electrified particle beam

Country Status (4)

Country Link
EP (1) EP2005460A4 (en)
JP (1) JP2009531855A (en)
CN (1) CN101443877A (en)
WO (1) WO2007111603A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103454853A (en) * 2012-06-01 2013-12-18 台湾积体电路制造股份有限公司 Methods for electron beam patterning

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5020745B2 (en) * 2007-08-29 2012-09-05 株式会社ニューフレアテクノロジー Drawing data creation method and charged particle beam drawing apparatus

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09167591A (en) * 1995-12-15 1997-06-24 Hitachi Ltd Scanning emission electron microscope
JPH11260308A (en) * 1998-03-10 1999-09-24 Sanyu Denshi Kk Image drawing device
JPH11297610A (en) * 1998-04-08 1999-10-29 Nikon Corp Charged particle beam aligner
JP3859434B2 (en) * 1999-07-23 2006-12-20 株式会社東芝 Electron beam drawing apparatus and pattern drawing method
WO2001039243A1 (en) * 1999-11-23 2001-05-31 Ion Diagnostics, Inc. Electron optics for multi-beam electron beam lithography tool
JP2001203150A (en) * 2000-01-21 2001-07-27 Nikon Corp Hollow aperture, charged particle beam exposure apparatus, method of aligning beam position in charged particle beam exposure apparatus, method of adjusting charged particle beam dose, method of adjusting generation source of charged particle beam, and method of manufacturing semiconductor device
JP2001244170A (en) * 2000-02-28 2001-09-07 Nikon Corp Hollow aperture for charged particle beam system and charged particle beam exposure system
JP3987276B2 (en) * 2000-10-12 2007-10-03 株式会社日立製作所 Sample image forming method
JP4008827B2 (en) * 2003-01-22 2007-11-14 株式会社東芝 Charged beam control method, semiconductor device manufacturing method using the same, and charged beam device
DE602004005704T2 (en) * 2003-05-28 2007-12-27 Mapper Lithography Ip B.V. Exposure system using charged particle beamlets
JP4794444B2 (en) * 2003-09-05 2011-10-19 カール・ツァイス・エスエムティー・ゲーエムベーハー Particle optical system and apparatus, and particle optical component for such system and apparatus
US7462848B2 (en) * 2003-10-07 2008-12-09 Multibeam Systems, Inc. Optics for generation of high current density patterned charged particle beams
EP1577926A1 (en) * 2004-03-19 2005-09-21 ICT, Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik Mbh High current density particle beam system
JP2005302468A (en) * 2004-04-09 2005-10-27 Jeol Ltd Method and apparatus for displaying simulation image of charged particle beam device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103454853A (en) * 2012-06-01 2013-12-18 台湾积体电路制造股份有限公司 Methods for electron beam patterning
CN103454853B (en) * 2012-06-01 2017-06-13 台湾积体电路制造股份有限公司 For e-beam patterning method

Also Published As

Publication number Publication date
EP2005460A4 (en) 2010-11-24
EP2005460A1 (en) 2008-12-24
JP2009531855A (en) 2009-09-03
WO2007111603A1 (en) 2007-10-04

Similar Documents

Publication Publication Date Title
US7786454B2 (en) Optics for generation of high current density patterned charged particle beams
CN102113083B (en) To the method and system that target exposes
CN102017053B (en) Projection lens arrangement
JP4835897B2 (en) Charged particle multi-beam exposure system
CN102017052B (en) Projection lens arrangement
JP5384759B2 (en) Small beam blanker structure
EP3651183B1 (en) Beam grid layout
US8445869B2 (en) Projection lens arrangement
US8927941B2 (en) Multi charged particle beam writing apparatus and multi charged particle beam writing method with fixed voltage ratio einzel lens
US20110079730A1 (en) Imaging system
JP2005136409A (en) Pattern definition device for maskless particle beam exposure system
JPH1064812A (en) Electronic beam exposure method and device manufacturing method using it
US8242457B2 (en) Charged particle optics with azimuthally-varying third-order aberrations for generation of shaped beams
JP2000012438A (en) Method and device for multi-electron beam exposure and device manufacture
CN115053318B (en) Micro aberration compensator array for multi-electron beam system
CN101443877A (en) Optical device for generating high current density picture composition electrified particle beam
US20140034845A1 (en) Charged Particle Optics with Azimuthally-Varying Third-Order Aberrations for Generation of Shaped Beams
JP2006080303A (en) Electron beam drawing apparatus
CN101681751B (en) An electron column using cnt-tip and method for alignment of cnt-tip
KR20090008283A (en) Optics for generation of high current density patterned charged particle beams
US20140162191A1 (en) Drawing apparatus, and method of manufacturing article
USRE49952E1 (en) Beam grid layout

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20090527