JP2009278125A - Copper interconnect wiring and method and apparatus for forming therefor - Google Patents

Copper interconnect wiring and method and apparatus for forming therefor Download PDF

Info

Publication number
JP2009278125A
JP2009278125A JP2009185858A JP2009185858A JP2009278125A JP 2009278125 A JP2009278125 A JP 2009278125A JP 2009185858 A JP2009185858 A JP 2009185858A JP 2009185858 A JP2009185858 A JP 2009185858A JP 2009278125 A JP2009278125 A JP 2009278125A
Authority
JP
Japan
Prior art keywords
gcib
copper
layer
capping
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2009185858A
Other languages
Japanese (ja)
Inventor
Arthur J Learn
ラーン,アーサー,ジェイ
Steven R Sherman
シャーマン,スティーヴン,アール
Robert Michael Geffken
ジェフケン,ロバート,マイケル
John J Hautala
ホータラ,ジョン,ジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TEL Epion Inc
Original Assignee
TEL Epion Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by TEL Epion Inc filed Critical TEL Epion Inc
Publication of JP2009278125A publication Critical patent/JP2009278125A/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0812Ionized cluster beam [ICB] sources

Abstract

<P>PROBLEM TO BE SOLVED: To cap a layer on a surface of a copper interconnect wiring layer for use in interconnect structures for integrated circuits and to provide a method and apparatus for forming improved integration interconnection structures for integrated circuits by the application of gas-cluster ion-beam processing. <P>SOLUTION: Reduced copper diffusion and improved electromigration lifetime result, and the use of selective metal capping techniques and their attendant yield problems are avoided. Various cluster tool configurations including gas-cluster ion-beam processing modules for copper capping, cleaning, etching, and film formation steps are disclosed. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は、全般に、銅相互接続配線層の表面上のキャップ化層、ならびにガスクラスターイオンビーム(GCIB)プロセスの適用による、半導体集積回路用の相互接続構造を形成する改善された方法および機器に関する。   The present invention generally relates to an improved method and apparatus for forming an interconnect structure for a semiconductor integrated circuit by applying a capping layer on the surface of a copper interconnect wiring layer and a gas cluster ion beam (GCIB) process. About.

高密度化およびさらなる特性に対する半導体の継続的な「ムーアの法則」によるスケール化は、産業および我々の社会に、生産性の著しい向上をもたらしている。しかしながら、このスケール化に起因した問題は、より小さな相互接続配線に、より多くの電流を流す必要が生じることである。そのような微細配線中の電流密度および温度が極めて高くなると、相互接続配線は、電気泳動と呼ばれる現象により劣化する恐れがある。高電流密度相互接続配線に生じる、いわゆる「電子窓」の効果により、金属原子は、その元の格子位置から押し出され、その結果、これらの拡散金属原子が集まる領域で、配線の開回路化または押出短絡が生じる。アルミニウムに代わる配線材料として銅を導入することにより、電気泳動の寿命に大きな改善が得られる。しかしながら、相互接続配線の継続的なスケール化は、将来、銅の電気泳動寿命に追加の改善が必要となることを示唆している。   Densification and the continued scaling of semiconductors by Moore's Law for further properties has resulted in significant productivity gains for industry and our society. However, the problem due to this scaling is that more current must flow through the smaller interconnect lines. When the current density and temperature in such fine wiring becomes extremely high, the interconnect wiring may be deteriorated by a phenomenon called electrophoresis. Due to the so-called “electronic window” effect that occurs in high current density interconnects, metal atoms are pushed out of their original lattice positions, and as a result, in the region where these diffused metal atoms gather, the wiring becomes open circuit or An extrusion short circuit occurs. By introducing copper as a wiring material instead of aluminum, a great improvement in the lifetime of electrophoresis can be obtained. However, the continued scaling of interconnect wiring suggests that additional improvements in copper electrophoretic lifetime will be required in the future.

粒界に沿ったアルミニウム原子の拡散により問題が生じるアルミニウム相互接続とは異なり、銅の相互接続の電気泳動の不具合モードは、表面および界面に沿った拡散により制御される。特に、従来の銅配線の相互接続構造では、銅配線の上部表面は、通常、誘電体キャップ層で被覆されている。この誘電体キャップ層は、良好な拡散バリア特性を有し、銅の周囲誘電体への泳動を抑制する必要がある。2つの最も一般的に使用される誘電体キャップ材料は、窒化珪素と炭窒化珪素であり、通常これらの材料は、プラズマ加速化学気相成膜(PECVD)技術により成膜される。残念ながら、これらのPECVD成膜キャップ材料では、銅との間に、欠陥のある界面が形成され、その結果、銅配線の上部表面に沿った銅の泳動が加速され、これにより、電気泳動の不具合確率が高くなる。通常、銅配線構造の他の表面は、バリア層またはバイレイヤ層(通常の場合、TaN/Ta、Tan/RuまたはRuのような金属)と界面を構成し、これは、銅との間に、銅の拡散が抑制されるような強い界面を形成し、これにより、電気泳動の影響が抑制される。我々は、そのようなバリアまたはバイレイヤ層を「バリア層」と呼ぶ。我々は、配線相互接続の層を相互接続層、配線層、または層間接続層と称し、配線相互接続層の各々は、少なくとも金属導体の層を有し、さらに、低いレベルの基板または下側相互接続層から、および配線相互接続の同じ層内の他の金属導体から、金属導体層を絶縁する層間誘電体の層を有する。   Unlike aluminum interconnects where problems arise due to diffusion of aluminum atoms along grain boundaries, the failure mode of copper interconnect electrophoresis is controlled by diffusion along the surface and interface. In particular, in the conventional interconnection structure of copper wiring, the upper surface of the copper wiring is usually covered with a dielectric cap layer. This dielectric cap layer has good diffusion barrier properties and needs to suppress migration of copper to the surrounding dielectric. The two most commonly used dielectric cap materials are silicon nitride and silicon carbonitride, which are typically deposited by plasma enhanced chemical vapor deposition (PECVD) techniques. Unfortunately, these PECVD cap materials form a defective interface with copper, which accelerates the migration of copper along the top surface of the copper interconnects, which The probability of failure increases. Typically, the other surface of the copper interconnect structure constitutes an interface with a barrier layer or bilayer layer (usually a metal such as TaN / Ta, Tan / Ru or Ru), which is between the copper and A strong interface is formed such that copper diffusion is suppressed, thereby suppressing the influence of electrophoresis. We refer to such a barrier or bilayer layer as a “barrier layer”. We refer to the layers of wiring interconnects as interconnect layers, wiring layers, or interlayer connection layers, each of the wiring interconnect layers having at least a layer of metal conductors, and also a low level substrate or lower interconnect. It has a layer of interlayer dielectric that insulates the metal conductor layer from the connection layer and from other metal conductors in the same layer of wiring interconnect.

銅配線の上部表面をキャップ化することにより、選択的に成膜された金属キャップで、銅配線の電気泳動を改善する対策が検討されている。実際に、選択的タングステンまたは選択的コバルトタングステンリン(CoWP)の金属層により、上部銅界面がキャップ化されると、銅の電気泳動寿命に顕著な改善が認められることが報告されている。残念ながら、選択的な金属キャップを使用する全ての方法は、隣接する絶縁体表面に、何らかの金属が成膜されるいくつかの可能性を有しており、このため、隣接する金属配線の間に、意図しないリークまたは短絡が生じ得る。本発明では、ガスクラスターイオンビーム処理プロセスを使用し、これらの多くの問題を解決する。   By capping the upper surface of the copper wiring, measures for improving the electrophoresis of the copper wiring with a selectively formed metal cap are being studied. In fact, it has been reported that when the top copper interface is capped by a selective tungsten or selective cobalt tungsten phosphorous (CoWP) metal layer, a significant improvement in the electrophoretic lifetime of copper is observed. Unfortunately, all methods that use selective metal caps have several possibilities for depositing some metal on adjacent insulator surfaces, which makes it possible to In addition, an unintended leak or short circuit may occur. The present invention uses a gas cluster ion beam processing process to solve many of these problems.

図1には、銅のジュアルダマスク集積処理に広く使用される、銅の相互接続をキャップ化する、従来の窒化珪素の配線構造300を概略的に示す。これは、第1の銅配線層302と、第2の銅配線層304と、2つの銅層を接続する銅ビア構造306と、を有する。両配線層302、304の側壁および底部、ならびにビア構造306は、バリア層312で囲まれている。バリア層312は、優れた拡散バリア特性を提供し、これにより、銅の隣接する絶縁体構造への拡散が抑制されるとともに、銅に対して有意に低拡散の界面が提供され、これらの界面に沿った電気泳動が抑制される。第1の層間誘電体層308および第2の層間誘電体層310により、銅配線の間に、絶縁が提供される。第1の銅配線層302の上部表面と、第2の銅配線層304の上部表面は、それぞれ、絶縁バリア膜314および316により被覆され、これらは、通常、窒化珪素または炭窒化珪素で構成される。従来より、これらの絶縁バリア膜314および316は、PECVD法で成膜され、これらが露出銅表面とともに形成する界面は、欠陥があり、銅原子を泳動させるための速い拡散経路を提供する。この従来の配線構造では、銅の電気泳動の間、これらの界面に沿って、ほとんど全ての好ましくない材料の移動が生じる。このような従来のジュアルダマスク銅相互接続では、各相互接続レベルに、層間接続誘電体層内の溝およびビアの形成後、ならびに後続する銅の成膜により、相互接続配線およびビアを形成した後、通常、化学機械研磨(CMP)技術を用いて、平坦化ステップが実施される。平坦化ステップでは、層間接続誘電体層の上部表面から、バリア層材料が除去され、銅配線層の上部表面、およびこれと同一平面上にある層間接続誘電体層の上部表面が形成される。CMPおよびCMP後のブラシ清浄化処理の両方には、腐食インヒビターが使用され、これらの腐食インヒビターおよび他のコンタミネーション物質は、キャップ層の成膜前のin-situ清浄化により、銅表面から除去される必要がある。ex-situ清浄化処理プロセスを用いて、銅表面を腐食および酸化に対して敏感な状態のままにしても良い。PECVD反応体は、通常、絶縁体キャップ層を成膜する前の銅表面の有効なin-situ清浄化処理を実施するようには構成されていない。図1には示されていないが、配線構造300は、通常、電気的な相互接続が必要となるアクティブおよび/またはパッシブ素子を有する半導体構造上に形成され、集積回路が完成される。   FIG. 1 schematically illustrates a conventional silicon nitride interconnect structure 300 that caps copper interconnects, widely used in copper dual damascene integration processes. This includes a first copper wiring layer 302, a second copper wiring layer 304, and a copper via structure 306 connecting the two copper layers. The sidewalls and bottom portions of both wiring layers 302 and 304 and the via structure 306 are surrounded by a barrier layer 312. Barrier layer 312 provides excellent diffusion barrier properties, which suppresses the diffusion of copper into adjacent insulator structures and provides a significantly lower diffusion interface for copper. Electrophoresis along is suppressed. The first interlayer dielectric layer 308 and the second interlayer dielectric layer 310 provide insulation between the copper interconnects. The upper surface of the first copper wiring layer 302 and the upper surface of the second copper wiring layer 304 are respectively covered with insulating barrier films 314 and 316, which are usually made of silicon nitride or silicon carbonitride. The Conventionally, these insulating barrier films 314 and 316 are deposited by PECVD, and the interface they form with the exposed copper surface is defective and provides a fast diffusion path for the migration of copper atoms. In this conventional interconnect structure, almost all undesirable material movement occurs along these interfaces during copper electrophoresis. In such conventional dual damascene copper interconnects, at each interconnect level, after the formation of trenches and vias in the interlayer dielectric layer and subsequent formation of interconnect lines and vias by copper deposition Typically, the planarization step is performed using chemical mechanical polishing (CMP) techniques. In the planarization step, the barrier layer material is removed from the upper surface of the interlayer connection dielectric layer to form the upper surface of the copper wiring layer and the upper surface of the interlayer connection dielectric layer that is coplanar therewith. Both CMP and post-CMP brush cleaning processes use corrosion inhibitors, and these corrosion inhibitors and other contaminants are removed from the copper surface by in-situ cleaning prior to cap layer deposition. Need to be done. An ex-situ cleaning process may be used to leave the copper surface sensitive to corrosion and oxidation. PECVD reactants are typically not configured to perform an effective in-situ cleaning process on the copper surface prior to depositing the insulator cap layer. Although not shown in FIG. 1, wiring structure 300 is typically formed on a semiconductor structure having active and / or passive elements that require electrical interconnection to complete an integrated circuit.

図2には、従来の選択性金属キャップ化銅相互接続の配線構造400を示す。これは、第1の銅配線層402と、第2の銅配線層404と、2つの銅層を接続する銅ビア構造406とを有する。両配線層402、404の側壁および底部、ならびにビア構造406は、全て、バリア層412で囲まれている。バリア層412は、優れた拡散バリア特性を提供し、これは、銅の隣接する絶縁体構造への拡散を抑制し、銅との間に、優れた低拡散界面が提供され、これらの界面に沿った電気泳動が抑制される。第1の層間誘電体層408と、第2の層間誘電体層410により、銅配線の間に絶縁が提供される。第1の銅配線層402の上部表面、および第2の銅配線層404の上部表面は、それぞれ、選択的に成膜された金属層414、416でキャップ化されており、これらは、通常、化学気相成膜(CVD)法あるいは無電解技術で成膜された選択タングステンまたは選択CoWPのいずれかで構成される。この従来のジュアルダマスク銅相互接続では、各相互接続レベルにおいて、層間誘電体層の溝およびビアの形成後、ならびにその後の銅の成膜後、相互接続配線およびビアが形成され、その後、通常、化学機械研磨(CMP)技術を用いて、平坦化ステップが実施される。平坦化ステップでは、層間誘電体層の上部表面から、バリア層材料が除去され、銅配線の上部表面、およびこれと同一平面内にある層間接続誘電体層の上部表面が形成される。CMPおよびCMP後のブラシ清浄化処理の両方において、腐食インヒビターが使用され、これらのおよび他のコンタミネーション物質は、キャップ層が成膜される前に、銅表面から除去される必要がある。タングステンまたはCoWP材料層のいずれかにより、銅層の上部銅界面がキャップ化されると、銅の電気泳動寿命に大きな改善が得られることが報告されている。残念ながら、選択的な材料キャップ化を行う全ての解決方法では、例えば、隣接する絶縁体表面に、好ましくない金属418が成膜される可能性があり、結果的に、隣接する金属配線の間に、電気的リークまたは短絡が生じ得る。選択金属成膜技術では、極めて大きな電気泳動の改善が提供されるが、これらは、製造においてはあまり実施されていない。層間誘電体層の隣接する絶縁体表面への、好ましくないコンタミネーション金属の成膜により、半導体ダイの歩留まりが低下する可能性が高いためである。図2には示されていないが、配線構造400は、通常、電気的な相互接続が必要となるアクティブおよび/またはパッシブ素子を有する半導体基板上に構成され、集積回路が完成される。   FIG. 2 shows a conventional selective metal-capped copper interconnect wiring structure 400. It has a first copper wiring layer 402, a second copper wiring layer 404, and a copper via structure 406 connecting the two copper layers. The side walls and bottom of both wiring layers 402 and 404 and the via structure 406 are all surrounded by the barrier layer 412. Barrier layer 412 provides excellent diffusion barrier properties, which suppress the diffusion of copper into the adjacent insulator structure and provides an excellent low diffusion interface between the copper and these interfaces. Along the electrophoresis is suppressed. The first interlayer dielectric layer 408 and the second interlayer dielectric layer 410 provide insulation between the copper interconnects. The upper surface of the first copper wiring layer 402 and the upper surface of the second copper wiring layer 404 are capped with selectively formed metal layers 414 and 416, respectively. It consists of either selective tungsten or selective CoWP deposited by chemical vapor deposition (CVD) or electroless technology. In this conventional dual damask copper interconnect, at each interconnect level, after formation of the interlevel dielectric layer trenches and vias and subsequent copper deposition, interconnect lines and vias are formed, and typically A planarization step is performed using chemical mechanical polishing (CMP) techniques. In the planarization step, the barrier layer material is removed from the upper surface of the interlayer dielectric layer to form the upper surface of the copper interconnect and the upper surface of the interlayer connection dielectric layer in the same plane. In both CMP and post-CMP brush cleaning processes, corrosion inhibitors are used, and these and other contaminants need to be removed from the copper surface before the cap layer is deposited. It has been reported that when either the tungsten or CoWP material layer caps the upper copper interface of the copper layer, a significant improvement in the electrophoretic lifetime of copper is obtained. Unfortunately, all solutions that provide selective material capping, for example, can deposit undesirable metal 418 on the adjacent insulator surface, resulting in a gap between adjacent metal lines. In addition, electrical leaks or short circuits can occur. Selective metal deposition techniques provide tremendous electrophoretic improvements, but these are not well implemented in manufacturing. This is because unfavorable film formation of contamination metal on the surface of the insulator adjacent to the interlayer dielectric layer is likely to reduce the yield of the semiconductor die. Although not shown in FIG. 2, the wiring structure 400 is typically configured on a semiconductor substrate having active and / or passive elements that require electrical interconnection to complete an integrated circuit.

表面を処理するガスクラスターイオンビームは、公知である(例えば、Deguchi等の米国特許第5,814,194号参照)。ここでは、ガスクラスターという用語は、標準的な温度および圧力条件では気体である、ナノサイズの材料の凝集体を意味する。そのようなガスクラスターは、通常、数個から数千個の分子の弱く結合された凝集体からなり、ガスクラスターが形成される。ガスクラスターは、電子衝突または他の手段によりイオン化され、これらは、制御可能なエネルギーの誘導されたビームを形成する。そのようなイオンの各々は、通常、q・eの正の電荷を運搬し(ここでeは、電子の電荷であり、qは、1から、ガスクラスターイオンの電荷状態を表すいくつかの値までの整数である)。ガスクラスターイオンビーム内には、非イオン化ガスクラスターが存在しても良い。大きな寸法のガスクラスターイオンは、単位ガスクラスターイオン当たり相当量のエネルギーを運搬することができるため、しばしば最も有益であるが、単位分子当たり、適度のエネルギーのみを有しても良い。ガスクラスターは、衝突により分離し、各個々の分子は、全ガスクラスターイオンエネルギーのうちの少量分のみを運搬する。その結果、大きなガスクラスターイオンの衝突効果は相当なものとなるが、これは、極めて狭小の表面領域に限定される。このため、ガスクラスターイオンは、多くの表面改質処理プロセスに有効であり、従来の単分子イオンビーム処理プロセスのような表面下に深い損傷を及ぼすこともない。そのようなGCIBを形成し、加速する手段は、前述の文献(US5,814,194)に示されている。現在利用可能なガスクラスターイオン源は、広いサイズ分布Nを有するガスクラスターイオンを形成する(ここでNは、各ガスクラスターイオンの分子数であり、本願では、アルゴンのような単分子ガスの場合、単原子ガスの原子は、分子と称され、そのような単分子ガスのイオン化原子は、分子イオンと称され、あるいは、単に単分子イオンと称される)。GCIB法による表面衝突により、多くの有益な表面処理プロセス効果が得られる。これらの処理プロセス効果には、必ずしもこれに限られるものではないが、清浄化、平滑化、エッチング処理、ドーピング処理、および膜形成もしくは成長処理が含まれる。アレン(Allen)らの米国特許ダイ6,537,606号には、初期の不均一薄膜の修正エッチング処理に、GCIBを使用して、その空間均一性を改善することが示されている。米国特許第6,537,606号の内容は、本願の参照として取り入れられている。   Gas cluster ion beams for treating surfaces are known (see, for example, US Pat. No. 5,814,194 to Deguchi et al.). As used herein, the term gas cluster refers to an aggregate of nano-sized material that is a gas at standard temperature and pressure conditions. Such gas clusters usually consist of weakly bound aggregates of several to thousands of molecules, forming gas clusters. The gas clusters are ionized by electron impact or other means, which form a guided beam of controllable energy. Each such ion typically carries a positive charge of q · e, where e is the charge of the electron and q is a number from 1 to several values representing the charge state of the gas cluster ion. Is an integer up to). Non-ionized gas clusters may be present in the gas cluster ion beam. Large size gas cluster ions are often most beneficial because they can carry a significant amount of energy per unit gas cluster ion, but may have only moderate energy per unit molecule. The gas clusters are separated by collision, and each individual molecule carries only a small portion of the total gas cluster ion energy. As a result, the impact effect of large gas cluster ions is substantial, but this is limited to a very narrow surface area. For this reason, gas cluster ions are effective in many surface modification treatment processes, and do not cause deep damage below the surface as in the conventional monomolecular ion beam treatment process. Means for forming and accelerating such a GCIB is shown in the aforementioned document (US 5,814,194). Currently available gas cluster ion sources form gas cluster ions having a wide size distribution N (where N is the number of molecules of each gas cluster ion, and in this application is a monomolecular gas such as argon) The atoms of a monoatomic gas are referred to as molecules, and the ionized atoms of such monomolecular gases are referred to as molecular ions, or simply referred to as monomolecular ions). Many beneficial surface treatment process effects can be obtained by surface impact by the GCIB method. These processing process effects include, but are not necessarily limited to, cleaning, smoothing, etching, doping, and film formation or growth. U.S. Pat. No. 6,537,606 to Allen et al. Shows that GCIB is used to improve the spatial uniformity of the initial non-uniform thin film modified etch process. The contents of US Pat. No. 6,537,606 are incorporated herein by reference.

エネルギーガスクラスターの固体ターゲット表面への衝突の際の、クラスター原子のターゲット表面への進入は、通常、極めて僅かである。これは、浸透深さは、各個々の構成原子の低エネルギーにより制限され、原理的に、ガスクラスターイオンの衝突の際に生じる遷移(過渡)熱の影響に依存するためである。ガスクラスターは、衝突の際に分離され、個々のガス原子は、その後自由に反射され、ターゲット表面から逸散することができる。個々のガス原子の放散により逸散されるエネルギーを除く、衝突前の活性クラスターの全エネルギーは、ターゲット表面の衝突領域に付与される。ターゲット衝突領域の寸法は、クラスターのエネルギーに依存するが、衝突するクラスターの断面寸法のオーダーに依存し、これは、例えば、1000原子からなるクラスターの場合、直径が約30オングストロームと小さい。クラスターにより搬送される全エネルギーのほとんどは、ターゲットの微小衝突領域に付与されるため、ターゲット材料の衝突サイトで、大きな熱が過渡的に生じる。過渡的な熱は、速やかに逸散され、ターゲットの内部深くへの伝達により、衝突領域からエネルギーが消失する。過渡的な熱の時間は、ターゲット材料の伝導性により定められるが、通常の場合、10-6秒未満である。 During the collision of energetic gas clusters with the solid target surface, the entry of cluster atoms into the target surface is usually very slight. This is because the penetration depth is limited by the low energy of each individual constituent atom, and in principle depends on the effect of transitional (transient) heat that occurs during gas cluster ion collisions. The gas clusters are separated upon impact, and individual gas atoms can then be freely reflected and escape from the target surface. Except for the energy dissipated by the dissipation of individual gas atoms, the total energy of the active cluster prior to collision is imparted to the collision area of the target surface. The size of the target collision area depends on the energy of the clusters, but depends on the order of the cross-sectional dimensions of the colliding clusters, which is, for example, about 30 angstroms in diameter for a cluster of 1000 atoms. Since most of the total energy carried by the cluster is applied to the micro-collision region of the target, large heat is transiently generated at the target material collision site. Transient heat is quickly dissipated and energy is dissipated from the collision area by transmission deep inside the target. The time of transient heat is determined by the conductivity of the target material, but is usually less than 10-6 seconds.

ガスクラスター衝突サイトの近傍では、ターゲット表面の部分が、瞬間的に、数百乃至数千Kの温度に達する。例えば、10keVの全エネルギー量を搬送するガスクラスターの衝突では、激しい扇動により、表面下において、約100オングストロームにわたるほぼ半球状の領域で、瞬間的に約2000Kの温度上昇が可能になると予測される。この高い過渡的な熱により、試料とガスクラスターイオンビーム構成体の相互混合および/または反応が加速され、結果的に電気泳動寿命が改善される。   In the vicinity of the gas cluster collision site, a portion of the target surface instantaneously reaches a temperature of several hundred to several thousand K. For example, in the collision of a gas cluster carrying a total energy of 10 keV, it is expected that the temperature will increase instantaneously by about 2000 K in a nearly hemispherical region over about 100 angstroms under the surface due to intense stigma. . This high transient heat accelerates the intermixing and / or reaction of the sample and the gas cluster ion beam structure, resulting in improved electrophoretic lifetime.

活性ガスクラスター衝突サイトの下部のターゲット部分内での、高温の過渡的な熱の発生後、影響を受けた領域は、急激に冷却される。いくつかのガスクラスター構成体は、この処理プロセス中に逸散するが、他の部分は、後方に残留し、表面に組み込まれる。また、元の表面材料の部分は、スパッタリング等の影響により除去される。一般に、ガスクラスターの高揮発性および不活性成分がより逸散する傾向にあり、揮発性が低く、化学的反応性の高い成分は、より表面に組み込まれる傾向にある。実際の処理プロセスは、より複雑であるが、ガスクラスターの衝突サイトおよび周囲の影響を受けた領域を、「メルトゾーン」と称することが好適であり、ガスクラスター原子は、基板表面と容易に相互作用し混合し、ガスクラスター材料は、表面から逸散し、または表面から影響を受けた領域の深さ方向に導入される。「導入する」または「導入」という用語は、この処理プロセスを表すため、本発明の発明者等により使用され、これは、イオン「注入」または「注入処理」とは区別される、異なる処理プロセスであり、イオン「注入」とは大きく異なる結果が得られる。活性ガスクラスターイオン内の希ガス、例えばアルゴンおよびキセノンは、揮発性で、非反応性であり、影響を受けた領域から高い確率で逸散するのに対して、例えば炭素、ボロン、フッ素、硫黄、窒素、酸素、ゲルマニウムおよびシリコンのような材料は、より揮発性が弱く、および/または化学的結合を形成しやすい傾向にあり、影響を受けた領域に留まり、基板表面に取り込まれる傾向にある。   After the generation of hot transient heat within the target portion below the active gas cluster collision site, the affected area is rapidly cooled. Some gas cluster constructs dissipate during this treatment process, while others remain behind and are incorporated into the surface. Further, the original surface material portion is removed by the influence of sputtering or the like. In general, highly volatile and inert components of gas clusters tend to dissipate more, and less volatile and more chemically reactive components tend to be incorporated on the surface. Although the actual processing process is more complex, it is preferred to refer to the impact sites and surrounding areas of the gas cluster as the “melt zone” where the gas cluster atoms can easily interact with the substrate surface. Acting and mixing, the gas cluster material escapes from the surface or is introduced in the depth direction of the affected area from the surface. The terms “introducing” or “introducing” are used by the inventors of the present invention to describe this processing process, which is distinct from ion “implantation” or “implantation processing”. The result is very different from ion “implantation”. The noble gases in the active gas cluster ions, such as argon and xenon, are volatile, non-reactive, and dissipate with high probability from the affected area, whereas for example carbon, boron, fluorine, sulfur Materials such as nitrogen, oxygen, germanium and silicon tend to be less volatile and / or prone to form chemical bonds, stay in the affected area and tend to be incorporated into the substrate surface .

例えば、これに限られるものではないが、アルゴンおよびキセノンのような不活性希ガスは、あまり揮発性のないおよび/またはより反応性の元素を含むガスと混合することができ、この場合、混合クラスターが形成される。そのようなガスクラスターは、以降に示す既存のガスクラスターイオンビーム処理装置を使用し、ガスクラスターイオンビーム発生用のソースガスとして、適当なソース混合ガスを使用することにより、または2または3以上のガス(または混合ガス)をガスクラスター発生源に供給して、これらをソース源で混合することにより、形成することができる。ボーランド(Borland)らの最近の刊行物(「導入ドーパントおよび成膜処理を用いたUSJおよび歪みSi形成」、Solid State Technology、p53、2004年3月)には、GCIB導入処理は、傾斜表面層を形成し、この層は、基板材料から表面の成膜層まで、平滑に推移することが示されている。   For example, but not limited to, inert noble gases such as argon and xenon can be mixed with gases containing less volatile and / or more reactive elements, in which case mixing A cluster is formed. Such a gas cluster is obtained by using an existing gas cluster ion beam processing apparatus shown below, by using an appropriate source mixed gas as a source gas for generating the gas cluster ion beam, or by 2 or 3 or more It can be formed by supplying a gas (or mixed gas) to a gas cluster generation source and mixing them in the source source. In a recent publication by Borland et al. ("USJ and strained Si formation using introduced dopants and deposition processes", Solid State Technology, p53, March 2004) This layer has been shown to transition smoothly from the substrate material to the surface deposition layer.

従って、本発明の目的は、好ましくない電気泳動の影響に対する感度を抑制するため、選択的金属成膜キャップを使用する必要のない、相互接続構造内の銅配線をキャップ化する方法を提供することである。   Accordingly, it is an object of the present invention to provide a method for capping copper interconnects in an interconnect structure that does not require the use of a selective metal deposition cap to suppress sensitivity to undesirable electrophoretic effects. It is.

本発明の別の課題は、隣接する誘電体材料の絶縁またはリーク特性に影響することなく、相互接続構造内の銅の相互接続を有効にキャップ化する方法を提供することである。   Another object of the present invention is to provide a method for effectively capping copper interconnects in an interconnect structure without affecting the insulation or leakage characteristics of adjacent dielectric materials.

本発明の別の目的は、高い処理プロセス歩留まりを有し、電気泳動の影響による不具合の感度が抑制された回路用の、マルチレベルの銅相互接続を形成する方法を提供することである。   Another object of the present invention is to provide a method of forming a multi-level copper interconnect for a circuit having a high process process yield and reduced sensitivity to failure due to electrophoresis effects.

本発明のさらに別の目的は、高い処理プロセス歩留まりで、電気泳動の不具合に対する感度が抑制された、集積回路用の改善されたキャップ化銅の相互接続層を提供することである。   Yet another object of the present invention is to provide an improved capped copper interconnect layer for integrated circuits with high process process yield and reduced sensitivity to electrophoretic failures.

本発明のさらに別の目的は、集積回路用の銅の相互接続構造に、改良されたキャップ化を実施する改良された機器を提供することである。本発明の方法により、ガスクラスターイオンビーム処理プロセスにより、前記方法の少なくとも一つのステップを実施するように構成されたクラスターツールにおける集積処理ステップにより、好ましくないコンタミネーションを抑制することができる。   It is yet another object of the present invention to provide an improved apparatus for implementing improved capping on copper interconnect structures for integrated circuits. According to the method of the present invention, undesirable contamination can be suppressed by an integration processing step in a cluster tool configured to perform at least one step of the method by a gas cluster ion beam processing process.

本発明のある実施例では、
1または2以上の銅の相互接続表面と、誘電体材料を被覆するバリア層材料の1または2以上の表面と、を有する構造上に、キャップ化構造を形成する方法であって、
減圧チャンバ内に前記構造を設置するステップと、
前記減圧チャンバ内に、加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面の少なくとも一つの上、および前記誘電体材料を被覆する前記バリア層材料の1または2以上の表面の少なくとも一つの上に、前記加速されたキャップ処理GCIBを誘導するステップであって、前記1または2以上の銅の相互接続表面上に、前記加速されたキャップ処理GCIBが誘導され、前記1または2以上の銅の相互接続表面上に、少なくとも一つのキャップ化構造が形成されるステップと、
を有する方法が提供される。
In one embodiment of the invention,
A method of forming a capped structure on a structure having one or more copper interconnect surfaces and one or more surfaces of a barrier layer material covering a dielectric material comprising:
Installing the structure in a vacuum chamber;
Forming an accelerated capping GCIB in the vacuum chamber;
The accelerated capping GCIB on at least one of the one or more copper interconnect surfaces and on at least one of the one or more surfaces of the barrier layer material covering the dielectric material. , Wherein the accelerated capping GCIB is induced on the one or more copper interconnect surfaces and at least one on the one or more copper interconnect surfaces. A step of forming a capped structure;
Is provided.

前記バリア層材料は、第1の厚さを有し、
前記誘導するステップは、さらに、前記誘電体材料を被覆する前記バリア層材料の1または2以上の表面の少なくとも一つに、導入層を導入し、
前記導入層は、前記第1の厚さよりも薄い第2の厚さを有しても良い。当該方法は、さらに、前記導入層および前記誘電体材料を被覆する前記バリア層材料をエッチング除去するステップを有しても良い。前記エッチング除去するステップは、さらに、
前記減圧チャンバ内で、加速されたエッチング処理GCIBを形成するステップと、
前記誘電体材料を被覆する前記バリア層材料の少なくとも一つの表面に、前記加速されたエッチング処理GCIBを誘導するステップと、
を有しても良い。
The barrier layer material has a first thickness;
The inducing step further comprises introducing an introduction layer on at least one of the one or more surfaces of the barrier layer material covering the dielectric material;
The introduction layer may have a second thickness that is less than the first thickness. The method may further comprise the step of etching away the introduction layer and the barrier layer material covering the dielectric material. The etching and removing step further includes:
Forming an accelerated etching process GCIB in the vacuum chamber;
Inducing the accelerated etching process GCIB on at least one surface of the barrier layer material covering the dielectric material;
You may have.

当該方法は、さらに、前記キャップ処理GCIBを形成するステップおよび誘導するステップの前に、
前記減圧チャンバ内で、加速された清浄化処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面の少なくとも一つ、および前記誘電体材料を被覆する前記バリア層材料の1または2以上の表面の少なくとも一つに、前記加速された清浄化処理GCIBを誘導するステップと、
を有し、
前記加速された清浄化処理GCIBが前記1または2以上の表面に誘導され、前記1または2以上の表面が清浄化されても良い。前記加速された清浄化処理GCIBを形成するステップは、さらに、Ar、N2、NH3、およびH2で構成された群から選定された、少なくとも一つのガスの分子から、ガスクラスターイオンを発生するステップを有しても良い。前記加速された清浄化処理GCIBを形成するステップは、さらに、約3kVから約50kVの範囲の加速電位により、清浄化処理GCIBガスクラスターイオンを加速させるステップを有しても良い。前記加速されたキャップ処理GCIBを誘導するステップにより、前記1または2以上の銅の相互接続表面の少なくとも一つ、および前記誘電体材料を被覆する前記バリア層材料の1または2以上の表面の少なくとも一つに、バリア層材料表面約1×1014から約1×1017ガスクラスターイオン/cm2の範囲の照射線量が供給されても良い。
The method further includes the steps of forming and guiding the capping GCIB,
Forming an accelerated cleaning process GCIB in the vacuum chamber;
The accelerated cleaning treatment GCIB is applied to at least one of the one or more copper interconnect surfaces and at least one of the one or more surfaces of the barrier layer material covering the dielectric material. A guiding step;
Have
The accelerated cleaning treatment GCIB may be guided to the one or more surfaces, and the one or more surfaces may be cleaned. The step of forming the accelerated cleaning treatment GCIB further generates gas cluster ions from at least one gas molecule selected from the group consisting of Ar, N 2 , NH 3 , and H 2 You may have the step to do. The step of forming the accelerated cleaning process GCIB may further comprise accelerating the cleaning process GCIB gas cluster ions with an acceleration potential in the range of about 3 kV to about 50 kV. Deriving at least one of the one or more copper interconnect surfaces and at least one or more surfaces of the barrier layer material covering the dielectric material by inducing the accelerated capping GCIB. For example, an irradiation dose in the range of about 1 × 10 14 to about 1 × 10 17 gas cluster ions / cm 2 may be provided.

当該方法は、さらに、形成された前記少なくとも一つのキャップ化構造を被覆する、少なくとも一つの絶縁層を形成するステップを有しても良い。少なくとも一つの絶縁層を形成するステップは、PECVD成膜処理を利用しても良い。形成される前記少なくとも一つの絶縁層は、炭化珪素、窒化珪素、および炭窒化珪素からなる群から選定された一つの材料を有しても良い。前記少なくとも一つのキャップ化構造を被覆する少なくとも一つの絶縁層を形成するステップは、さらに、
前記減圧チャンバ内で、加速された成膜GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面に、前記加速された成膜GCIBを誘導するステップであって、前記少なくとも一つの絶縁層が成膜されるステップと、
を有しても良い。前記少なくとも一つのキャップ化構造を被覆する前記少なくとも一つの絶縁層は、誘電体拡散バリア膜であっても良い。
The method may further comprise forming at least one insulating layer covering the formed at least one capped structure. The step of forming at least one insulating layer may utilize a PECVD film forming process. The at least one insulating layer to be formed may have one material selected from the group consisting of silicon carbide, silicon nitride, and silicon carbonitride. Forming at least one insulating layer covering the at least one capped structure further comprises:
Forming an accelerated deposition GCIB in the vacuum chamber;
Inducing the accelerated deposition GCIB on the one or more copper interconnect surfaces, wherein the at least one insulating layer is formed;
You may have. The at least one insulating layer covering the at least one capping structure may be a dielectric diffusion barrier film.

本発明の別の実施例では、減圧環境で、少なくとも一つのウェハを処理するクラスターツールが提供され、当該クラスターツールは、
当該クラスターツール内におよび/または当該クラスターツールから、前記少なくとも一つのウェハを移動させる少なくとも一つのロックと、
少なくとも一つの搬送チャンバと、
少なくとも一つのGCIB処理チャンバと、
少なくとも一つの清浄化処理チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有しても良い。
In another embodiment of the invention, a cluster tool is provided for processing at least one wafer in a reduced pressure environment, the cluster tool comprising:
At least one lock for moving the at least one wafer into and / or out of the cluster tool;
At least one transfer chamber;
At least one GCIB processing chamber;
At least one cleaning process chamber;
At least one wafer transfer device adapted to transfer said at least one wafer from chamber to chamber;
You may have.

前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部で、銅のキャップ化処理を実施するように適合され、前記清浄化処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合されても良い。前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、誘電体拡散バリア膜を形成するように適合されても良い。   The GCIB processing chamber is adapted to perform a copper capping process on at least a portion of the at least one wafer, and the cleaning process chamber performs a cleaning process prior to the copper capping process. It may be adapted to perform. The GCIB processing chamber may be adapted to form a dielectric diffusion barrier film on at least a portion of the at least one wafer.

本発明のさらに別の実施例では、減圧環境内で、少なくとも一つのウェハを処理するクラスターツールであって、
当該クラスターツール内におよび/または当該クラスターツールから、前記少なくとも一つのウェハを移動させる少なくとも一つのロックと、
少なくとも一つの搬送チャンバと、
少なくとも一つのGCIB処理チャンバと、
少なくとも一つの成膜チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有するクラスターツールが提供される。
In yet another embodiment of the present invention, a cluster tool for processing at least one wafer in a reduced pressure environment, comprising:
At least one lock for moving the at least one wafer into and / or out of the cluster tool;
At least one transfer chamber;
At least one GCIB processing chamber;
At least one deposition chamber;
At least one wafer transfer device adapted to transfer said at least one wafer from chamber to chamber;
A cluster tool is provided.

前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部で、銅のキャップ化処理を実施するように適合され、前記成膜チャンバは、前記少なくとも一つのウェハの少なくとも一部のキャップ化銅上に、誘電体拡散バリア膜を形成するように適合されても良い。前記成膜チャンバは、PECVD成膜チャンバであっても良い。前記GCIB処理チャンバは、銅のキャップ化処理の前に清浄化処理を実施するように適合されても良い。   The GCIB processing chamber is adapted to perform a copper capping process on at least a portion of the at least one wafer, and the deposition chamber is on a capped copper of at least a portion of the at least one wafer. In addition, it may be adapted to form a dielectric diffusion barrier film. The film forming chamber may be a PECVD film forming chamber. The GCIB processing chamber may be adapted to perform a cleaning process prior to the copper capping process.

本発明のさらに別の実施例では、減圧環境内で、少なくとも一つのウェハを処理するクラスターツールであって、
当該クラスターツール内におよび/または当該クラスターツールから、前記少なくとも一つのウェハを移動させる少なくとも一つのロックと、
少なくとも一つの搬送チャンバと、
少なくとも一つのGCIB処理チャンバと、
少なくとも一つの成膜チャンバと、
少なくとも一つの清浄化処理チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有するクラスターツールが提供される。
In yet another embodiment of the present invention, a cluster tool for processing at least one wafer in a reduced pressure environment, comprising:
At least one lock for moving the at least one wafer into and / or out of the cluster tool;
At least one transfer chamber;
At least one GCIB processing chamber;
At least one deposition chamber;
At least one cleaning process chamber;
At least one wafer transfer device adapted to transfer said at least one wafer from chamber to chamber;
A cluster tool is provided.

前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、前記清浄化処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合されても良い。前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、前記成膜チャンバは、キャップ化銅上に、誘電体拡散バリア膜を形成するように適合されても良い。前記成膜チャンバは、PECVD成膜チャンバであっても良い。前記清浄化処理チャンバは、プラズマ清浄化処理チャンバであっても良い。前記GCIB処理チャンバは、誘電体拡散バリア膜を形成する用に適合されても良い。前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部を、清浄化するように適合されても良い。   The GCIB processing chamber is adapted to perform a copper capping process on at least a portion of the at least one wafer, and the cleaning process chamber performs a cleaning process prior to the copper capping process. It may be adapted to perform. The GCIB processing chamber is adapted to perform a copper capping process on at least a portion of the at least one wafer, and the deposition chamber forms a dielectric diffusion barrier film on the capped copper. May be adapted as such. The film forming chamber may be a PECVD film forming chamber. The cleaning process chamber may be a plasma cleaning process chamber. The GCIB processing chamber may be adapted for forming a dielectric diffusion barrier film. The GCIB processing chamber may be adapted to clean at least a portion of the at least one wafer.

本発明のさらに別の実施例では、減圧雰囲気において、少なくとも一つのウェハを処理するクラスターツールであって、
前記少なくとも一つのウェハを、当該クラスターツール内におよび/または当該クラスターツールから移動する少なくとも一つのロックと、
複数のGCIB処理チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有するクラスターツールが提供される。
In yet another embodiment of the present invention, a cluster tool for processing at least one wafer in a reduced pressure atmosphere comprising:
At least one lock for moving the at least one wafer into and / or out of the cluster tool;
Multiple GCIB processing chambers;
At least one wafer transfer device adapted to transfer said at least one wafer from chamber to chamber;
A cluster tool is provided.

前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、前記GCIB処理チャンバは、キャップ化銅の上に、誘電体拡散バリア膜を形成するように適合されても良い。前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、前記GCIB処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合されても良い。前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、前記GCIB処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合され、前記GCIB処理チャンバは、キャップ化銅の上に、誘電体拡散バリア膜を形成するように適合されても良い。   The GCIB processing chamber is adapted to perform a copper capping process on at least a portion of the at least one wafer, and the GCIB processing chamber forms a dielectric diffusion barrier film on the capped copper. May be adapted to do. The GCIB processing chamber is adapted to perform a copper capping process on at least a portion of the at least one wafer, and the GCIB processing chamber performs a cleaning process prior to the copper capping process. May be adapted to do. The GCIB processing chamber is adapted to perform a copper capping process on at least a portion of the at least one wafer, and the GCIB processing chamber performs a cleaning process prior to the copper capping process. And the GCIB processing chamber may be adapted to form a dielectric diffusion barrier film over the capped copper.

本発明のさらに別の実施例では、1または2以上の銅の相互接続表面と、1または2以上の誘電体表面と、を有する構造上に、キャップ化構造を形成する方法であって、
減圧チャンバ内に前記構造を設置するステップと、
前記減圧チャンバ内に、加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面、および前記1または2以上の誘電体表面の少なくとも一つの上に、前記加速されたキャップ処理GCIBを誘導するステップであって、前記1または2以上の表面上に、前記加速されたキャップ処理GCIBが誘導され、前記1または2以上の表面上に、少なくとも一つのキャップ化構造が形成されるステップと、
を有する方法が提供される。
In yet another embodiment of the present invention, a method for forming a capped structure on a structure having one or more copper interconnect surfaces and one or more dielectric surfaces comprising:
Installing the structure in a vacuum chamber;
Forming an accelerated capping GCIB in the vacuum chamber;
Inducing the accelerated capping GCIB on at least one of the one or more copper interconnect surfaces and the one or more dielectric surfaces, comprising: Inducing the accelerated capping GCIB on a surface to form at least one capped structure on the one or more surfaces;
Is provided.

前記加速されたキャップ処理GCIBを形成するステップは、さらに、銅表面に導入された際に、電気絶縁材料を構成し、誘電体表面に導入された際に、電気絶縁材料を形成する元素から、ガスクラスターイオンを発生するステップを有しても良く、形成された前記少なくとも一つのキャップ化構造は、電気絶縁性キャップ化構造である。   The step of forming the accelerated capping GCIB further comprises an electrically insulating material when introduced to the copper surface and from an element that forms the electrically insulating material when introduced to the dielectric surface, There may be the step of generating gas cluster ions, and the formed at least one capped structure is an electrically insulating capped structure.

前記加速されたキャップ処理GCIBを形成するステップは、さらに、
銅表面に導入された際に、導電性材料を構成し、誘電体表面に導入された際に、電気絶縁材料を構成する元素から、ガスクラスターイオンを発生するステップを有し、
形成された前記少なくとも一つのキャップ化構造は、前記銅相互接続部分の前記照射領域上の導電性キャップ化構造、および前記誘電体部分の前記照射領域上の電気絶縁性キャップ化構造の少なくとも一つを有しても良い。前記加速されたキャップ処理GCIBを形成するステップは、さらに、希ガスまたは混合希ガスから、ガスクラスターイオンを発生するステップを有し、形成された前記少なくとも一つのキャップ化構造は、前記銅相互接続部分の前記照射領域に、少なくとも導電性キャップ化構造を有しても良い。
Forming the accelerated capping GCIB further comprises:
Comprising a conductive material when introduced on the copper surface, and generating gas cluster ions from elements constituting the electrically insulating material when introduced on the dielectric surface;
The at least one capped structure formed is at least one of a conductive capping structure on the irradiated region of the copper interconnect portion and an electrically insulating capped structure on the irradiated region of the dielectric portion. You may have. The step of forming the accelerated capping GCIB further comprises the step of generating gas cluster ions from a noble gas or mixed noble gas, wherein the at least one capped structure formed is the copper interconnect. The irradiation region of the portion may have at least a conductive capping structure.

前記加速されたキャップ処理GCIBを形成するステップは、さらに、Ar、Xe、またはArとXeの混合ガスから、ガスクラスターイオンを発生するステップを有し、形成された前記少なくとも一つのキャップ化構造は、前記銅相互接続部分の前記照射領域に、少なくとも導電性キャップ化構造を有しても良い。   The step of forming the accelerated capping GCIB further includes the step of generating gas cluster ions from Ar, Xe, or a mixed gas of Ar and Xe, wherein the at least one capped structure formed is The irradiated region of the copper interconnect portion may have at least a conductive capping structure.

本発明のさらに別の実施例では、
1または2以上の銅の相互接続表面と、バリア層材料により被覆された1または2以上の誘電体層領域と、を有する集積回路相互接続層上に、銅のキャップ化構造を形成する方法であって、
前記1または2以上の銅の相互接続表面に、少なくとも一つのキャップ化構造を形成するステップと、
前記1または2以上の銅の相互接続表面に、少なくとも一つのキャップ化構造を形成するステップの後に、前記1または2以上の誘電体層領域の少なくとも一つを被覆する前記バリア層材料を除去するステップと、
を有する方法が提供される。前記形成するステップは、さらに、加速されたキャップ処理GCIBを形成するステップと、前記1または2以上の銅の相互接続表面の少なくとも一つに、前記加速されたキャップ処理GCIBを誘導するステップと、を有しても良い。前記除去するステップは、加速されたエッチング処理GCIBを形成するステップと、前記バリア層材料に、前記加速されたエッチング処理GCIBを誘導するステップと、を有しても良い。
In yet another embodiment of the invention,
A method of forming a copper capping structure on an integrated circuit interconnect layer having one or more copper interconnect surfaces and one or more dielectric layer regions coated with a barrier layer material. There,
Forming at least one capped structure on the one or more copper interconnect surfaces;
After the step of forming at least one capped structure on the one or more copper interconnect surfaces, the barrier layer material covering at least one of the one or more dielectric layer regions is removed. Steps,
Is provided. The forming step further comprises: forming an accelerated capping GCIB; inducing the accelerated capping GCIB on at least one of the one or more copper interconnect surfaces; You may have. The removing step may include forming an accelerated etching process GCIB and inducing the accelerated etching process GCIB in the barrier layer material.

本発明のさらに別の実施例では、
1または2以上の銅の相互接続表面と、バリア層材料により被覆された1または2以上の誘電体層領域と、を有する集積回路相互接続層上に、銅のキャップ化構造を形成する方法であって、
第1のビーム加速電位を用いて、加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面の少なくとも一つに、前記加速されたキャップ処理GCIBを誘導するステップであって、前記1または2以上の銅の相互接続表面に、少なくとも一つのキャップ化構造が形成されるステップと、
前記第1のビーム加速電位よりも低い第2のビーム加速電位を用いて、加速されたエッチング処理GCIBを形成するステップと、
前記少なくとも一つのキャップ化構造上、および前記バリア層材料上に、前記加速されたエッチング処理GCIBを誘導するステップであって、前記バリア層材料が除去されるステップと、
を有する方法が提供される。
In yet another embodiment of the invention,
A method of forming a copper capping structure on an integrated circuit interconnect layer having one or more copper interconnect surfaces and one or more dielectric layer regions coated with a barrier layer material. There,
Forming an accelerated capping GCIB using a first beam acceleration potential;
Directing the accelerated capping GCIB to at least one of the one or more copper interconnect surfaces, wherein at least one capping is applied to the one or more copper interconnect surfaces. A step in which a structure is formed;
Forming an accelerated etching process GCIB using a second beam acceleration potential lower than the first beam acceleration potential;
Inducing the accelerated etching process GCIB on the at least one capped structure and on the barrier layer material, the barrier layer material being removed; and
Is provided.

本発明のさらに別の実施例では、
1または2以上の銅の相互接続表面と、バリア層材料により被覆された1または2以上の誘電体層領域と、を有する集積回路相互接続層上に、銅のキャップ化構造を形成する方法であって、
加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面の少なくとも一つに、前記加速されたキャップ処理GCIBを誘導するステップであって、前記1または2以上の銅の相互接続表面に、少なくとも一つのキャップ化構造が形成されるステップと、
加速されたエッチング処理GCIBを形成するステップと、
前記少なくとも一つのキャップ化構造上、および前記バリア層材料上に、前記加速されたエッチング処理GCIBを誘導するステップであって、前記少なくとも一つのキャップ化構造の全ては除去されずに、前記1または2以上の誘電体層領域の少なくとも一つを被覆する前記バリア層材料が除去されるステップと、
を有する方法が提供される。
In yet another embodiment of the invention,
A method of forming a copper capping structure on an integrated circuit interconnect layer having one or more copper interconnect surfaces and one or more dielectric layer regions coated with a barrier layer material. There,
Forming an accelerated capping GCIB;
Directing the accelerated capping GCIB to at least one of the one or more copper interconnect surfaces, wherein at least one capping is applied to the one or more copper interconnect surfaces. A step in which a structure is formed;
Forming an accelerated etching process GCIB;
Directing the accelerated etching process GCIB on the at least one capped structure and on the barrier layer material, wherein all of the at least one capped structure is not removed and the 1 or Removing the barrier layer material covering at least one of the two or more dielectric layer regions;
Is provided.

本発明のさらに別の実施例では、
1または2以上のキャップ化銅相互接続表面と、1または2以上の誘電体層領域とを有する集積回路相互接続層であって、
前述の方法の1または2以上のステップにより製作されることを特徴とする集積回路相互接続層が提供される。
In yet another embodiment of the invention,
An integrated circuit interconnect layer having one or more capped copper interconnect surfaces and one or more dielectric layer regions comprising:
An integrated circuit interconnect layer is provided that is fabricated by one or more steps of the foregoing method.

本発明のさらに別の実施例では、
1または2以上のキャップ化銅相互接続表面と、1または2以上の誘電体層領域とを有する集積回路相互接続層であって、
前述の方法の1または2以上のステップにより製作されることを特徴とする集積回路相互接続層が提供される。
In yet another embodiment of the invention,
An integrated circuit interconnect layer having one or more capped copper interconnect surfaces and one or more dielectric layer regions comprising:
An integrated circuit interconnect layer is provided that is fabricated by one or more steps of the foregoing method.

本発明のさらに別の実施例では、
クラスターツールシステム内で、該クラスターツールシステムを減圧環境に維持したまま、半導体ウェハを処理する方法であって、
クラスターツールの第1のGCIB処理チャンバ内で、GCIB処理を用いて、半導体ウェハ上の銅の相互接続表面、および誘電体材料を覆うバリア層材料表面に、キャップ化層を形成するステップと、
前記クラスターツールの前記減圧環境内で、前記第1のGCIB処理チャンバから、前記クラスターツールの第2のGCIB処理チャンバに、前記半導体ウェハを搬送するステップと、
前記第2のGCIB処理チャンバ内で、GCIBエッチング処理を用いて、前記誘電体層から前記バリア層を除去するステップと、
を有する方法が提供される。
In yet another embodiment of the invention,
A method of processing a semiconductor wafer in a cluster tool system while maintaining the cluster tool system in a reduced pressure environment,
In a first GCIB processing chamber of the cluster tool, using a GCIB process, forming a capping layer on the copper interconnect surface on the semiconductor wafer and the barrier layer material surface covering the dielectric material;
Transferring the semiconductor wafer from the first GCIB processing chamber to the second GCIB processing chamber of the cluster tool in the reduced pressure environment of the cluster tool;
Removing the barrier layer from the dielectric layer using a GCIB etching process in the second GCIB processing chamber;
Is provided.

当該方法は、さらに、前記形成するステップの前に、
前記クラスターツールの第3の処理チャンバ内で、清浄化処理プロセスを用いて、前記銅の相互接続表面および前記バリア層材料表面を清浄化するステップと、
前記クラスターツールの前記減圧環境内で、前記クラスターツールの第3の処理チャンバから、前記クラスターツールの第1のGCIB処理チャンバに、前記半導体ウェハを搬送するステップと、
を有しても良い。前記クラスターツールの第3の処理チャンバは、GCIB処理チャンバであり、前記清浄化処理プロセスは、GCIB清浄化処理プロセスを含んでも良い。
The method further includes, prior to the forming step,
Cleaning the copper interconnect surface and the barrier layer material surface using a cleaning process in a third processing chamber of the cluster tool;
Transferring the semiconductor wafer from a third processing chamber of the cluster tool to a first GCIB processing chamber of the cluster tool in the reduced pressure environment of the cluster tool;
You may have. The third processing chamber of the cluster tool may be a GCIB processing chamber, and the cleaning process may include a GCIB cleaning process.

本発明のさらに別の実施例では、
クラスターツールシステム内で、該クラスターツールシステムを減圧環境に維持したまま、半導体ウェハを処理する方法であって、
クラスターツールの第1のGCIB処理チャンバ内で、GCIB処理を用いて、半導体ウェハ上の銅の相互接続表面、および誘電体材料上に、キャップ化層を形成するステップと、
前記クラスターツールの前記減圧環境内で、前記第1のGCIB処理チャンバから、前記クラスターツールの第2の処理チャンバに、前記半導体ウェハを搬送するステップと、
前記クラスターツールの前記第2の処理チャンバ内で、誘電体膜形成処理プロセスを用いて、前記キャップ化層上に、誘電体拡散バリア膜を形成するステップと、
を有する方法が提供される。
In yet another embodiment of the invention,
A method of processing a semiconductor wafer in a cluster tool system while maintaining the cluster tool system in a reduced pressure environment,
Forming a capping layer on a copper interconnect surface on a semiconductor wafer and on a dielectric material using a GCIB process in a first GCIB processing chamber of the cluster tool;
Transferring the semiconductor wafer from the first GCIB processing chamber to the second processing chamber of the cluster tool in the reduced pressure environment of the cluster tool;
Forming a dielectric diffusion barrier film on the capping layer using a dielectric film formation process in the second processing chamber of the cluster tool;
Is provided.

当該方法は、さらに、形成するステップの前に、
前記クラスターツールの第3の処理チャンバ内で、清浄化処理プロセスを用いて、前記銅の相互接続表面および前記バリア層材料表面を清浄化するステップと、
前記クラスターツールの前記減圧環境内で、前記クラスターツールの第3の処理チャンバから、前記クラスターツールの第1のGCIB処理チャンバに、前記半導体ウェハを搬送するステップと、
を有しても良い。前記クラスターツールの第3の処理チャンバは、GCIB処理チャンバであり、前記清浄化処理プロセスは、GCIB清浄化処理プロセスを含んでも良い。前記クラスターツールの第2の処理チャンバは、GCIB処理チャンバであり、前記誘電体膜形成処理プロセスは、GCIB導入処理プロセスを含んでも良い。
The method further includes prior to the forming step,
Cleaning the copper interconnect surface and the barrier layer material surface using a cleaning process in a third processing chamber of the cluster tool;
Transferring the semiconductor wafer from a third processing chamber of the cluster tool to a first GCIB processing chamber of the cluster tool in the reduced pressure environment of the cluster tool;
You may have. The third processing chamber of the cluster tool may be a GCIB processing chamber, and the cleaning process may include a GCIB cleaning process. The second processing chamber of the cluster tool may be a GCIB processing chamber, and the dielectric film formation processing process may include a GCIB introduction processing process.

従来の窒化珪素キャップ化銅相互接続配線構造を概略的に示した図である。It is the figure which showed schematically the conventional silicon nitride capped copper interconnection wiring structure. 従来の選択性金属キャップ化銅相互接続配線構造を概略的に示した図である。FIG. 6 schematically illustrates a conventional selective metal-capped copper interconnect wiring structure. 従来のGCIB処理機器の基本素子を概略的に示した図である。It is the figure which showed schematically the basic element of the conventional GCIB processing apparatus. 本発明の第1の実施例によるGCIB導入法による、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 3 is a diagram schematically showing a copper interconnection capping process by the GCIB introduction method according to the first embodiment of the present invention. 本発明の第1の実施例によるGCIB導入法による、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 3 is a diagram schematically showing a copper interconnection capping process by the GCIB introduction method according to the first embodiment of the present invention. 本発明の第1の実施例によるGCIB導入法による、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 3 is a diagram schematically showing a copper interconnection capping process by the GCIB introduction method according to the first embodiment of the present invention. 本発明の第1の実施例によるGCIB導入法による、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 3 is a diagram schematically showing a copper interconnection capping process by the GCIB introduction method according to the first embodiment of the present invention. 本発明の第1の実施例によるGCIB導入法による、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 3 is a diagram schematically showing a copper interconnection capping process by the GCIB introduction method according to the first embodiment of the present invention. 本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a second embodiment of the present invention. 本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a second embodiment of the present invention. 本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a second embodiment of the present invention. 本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a second embodiment of the present invention. 本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a second embodiment of the present invention. 本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a second embodiment of the present invention. 本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a second embodiment of the present invention. 本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a second embodiment of the present invention. 本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a second embodiment of the present invention. 本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a second embodiment of the present invention. 本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a second embodiment of the present invention. 本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a second embodiment of the present invention. 本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introducing process and a film forming process according to a third embodiment of the present invention. 本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introducing process and a film forming process according to a third embodiment of the present invention. 本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introducing process and a film forming process according to a third embodiment of the present invention. 本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introducing process and a film forming process according to a third embodiment of the present invention. 本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introducing process and a film forming process according to a third embodiment of the present invention. 本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introducing process and a film forming process according to a third embodiment of the present invention. 本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 6 is a diagram schematically showing a copper interconnection capping process using a GCIB introducing process and a film forming process according to a third embodiment of the present invention. 本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 10 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a fourth embodiment of the present invention. 本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 10 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a fourth embodiment of the present invention. 本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 10 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a fourth embodiment of the present invention. 本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 10 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a fourth embodiment of the present invention. 本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 10 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a fourth embodiment of the present invention. 本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 10 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a fourth embodiment of the present invention. 本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。FIG. 10 is a diagram schematically showing a copper interconnection capping process using a GCIB introduction process and a film forming process according to a fourth embodiment of the present invention. 本発明のいくつかの一例としての実施例に使用され得る、クラスターツールの一例を概略的に示した図である。FIG. 2 schematically illustrates an example cluster tool that may be used in some example embodiments of the present invention. 本発明のいくつかの一例としての実施例に使用され得る、クラスターツールの一例を概略的に示した図である。FIG. 2 schematically illustrates an example cluster tool that may be used in some example embodiments of the present invention. 本願の実施例の異なる例を示す表を示した図である。It is the figure which showed the table | surface which shows the example from which the Example of this application differs.

他の目的とともに、本発明をより良く理解するため、添付図面を参照して、以下、本発明を詳細に説明する。   For a better understanding of the present invention, together with other objects, the present invention will now be described in detail with reference to the accompanying drawings.

図3には、従来の形態のGCIB処理機器の典型的な構成の基本素子を概略的に示す。これには、以下のものが示されている:真空容器102は、3つの連通チャンバに分割されており、これらは、ソースチャンバ104、イオン化/加速チャンバ106、および処理チャンバ108である。3つのチャンバは、それぞれ、真空ポンプシステム146a、146bおよび146cを用いて、適当な作動圧力に減圧される。第1のガス貯蔵シリンダ111には、第1の凝縮性ソースガス112(例えば、アルゴン、窒素、または予備混合された混合ガス)が保管されており、第1のガス貯蔵シリンダ111は、第1のガスシャットオフバルブ115、第1のガス計測バルブ113、およびガス供給管114を介して、圧力環境下で、停滞チャンバ116まで接続されている。第2のガス貯蔵シリンダ230には、任意の第2の凝縮性ソースガス232(例えば、二酸化炭素、酸素、または予備混合された混合ガス)が保管されており、第2のガス貯蔵シリンダ230は、任意の第2のガスシャットオフバルブ236、第2のガス計測バルブ234を介して、圧力環境下で接続されている。両方のソースガスが使用される場合、これらは、ガス供給管114および停滞チャンバ116内で混合される。停滞チャンバ116内のガスまたは混合ガスは、適正な形状のノズル110を介して、実質的に低い圧力で放出される。超音波ガスジェット118が生じる。ジェットの膨脹に起因した冷却現象により、ガスジェット118の一部は、ガスクラスターに凝集し、この各々は、数個から数千個の原子または分子の弱い結合により構成される。ガススキマー開口120は、ガスクラスタージェットから、ガスクラスタージェットに凝縮されなかったガス分子を部分的に分離し、そのような高圧力が望ましくない下流領域(例えば、イオナイザ122、高電圧電極126、および処理チャンバ108)の圧力が最小化される。適当な凝縮性ソースガス112は、必ずしもこれに限られるものではないが、アルゴン、窒素、二酸化炭素、酸素、および他のガスならびに/または混合ガスを含んでも良い。   FIG. 3 schematically shows basic elements of a typical configuration of a conventional GCIB processing apparatus. This shows the following: The vacuum vessel 102 is divided into three communicating chambers: a source chamber 104, an ionization / acceleration chamber 106, and a processing chamber 108. Each of the three chambers is depressurized to an appropriate operating pressure using vacuum pump systems 146a, 146b, and 146c. The first gas storage cylinder 111 stores a first condensable source gas 112 (for example, argon, nitrogen, or a premixed mixed gas), and the first gas storage cylinder 111 includes the first gas storage cylinder 111. The gas shut-off valve 115, the first gas measurement valve 113, and the gas supply pipe 114 are connected to the stagnation chamber 116 under a pressure environment. The second gas storage cylinder 230 stores an optional second condensable source gas 232 (eg, carbon dioxide, oxygen, or a premixed gas mixture), and the second gas storage cylinder 230 The second gas shut-off valve 236 and the second gas measurement valve 234 are connected in a pressure environment. If both source gases are used, they are mixed in the gas supply line 114 and stagnant chamber 116. The gas or gas mixture in the stagnation chamber 116 is released at a substantially low pressure through a properly shaped nozzle 110. An ultrasonic gas jet 118 is produced. Due to the cooling phenomenon resulting from jet expansion, a portion of the gas jet 118 aggregates into gas clusters, each of which is composed of weak bonds of several to thousands of atoms or molecules. The gas skimmer aperture 120 partially separates gas molecules from the gas cluster jet that have not been condensed into the gas cluster jet, such that downstream regions where such high pressure is undesirable (e.g., ionizer 122, high voltage electrode 126, and The pressure in the processing chamber 108) is minimized. Suitable condensable source gases 112 may include, but are not necessarily limited to, argon, nitrogen, carbon dioxide, oxygen, and other gases and / or mixed gases.

ガスクラスターを含む超音波ガスジェット118が形成された後、ガスクラスターは、イオナイザ122内でイオン化される。イオナイザ122は、通常、電子衝突イオナイザであり、これは、1または2以上の白熱フィラメント124から熱電子を形成し、この電子が、ガスジェット118内でガスクラスターと衝突するように電子を加速させ誘導する。その後、イオナイザ122からジェットが排出される。電子衝突により、ガスクラスターから電子が放出され、これによりガスクラスターの一部が正にイオン化される。いくつかのガスクラスターは、2以上の電子を放出し、多価にイオン化されても良い。適当にバイアス化された一組の高電圧電極126は、イオナイザからガスクラスターイオンを抽出し、ビームが形成され、その後、これらのビームは、(通常、数百Vから数十kVまでの加速電位により)所望のエネルギーまで加速され、焦点化されることにより、GCIB128が形成される。フィラメント電源136は、フィラメント電圧V1を提供し、イオナイザのフィラメント124が加熱される。アノード電源134は、アノード電圧VAを提供し、これによりフィラメント124から放射された熱電子が加速され、これらでガスクラスターを含むガスヘット118が照射され、イオンが形成される。抽出電源128は、高電圧電極をバイアス化する抽出電圧VEを提供し、これにより、イオナイザ122のイオン化領域からイオンが抽出され、GCIB128が形成される。加速器電源140は、イオナイザ122に対して高電圧電極をバイアス化する加速電圧VACCを提供し、これにより、全GCIB加速電位をVACCに等しくすることができる。1または2以上のレンズパワー供給(例えば142、144)が提供され、高電圧電極が焦点化電圧(例えばVL1およびVL2)によりバイアス化されても良く、これによりGCIB128が焦点化される。 After the ultrasonic gas jet 118 containing the gas cluster is formed, the gas cluster is ionized in the ionizer 122. The ionizer 122 is typically an electron impact ionizer that forms thermal electrons from one or more incandescent filaments 124 that accelerate the electrons so that they collide with gas clusters within the gas jet 118. Induce. Thereafter, the jet is discharged from the ionizer 122. Electron collision causes electrons to be emitted from the gas cluster, whereby a part of the gas cluster is positively ionized. Some gas clusters emit more than one electron and may be multivalently ionized. A set of appropriately biased high voltage electrodes 126 extract gas cluster ions from the ionizer and beams are formed, after which these beams are accelerated (typically from hundreds of volts to tens of kV). GCIB128 is formed by accelerating and focusing to the desired energy. The filament power supply 136 provides a filament voltage V 1 and the ionizer filament 124 is heated. The anode power supply 134 provides an anode voltage V A , thereby accelerating the thermoelectrons emitted from the filament 124 and irradiating the gas head 118 including the gas clusters to form ions. Extraction power 128 provides extracted voltage V E to bias the high voltage electrode, by which the ions are extracted from the ionization region of ionizer 122, GCIB 128 is formed. The accelerator power supply 140 provides the ionizer 122 with an acceleration voltage V ACC that biases the high voltage electrode, thereby making the total GCIB acceleration potential equal to V ACC . One or more lens power supplies (eg 142, 144) may be provided, and the high voltage electrode may be biased by a focusing voltage (eg V L1 and V L2 ), thereby focusing the GCIB 128.

試料152は、半導体ウェハまたはGCIB処理プロセスにより処理される他の試料であっても良く、この試料は、GCIB128の経路に配置された試料ホルダ150に保持される。ほとんどの用途では、空間的に均一な大きな試料が処理されると想定されるため、走査システムは、大面積にわたって、GCIB128を均一に走査することが好ましく、これにより空間的に均一な結果が得られる。   The sample 152 may be a semiconductor wafer or other sample processed by a GCIB processing process, and this sample is held by a sample holder 150 disposed in the path of the GCIB 128. For most applications, it is assumed that large samples that are spatially uniform will be processed, so the scanning system preferably scans GCIB128 uniformly over a large area, resulting in spatially uniform results. It is done.

GCIB128は、静止状態であり、GCIB軸129を有し、試料152は、GCIB128を介して機械的に走査され、試料152の表面にわたって、GCIB128の影響が分配される。   The GCIB 128 is stationary and has a GCIB axis 129, and the sample 152 is mechanically scanned through the GCIB 128 to distribute the effects of the GCIB 128 across the surface of the sample 152.

X走査アクチュエータ202は、試料ホルダ150に、X走査移動の方向208(紙面に入る方向および紙面から出る方向)に、直線的な動きを提供する。Y走査アクチュエータ204は、試料ホルダ150に、Y方向走査移動の方向210に直線的な動きを提供し、この方向は、X走査移動の方向208と直交している。X走査とY走査の組み合わせにより、GCIB128を介したラスタ状の走査移動の際に、試料ホルダ150に保持された試料152が移動し、試料152の処理用のGCIB128による、試料152の表面への均一な(またはプログラム化された)照射が可能となる。試料ホルダ150により、試料152は、GCIB128の軸に対して、傾斜配置され、これにより、GCIB128は、試料152表面に対して、ビーム入射角度206を有するようになる。ビーム入射角度206は、90゜であっても良く、あるいは他の角度であっても良いが、通常の場合、90゜か90゜近傍の角度である。Y走査の間、試料152および試料ホルダ150は、それぞれ、152Aおよび150Aで示された代替位置「A」で示された位置から移動する。2つの位置の間の移動の間、試料152は、GCIB128を介して走査され、両方の最終位置において、試料152は、GCIB128の経路から完全に外れるように移動する(オーバー走査)ことに留意する必要がある。図3には明白に示されていないが、同様の走査方式およびオーバー走査は、(通常)直交するX走査移動方向208において実施される(紙面に入り、紙面から出る方向)。   The X-scan actuator 202 provides the sample holder 150 with a linear motion in the X-scan movement direction 208 (direction entering and exiting the page). The Y-scan actuator 204 provides the sample holder 150 with a linear movement in the Y-direction scan movement direction 210, which is orthogonal to the X-scan movement direction 208. Due to the combination of X scan and Y scan, the sample 152 held by the sample holder 150 moves during the raster scan movement through the GCIB 128, and the sample 152 is processed onto the surface of the sample 152 by the GCIB 128 for processing the sample 152. Uniform (or programmed) irradiation is possible. The sample holder 150 causes the sample 152 to be inclined with respect to the axis of the GCIB 128, so that the GCIB 128 has a beam incident angle 206 with respect to the surface of the sample 152. The beam incident angle 206 may be 90 ° or another angle, but is usually 90 ° or an angle close to 90 °. During the Y scan, the sample 152 and sample holder 150 move from the position indicated by the alternative position “A” indicated by 152A and 150A, respectively. Note that during movement between the two positions, the sample 152 is scanned through the GCIB 128, and at both final positions, the sample 152 moves completely out of the path of the GCIB 128 (overscan). There is a need. Although not explicitly shown in FIG. 3, a similar scanning scheme and overscan is performed in the (usually) orthogonal X-scan movement direction 208 (direction entering and exiting the page).

GCIB128の経路内の試料ホルダ150の上方には、ビーム電流センサ218が設置され、このセンサは、試料ホルダ150がGCIB128の経路から外れて走査される際に、GCIB128のサンプルを遮断する。ビーム電流センサ218は、通常、ファラデーキャップ等であり、ビーム入射開口を除いて、閉止されており、通常の場合、電気絶縁性のマウント212を用いて、真空容器102の壁に設置される。   A beam current sensor 218 is installed above the sample holder 150 in the GCIB 128 path, and this sensor blocks the GCIB 128 sample when the sample holder 150 is scanned out of the GCIB 128 path. The beam current sensor 218 is usually a Faraday cap or the like, and is closed except for a beam incident opening. In a normal case, the beam current sensor 218 is installed on the wall of the vacuum vessel 102 using an electrically insulating mount 212.

制御器系のマイクロコンピュータであっても良い制御器220は、電気ケーブル216を介してX走査アクチュエータ202およびY走査アクチュエータ204に接続され、X走査アクチュエータ202およびY走査アクチュエータ204を制御し、試料152をGCIB128内または外に配置しこれにより、試料152は、GCIB128に対して均一に走査され、GCIB128により、試料152に所望の処理プロセスが行われる。制御器220は、リード214により、ビーム電流センサ218により収集されたサンプル化ビーム電流を受容し、これにより、予め定められた所望の線量が供給されている際に、GCIB128から試料152を除去することにより、GCIBがモニタされ、試料152が受けるGCIB線量が制御される。   A controller 220, which may be a control system microcomputer, is connected to the X-scan actuator 202 and the Y-scan actuator 204 via an electric cable 216, controls the X-scan actuator 202 and the Y-scan actuator 204, and controls the sample 152. Is placed inside or outside the GCIB 128, so that the sample 152 is uniformly scanned with respect to the GCIB 128, and the sample 152 is subjected to a desired processing process by the GCIB 128. Controller 220 receives sampled beam current collected by beam current sensor 218 via lead 214, thereby removing sample 152 from GCIB 128 when a predetermined desired dose is being delivered. Thus, the GCIB is monitored, and the GCIB dose received by the sample 152 is controlled.

図4Aには、本発明の第1の実施例によるGCIB導入処理を用いた、銅の相互接続キャップ化処理の配線構造500を概略的に示す(例えば、これに限られるものではないが、2つの銅配線層相互接続レベルが示されている)。この方式には、第1の銅配線層502と、第2の銅配線層504と、2つの銅層を接続する銅ビア構造506とを支持する基板501が示されており、各層は、従来の技術を用いて形成されても良い。基板501は、通常、半導体基板であり、電気的な相互接続が必要となるアクティブおよび/またはパッシブ素子を有する(可能な場合、下側相互接続レベルを含む)。両方の銅配線層502および504の側壁および底部、ならびにビア構造506は、TaN/Taまたは他の従来のバリア層512で囲まれており、このバリア層は、従来の技術を用いて構成されても良い。第1の層間誘電体層508および第2の層間誘電体層510は、銅配線層と他の部材との間に、電気的な絶縁を提供し、これらの層は、従来の技術を用いて形成されても良い。第1の銅配線層502の上部表面、第1の中間誘電体層508の上部表面、第2の銅配線層の上部表面504、および第2の層間誘電体層510の上部表面は、全てGCIB処理プロセスによりキャップ化され、キャップ膜514、516および518が形成される。別個のGCIBキャップ化処理が、各相互接続レベルの上部表面で実施されることが好ましい。従来のジュアルダマスク相互接続では、層間誘電体層内の溝およびビアの形成後、およびその後の相互接続配線およびビアを形成する銅の成膜後、通常、露出された銅および層間誘電体層材料の表面に対して、化学機械研磨処理(CMP)技術を用いて、平坦化ステップが実施される。CMP技術および後CMPブラシ清浄化処理プロセスの両方の際に、被研磨表面には腐食インヒビターが使用され、これは、キャップ層の形成の直前のin-situの清浄化処理により、銅および誘電体の表面から(他のコンタミネーション物質とともに)除去されることが好ましい(ここで使用される「in-situ」とは、清浄化とキャップ化ステップの間に、大気圧に戻すことなく、キャップか成膜が実施される場合と同じ減圧雰囲気で、清浄化が行われ、清浄化ステップとキャップ化ステップの間に、清浄化表面に再コンタミネーションが生じる機会が抑制されることを意味する)。   FIG. 4A schematically shows a wiring structure 500 for copper interconnection capping using the GCIB introduction process according to the first embodiment of the present invention (for example, but not limited to 2 Two copper wiring layer interconnect levels are shown). This scheme shows a substrate 501 that supports a first copper wiring layer 502, a second copper wiring layer 504, and a copper via structure 506 that connects the two copper layers, each layer being conventional It may be formed using this technique. The substrate 501 is typically a semiconductor substrate and has active and / or passive elements that require electrical interconnection (including the lower interconnect level where possible). The sidewalls and bottom of both copper wiring layers 502 and 504, as well as via structure 506, are surrounded by TaN / Ta or other conventional barrier layer 512, which is constructed using conventional techniques. Also good. The first interlayer dielectric layer 508 and the second interlayer dielectric layer 510 provide electrical insulation between the copper wiring layer and other members, these layers using conventional techniques It may be formed. The upper surface of the first copper wiring layer 502, the upper surface of the first intermediate dielectric layer 508, the upper surface of the second copper wiring layer 504, and the upper surface of the second interlayer dielectric layer 510 are all GCIB. Cap films 514, 516, and 518 are formed by the capping process. A separate GCIB capping process is preferably performed on the upper surface of each interconnect level. In conventional dual-mask mask interconnections, typically the exposed copper and interlayer dielectric layer material after formation of the trenches and vias in the interlayer dielectric layer and subsequent deposition of copper to form the interconnect wiring and vias. A planarization step is performed on the surface using chemical mechanical polishing (CMP) techniques. During both the CMP technique and the post-CMP brush cleaning process, a corrosion inhibitor is used on the surface to be polished, which is achieved by an in-situ cleaning process just prior to the formation of the cap layer, resulting in copper and dielectric Is preferably removed from the surface (along with other contaminants) (as used herein, “in-situ”) means that the cap or cap is not returned to atmospheric pressure during the cleaning and capping steps. This means that cleaning is performed in the same reduced-pressure atmosphere as when film formation is performed, and the opportunity for re-contamination on the cleaned surface is suppressed between the cleaning step and the capping step).

PECVD反応器は、通常、絶縁キャップ化層の成膜の前に、銅表面の有効なin-situ清浄化処理を行うようには構成されていない。PECVDシステムとは異なり、例えば、処理機器100のようなGCIB処理システムは、簡単であり、通常、後続する清浄化処理およびキャップ化処理をin-situで実施するように構成される。従来の乾式清浄化処理プロセス、または好ましくは前述のGCIB処理プロセスのような処理のいずれかが実施され、露出銅および層間誘電体層の表面が清浄化された後、好ましくはin-situのGCIB導入処理が実施され、平坦化表面が(銅と露出層間誘電体層において同時に)キャップ化される。   PECVD reactors are typically not configured to perform an effective in-situ cleaning of the copper surface prior to the formation of the insulating capping layer. Unlike PECVD systems, for example, GCIB processing systems, such as processing equipment 100, are simple and are typically configured to perform subsequent cleaning and capping processes in-situ. After any conventional dry cleaning process, or preferably a process such as the GCIB process described above, is performed and the exposed copper and interlayer dielectric layer surfaces are cleaned, preferably in-situ GCIB An introduction process is performed and the planarized surface is capped (at the same time as the copper and exposed interlayer dielectric layer).

図4Bには、配線構造500の予備段階500Bを示す。示された段階では、相互接続レベルは、基板501上に形成される。相互接続レベルは、第1の層間誘電体508を有し、この誘電体は、従来の技術を用いて基板上に成膜される。第1の層間誘電体508内には、従来の溝およびビアが形成され、これらは、従来のバリア層512で囲まれている。溝およびビア内には、従来の技術を用いて銅が成膜される。この構造の上部表面は、従来の処理プロセスを用いて、平坦化され清浄化される。第1の銅配線層502と第1の層間誘電体層508の上部表面は、残留コンタミネーション物質503を有する。この段階、および後続の各相互接続レベルの対応する段階(2以上の相互接続レベルを仮定した場合)では、好ましくはin-situで、例えば従来の乾式清浄化処理プロセス、プラズマ清浄化処理プロセス、またはGCIB清浄化処理プロセスが実施されても良い。GCIB清浄化処理は、Ar、N2、NH3、H2、またはこれらの混合ガスのようなガス分子で構成されたGCIBクラスターイオンで、被清浄化表面を照射するステップと、約5×1013から約5×1016イオン/cm2の範囲の全ガスクラスターイオン線量で、好ましくは約3kVから約50kVの範囲のビーム加速電位VACCを用いるステップとを有する。本発明は、これらのガスの例に限定されるものではなく、CMP後残留物、酸化銅、および他のコンタミネーション物質を銅表面から除去する他のガスまたは混合ガスで実施し得ることは、当業者には明らかである。本発明の本質ではないが、このGCIB清浄化処理プロセスは、in-situの清浄化処理であることが好ましい。 FIG. 4B shows a preliminary stage 500B of the wiring structure 500. At the stage shown, an interconnect level is formed on the substrate 501. The interconnect level has a first interlayer dielectric 508, which is deposited on the substrate using conventional techniques. Conventional trenches and vias are formed in the first interlayer dielectric 508 and are surrounded by a conventional barrier layer 512. Copper is deposited in the trenches and vias using conventional techniques. The top surface of this structure is planarized and cleaned using conventional processing processes. The upper surfaces of the first copper wiring layer 502 and the first interlayer dielectric layer 508 have a residual contamination material 503. This stage, and the corresponding stage of each subsequent interconnect level (assuming more than one interconnect level) is preferably in-situ, eg, a conventional dry cleaning process, a plasma cleaning process, Alternatively, a GCIB cleaning process may be performed. The GCIB cleaning process involves irradiating the surface to be cleaned with GCIB cluster ions composed of gas molecules such as Ar, N 2 , NH 3 , H 2 , or a mixture of these, and approximately 5 × 10 Using a beam acceleration potential V ACC preferably in the range of about 3 kV to about 50 kV, with a total gas cluster ion dose in the range of 13 to about 5 × 10 16 ions / cm 2 . The present invention is not limited to these gas examples, and may be practiced with other gases or mixed gases that remove post-CMP residues, copper oxide, and other contaminants from the copper surface. It will be apparent to those skilled in the art. Although not the essence of the present invention, the GCIB cleaning process is preferably an in-situ cleaning process.

図4Cには、GCIB清浄化処理プロセスに続く配線構造500の段階500を示す。第1の銅配線層502および第1の層間誘電体層508の上部表面は、コンタミネーション物質が除去され、キャップ化ステップの準備がなされる。この段階、および各後続の相互接続レベルの対応する段階(2以上の相互接続レベルを仮定した場合)では、GCIBキャップ化処理が実施される。GCIBキャップ化処理は、元の露出誘電体および/または銅表面に導入する際に、絶縁材料を形成する元素で構成されたGCIBを用いて、第1の銅配線層502および/または第1の層間誘電体層508の上部表面を照射するステップを有する。例えば、C、N、O、Si、B、Ge、またはこれらの混合物で構成されたガスクラスターイオン元素を有するGCIBが好ましく、これは、銅の上に、例えば、Si3N4、SiCN、CuCO3、BNのような傾斜キャップ化膜を形成する。銅および/または隣接する絶縁体への導入の際に、適当な誘電体材料を形成する他の元素および組み合わせを、利用しても良い。例えば、CH4、SiH4、NH3、N2、CO2、B2H6、GeH4、およびこの混合物のようなソースガスを使用しても良い。そのようなガスを使用することにより、あるいはこれらを、例えばArもしくはXeのような不活性ガスと混合することにより、それらの純粋な形態のクラスターイオンが形成される。図3のGCIB処理装置100を参照すると、約3kVから約50kVの範囲であるビーム加速電位VACCが使用され、導入が起こる全ガスクラスターイオン線量は、約1×1014から1×1017イオン/cm2の範囲である。 FIG. 4C shows stage 500 of wiring structure 500 following the GCIB cleaning process. Contaminants are removed from the upper surfaces of the first copper wiring layer 502 and the first interlayer dielectric layer 508, and the capping step is prepared. At this stage, and at the corresponding stage of each subsequent interconnect level (assuming more than one interconnect level), a GCIB capping process is performed. When the GCIB capping process is introduced into the original exposed dielectric and / or copper surface, the first copper wiring layer 502 and / or the first copper is formed using GCIB composed of an element that forms an insulating material. Illuminating the top surface of the interlevel dielectric layer 508. For example, GCIB having a gas cluster ion element composed of C, N, O, Si, B, Ge, or a mixture thereof is preferred, which can be formed on copper, for example, Si 3 N 4 , SiCN, CuCO. 3. Form an inclined capping film such as BN. Other elements and combinations that form suitable dielectric materials may be utilized upon introduction into copper and / or adjacent insulators. For example, source gases such as CH 4 , SiH 4 , NH 3 , N 2 , CO 2 , B 2 H 6 , GeH 4 , and mixtures thereof may be used. By using such gases or by mixing them with an inert gas such as Ar or Xe, their pure form of cluster ions is formed. Referring to the GCIB processor 100 of FIG. 3, a beam acceleration potential V ACC that is in the range of about 3 kV to about 50 kV is used, and the total gas cluster ion dose that occurs is about 1 × 10 14 to 1 × 10 17 ions. / Cm 2 range.

図4Dには、GCIBキャップ化処理に続く配線構造500の段階500Dを示す。銅表面および/または隣接する層間誘電体層表面上には、GCIB処理の特徴である衝突エネルギーおよび過渡熱が銅配線の上部表面および/またはGCIBに露出された隣接する層間誘電体層構造に導入され、それぞれ、キャップ化層514および516が形成される。キャップ化層514および516は、各々、必要に応じて、追加で、誘電体バリア膜として機能する上部層部分を有しても良い。形成処理の初期の部分の間、銅表面に、混合銅/GCIB化学種組成の傾斜層514Aが導入される。この混合層は、いかなる後続の成膜誘電体バリア膜514Bと下地銅の間にも、傾斜界面を提供し、これにより、界面での銅の拡散が抑制され、電気泳動寿命が向上する。その後成膜される誘電体バリア層514Bは、従来のPECVDにより、別個に、追加で成膜された膜であっても良いが、これは、GCIBキャップ化導入ステップの続きとして、GCIBにより成膜されることが好ましく、導入プロセスから純粋な成膜処理へと(高い線量で)処理が進行するまで、最初に混合傾斜層を形成する、単なるキャップ化GCIB照射処理が継続し、銅の導入表面で混合層上に誘電体材料が成膜される。初期に導入される混合傾斜層514Aは、キャップ化層として機能し、連続したGCIB照射により、誘電体材料の追加の成膜が行われ、成膜誘電体膜514Bが形成される。これは、混合傾斜層により、銅相互接続に一体化された誘電体膜を形成し、その結果、優れた電気泳動寿命を含む、改良された界面特性が得られる。キャップ化層514を形成するものと同じ(または異なる)キャップ化GCIBにより、層間誘電体層508上に、キャップ化層516が形成されることが好ましい。キャップ化層514のように、キャップ化層516は、バイレイヤであっても良い。キャップ化層516は、最初に、表面で、混合誘電体/GCIB化学種組成の混合傾斜層を形成し、連続GCIB処理により、または追加の別個の(例えばPECVD)成膜により、誘電体バリア膜が成膜されても良い。例えば、誘電体バリア膜514Bが、拡張GCIB処理により構成されない場合、または特に厚い誘電体バリア膜514Bが必要な場合、導入キャップ化層514またはキャップ化層516は、必要に応じて、PECVDによるSi3N4、SiCN、SiCのような従来の絶縁層で被覆されても良く、これにより、追加銅拡散バリア用の、またはビアエッチング停止特性を有する誘電体バリア膜が提供される。キャップ化処理および誘電体バリア膜の形成に続いて、必要な場合、従来の技術を用いて、相互接続の追加のレベルが加えられる。 FIG. 4D shows a stage 500D of the wiring structure 500 following the GCIB capping process. On the copper surface and / or the adjacent interlayer dielectric layer surface, collision energy and transient heat characteristic of GCIB treatment are introduced into the upper surface of the copper wiring and / or the adjacent interlayer dielectric layer structure exposed to the GCIB. And capping layers 514 and 516 are formed, respectively. The capping layers 514 and 516 may each optionally have an upper layer portion that functions as a dielectric barrier film, if desired. During the initial portion of the formation process, a gradient layer 514A of mixed copper / GCIB species composition is introduced on the copper surface. This mixed layer provides a tilted interface between any subsequent deposited dielectric barrier film 514B and the underlying copper, thereby suppressing copper diffusion at the interface and improving electrophoretic lifetime. The dielectric barrier layer 514B to be formed thereafter may be a film separately formed by conventional PECVD, but this may be formed by GCIB as a continuation of the GCIB capping introduction step. It is preferred that a mere capped GCIB irradiation process, which initially forms a mixed graded layer, continues until the process proceeds from the introduction process to a pure deposition process (at a high dose), and the copper introduction surface A dielectric material is deposited on the mixed layer. The mixed gradient layer 514A introduced initially functions as a capping layer, and additional film formation of a dielectric material is performed by continuous GCIB irradiation to form a film formation dielectric film 514B. This forms a dielectric film integrated into the copper interconnect by the mixed graded layer, resulting in improved interfacial properties including excellent electrophoretic lifetime. The capping layer 516 is preferably formed on the interlayer dielectric layer 508 by the same (or different) capping GCIB that forms the capping layer 514. Like the capping layer 514, the capping layer 516 may be bilayer. The capping layer 516 initially forms a mixed graded layer of mixed dielectric / GCIB species composition on the surface, and is a dielectric barrier film by continuous GCIB processing or by additional separate (eg, PECVD) deposition. May be formed. For example, if the dielectric barrier film 514B is not configured by an extended GCIB process, or if a particularly thick dielectric barrier film 514B is required, the introduction capping layer 514 or the capping layer 516 may be formed by Si film by PECVD, if necessary. It may be coated with a conventional insulating layer such as 3 N 4 , SiCN, SiC, thereby providing a dielectric barrier film for additional copper diffusion barriers or having via etch stop properties. Following the capping process and formation of the dielectric barrier film, an additional level of interconnect is added, if necessary, using conventional techniques.

図4Eには、第1のGCIBキャップ化相互接続レベル(誘電体バリアを含む)上の第2の相互接続レベルの設置に続く、配線構造500の段階500Eを示す。この段階では、キャップ化層514および516上に、第2の相互接続レベルが形成される。第2の相互接続レベルは、第2の層間誘電体層510で構成され、これは、従来の技術を用いて、キャップ化層514および516上に成膜される。第2の層間誘電体層510内には、溝およびビアが形成され、溝およびビアは、バリア層512を囲み、従来の技術を用いて、溝およびビア内に、銅が成膜される。この構造の上部表面は、例えばCMPのような従来の処理により、平坦化され清浄化される。第2の銅配線層504および第2の層間誘電体層510の上部表面は、残留コンタミネーション物質505を有するように示されている。第2の相互接続レベル(存在する場合)および後続のより高い相互接続レベル(存在する場合)では、配線構造500のため、前述のGCIB清浄化およびGCIB導入ステップが適用され、(例えば)図4Aに示したようなキャップ化膜518が形成される。これにより、所望の、2または複数のレベルの相互接続構造が形成される。   FIG. 4E shows a stage 500E of the wiring structure 500 following installation of the second interconnect level on the first GCIB capped interconnect level (including the dielectric barrier). At this stage, a second interconnect level is formed on the capping layers 514 and 516. The second interconnect level consists of a second interlayer dielectric layer 510, which is deposited on the capping layers 514 and 516 using conventional techniques. Grooves and vias are formed in second interlayer dielectric layer 510, which surrounds barrier layer 512, and copper is deposited in the grooves and vias using conventional techniques. The top surface of this structure is planarized and cleaned by conventional processing such as CMP. The top surfaces of the second copper interconnect layer 504 and the second interlayer dielectric layer 510 are shown to have residual contamination material 505. At the second interconnect level (if present) and the subsequent higher interconnect level (if present), the above-described GCIB cleaning and GCIB introduction steps are applied for the wiring structure 500 and (for example) FIG. 4A A capped film 518 as shown in FIG. This forms the desired two or more levels of interconnect structure.

このように、示された技術では、電気泳動が抑制されるとともに、選択金属キャップ化処理に関連する、好ましくない副次的な影響が回避される。誘電体表面では、導入層および誘電体は、絶縁性を維持し、極めて薄い導入層では、層の全体の誘電率に対する影響、および層間キャパシタンスに対する影響を無視することができる。   Thus, the technique shown suppresses electrophoresis and avoids unwanted side effects associated with selective metal capping processes. On the dielectric surface, the introductory layer and the dielectric remain insulative, and with a very thin introductory layer, the influence on the overall dielectric constant of the layer and the influence on the interlayer capacitance can be neglected.

図5Aには、本発明の第2の実施例による、GCIB導入および成膜を用いた、銅の相互接続キャップ化処理の配線構造600を概略的に示す(例えば、これに限られるものではないが、2つの銅配線層相互接続レベルが示されている)。この図には、第1の銅配線層602、第2の銅配線層604、および2つの銅層を接続する銅ビア構造606を支持する基板601が示されており、これらの層およびビア構造の各々は、従来の技術を用いて構成される。基板601は、通常の場合、半導体基板であり、これは、電気的相互接続が必要なアクティブおよび/またはパッシブ素子(可能な場合、下側相互接続レベルを含む)を有する。両銅配線層602および604の側壁および底部、ならびにビア構造606は、従来の技術で形成された、TaN/Taまたは他のバリア層612で囲まれる。第1の層間誘電体層608および第2の層間誘電体層610は、銅配線層の間に電気的絶縁を提供し、これは、従来の技術で形成されても良い。しばしば、層間誘電体層608および610は、多孔質であることが好ましく、これにより、誘電特性が向上する。そのような場合、層間誘電体層は、必要に応じて、例えば、それぞれ、第1のハードマスク層609および第2のハードマスク層611のような、ハードマスク層上に成膜されても良く、この各々は、SiO2、SiCまたはSi3N4のような材料で構成され、この各々は、従来の技術を用いて成膜されても良い。第1の銅配線層602、第1の層間誘電体層608(または必要に応じて、存在する場合、第1のハードマスク層609の上部表面)、第2の銅配線層604、および第2の層間誘電体層610(または必要に応じて、存在する場合、第2のハードマスク層611の上部表面)の上部表面は、全て、GCIB処理により、キャップ化され、キャップ化層614、616、618、620が形成される。この第2の実施例は、GCIBガスクラスターイオンに含まれる元素が、導入化学種が銅表面(銅キャップ膜614および618)に導電性を保持するように選定される点で、第1の実施例と異なっている。しかしながら、導入元素は、各相互接続レベルの誘電体領域(層間誘電体またはハードマスクキャップ膜610、620)の、層間誘電体層および/または誘電体ハードマスク材料の表面に導入された際に、同じ元素により、絶縁膜が形成されるように選定されても良い。改良された誘電体の拡散バリア(第1の相互接続レベル用のバリア膜622、および第2の相互接続レベル用のバリア膜624)は、GCIB成膜法により形成されることが好ましいが、これは、従来の技術で構成されても良い。そのようなバリア膜では、GCIB導入ステップの拡散バリア特性、およびビアエッチング停止特性がさらに向上する。 FIG. 5A schematically illustrates a wiring structure 600 for copper interconnect capping using GCIB introduction and deposition according to a second embodiment of the present invention (eg, but not limited to this). There are two copper interconnect layer interconnect levels shown). This figure shows a substrate 601 that supports a first copper interconnect layer 602, a second copper interconnect layer 604, and a copper via structure 606 connecting the two copper layers, and these layers and via structures. Each of these is constructed using conventional techniques. Substrate 601 is typically a semiconductor substrate, which has active and / or passive elements (including lower interconnect levels where possible) that require electrical interconnection. The sidewalls and bottom of both copper interconnect layers 602 and 604 and the via structure 606 are surrounded by TaN / Ta or other barrier layer 612 formed by conventional techniques. The first interlayer dielectric layer 608 and the second interlayer dielectric layer 610 provide electrical insulation between the copper wiring layers, which may be formed by conventional techniques. Often, the interlayer dielectric layers 608 and 610 are preferably porous, which improves the dielectric properties. In such a case, the interlayer dielectric layer may be deposited on a hard mask layer, such as the first hard mask layer 609 and the second hard mask layer 611, respectively, as necessary. Each is composed of a material such as SiO 2 , SiC or Si 3 N 4 , each of which may be deposited using conventional techniques. A first copper interconnect layer 602, a first interlayer dielectric layer 608 (or an upper surface of the first hard mask layer 609, if present), a second copper interconnect layer 604, and a second The top surface of the interlayer dielectric layer 610 (or the top surface of the second hard mask layer 611, if present) is all capped by GCIB treatment, and the capped layers 614, 616, 618, 620 are formed. In the second embodiment, the elements contained in the GCIB gas cluster ions are selected so that the introduced chemical species retain conductivity on the copper surface (copper cap films 614 and 618). It is different from the example. However, when the introduced element is introduced into the surface of the interlayer dielectric layer and / or dielectric hard mask material in the dielectric region (interlayer dielectric or hard mask cap film 610, 620) at each interconnect level, It may be selected so that an insulating film is formed by the same element. The improved dielectric diffusion barriers (barrier film 622 for the first interconnect level and barrier film 624 for the second interconnect level) are preferably formed by the GCIB deposition method. May be configured by conventional techniques. In such a barrier film, the diffusion barrier characteristics and the via etching stop characteristics in the GCIB introduction step are further improved.

GCIB導入処理は、銅および層間誘電体キャップを形成するため、各相互接続レベルの上部表面に適用することが好ましい。前述のように、GCIBのin-situ清浄化処理を用いて、その後の同相互接続および層間誘電体のCMP平坦化が行われる。図5Gには、配線構造600Gを示すが、層間誘電体層608、610は、上部表面に、ハードマスク層609、611を有さない。以下、配線構造600Gを構成する処理について説明する。   The GCIB introduction process is preferably applied to the upper surface of each interconnect level to form copper and interlayer dielectric caps. As previously described, the GCIB in-situ cleaning process is used to perform subsequent CMP planarization of the interconnect and interlayer dielectric. FIG. 5G shows a wiring structure 600G, but the interlayer dielectric layers 608 and 610 do not have the hard mask layers 609 and 611 on the upper surface. Hereinafter, the process which comprises the wiring structure 600G is demonstrated.

図5Bには、配線構造600Gの予備段階600Bを示す。基板601上に形成された相互接続レベルは、従来法で成膜された第1の層間誘電体層608で構成され、この層内には、溝およびビアが形成され、これらは、バリア層612で囲まれている。溝およびビア内には、従来の技術で銅が成膜される。この構造の上部表面は、平坦化され、清浄化される。第1の銅配線層602および第1の層間誘電体層608の上部表面は、残留コンタミネーション物質603を有するように示されている。この段階、および各後続の相互接続レベルの各対応する段階(2以上の相互接続レベルを仮定した場合)の上部表面では、in-situであることが好ましい、例えばプラズマ清浄化処理のような従来の乾式清浄化処理、またはGCIB清浄化処理が実施されても良い。GCIB清浄化処理は、Ar、N2、NH3、H2、またはこれらの混合物のガス分子で構成されたGCIBクラスターイオンで、被清浄化表面を照射するステップを有し、約3kVから約50kVの範囲であることが好ましい、ビーム加速電圧VACCが使用され、全ガスクラスターイオン線量は、約5×1013から約5×1016イオン/cm2の範囲である。本発明は、このガスの例に限定されるものではなく、銅表面から、CMP後の残留物、銅酸化物、および他のコンタミネーション物質を除去する他のガスまたは混合ガスを用いて実施され得ることは、当業者には明らかである。本発明の本質ではないが、このGCIB清浄化処理は、in-situ清浄化処理であることが好ましい。 FIG. 5B shows a preliminary stage 600B of the wiring structure 600G. The interconnect level formed on the substrate 601 consists of a first interlayer dielectric layer 608 deposited in a conventional manner, in which grooves and vias are formed, which are barrier layers 612. Surrounded by Copper is deposited in the trenches and vias by conventional techniques. The upper surface of this structure is planarized and cleaned. The top surfaces of the first copper interconnect layer 602 and the first interlayer dielectric layer 608 are shown as having residual contamination material 603. At this stage, and at the upper surface of each subsequent stage of each subsequent interconnect level (assuming more than one interconnect level), it is preferable to be in-situ, eg, a conventional process such as a plasma cleaning process The dry cleaning process or the GCIB cleaning process may be performed. The GCIB cleaning process includes irradiating the surface to be cleaned with GCIB cluster ions composed of gas molecules of Ar, N 2 , NH 3 , H 2 , or mixtures thereof, from about 3 kV to about 50 kV. A beam acceleration voltage V ACC is preferably used, and the total gas cluster ion dose ranges from about 5 × 10 13 to about 5 × 10 16 ions / cm 2 . The present invention is not limited to this gas example and is implemented using other gases or mixed gases that remove post-CMP residue, copper oxide, and other contaminants from the copper surface. It will be apparent to those skilled in the art. Although not the essence of the present invention, this GCIB cleaning process is preferably an in-situ cleaning process.

図5Cには、GCIB清浄化ステップに続く、配線構造600Gを構成する中間段階600Cを示す。第1の銅配線層602および第1の層間誘電体層608の上部表面は、コンタミネーション物質が除去され、キャップ化ステップの準備がなされる。この段階で、および各後続の相互接続レベルの各段階(2以上の相互接続レベルを仮定した場合)で、清浄化された上部表面に、GCIBキャップ化処理が適用されても良い。(好ましくはin-situで)GCIB導入処理を用いて、平坦表面(銅および/または露出層間誘電体層)が同時に(または、別個のキャップ化GCIBにより、交互に)キャップ化される。GCIBキャップ化処理は、銅表面への導入処理の際に、導電性材料を構成する元素のGCIBを用いて、第1の銅配線層602および第1の層間誘電体層608の上部表面に照射するステップを有するが、この元素は、層間誘電体表面への導入の際に、電気的絶縁材料を構成しても良い。また、これらの導電性元素は、銅に対して高い固体溶解度を有さないものから選定され、これによりその導電性の悪影響が回避される。これに限られるものではないが、BまたはTiを含むガスクラスターイオンを有するGCIBが適しており、これに限られるものではないが、SiO2、SiC、SiCN、SiCOH等の適当な誘電体ハードマスク材料と組み合わされ、絶縁酸化物、炭化物あるいは窒化物が形成されても良い。BおよびTiを含むいくつかの適当なソースガスは、これに限られるものではないが、B2H6、TiCl4、テトラジエチルアミノチタン(TDEAT)、およびテトラジメチルアミノチタン(TDMAT)を含んでも良い。これらのガスは、純粋な形態で使用しても良く、あるいは例えば、ArまたはXeのような不活性ガスと混合して使用しても良い。誘電体表面では、例えば、TiO2およびホウケイ酸塩ガラスの傾斜膜のような形態であるのに対して、銅表面上では、これらは、例えば、ボロンおよびチタンの傾斜膜の形態であっても良い。あるいは、これに限られるものではないが、Ar、Xe、他の希ガス、またはこれらの混合ガスのような不活性ガス−クラスターイオンのみを含むGCIBを用いた銅表面の物理的な変化により、傾斜キャップ膜を形成しても良い。この場合、銅のキャップ化構造は、物理的に改質された銅層であり、これは、元から傾斜されており、導電性を有し、層間誘電体層内に形成された物理的に改質された層は、絶縁性である。例えばAr、Xe他の希ガス、またはこれらの混合ガスのような不活性ガスクラスターイオンのみを含む、これらの代替GCIBは、導入層を形成しないが、その代わり、銅に有効なキャップ化構造が得られ、誘電体の絶縁状態を維持するように、表面を物理的に変化させる。従って、この効果は、GCIBキャップ化処理が、導電性材料を構成する元素であって、導入の際に、銅表面に導電性材料が形成される元素を有するGCIBにより、銅および層間誘電体層の上部表面への照射ステップを有する場合と同様である。あるいは、表面への新たな化学種の導入が生じなくても、層間誘電体表面への導入の際に、電気絶縁材料が形成される。図3のGCIB機器100を参照すると、約3kVから約50kVの範囲であることが好ましいビーム加速電位VACCが使用され、全ガスクラスターイオン線量は、約1×1014から約1×1017イオン/cm2の範囲である。銅および誘電体表面では、GCIB導入処理の衝突エネルギーにより、高温過渡領域が形成され、これにより、導入化学種と誘電体もしくは誘電体ハードマスク層との相互混合および/または反応が促進され、(または層間誘電体層またはハードマスク上で)新たな絶縁材料が形成され、銅配線表面上に、導入導電性膜が形成され、銅の界面拡散が抑制され、電気泳動寿命が改善される。従って、単一のGCIBキャップ化導入ステップでは、図5Dに示すように、第1の銅配線層602上に、導電性キャップ膜614が形成され、第1の層間誘電体層608上には、電気絶縁性キャップ膜616が形成される。 FIG. 5C shows an intermediate stage 600C constituting the wiring structure 600G following the GCIB cleaning step. Contamination materials are removed from the upper surfaces of the first copper wiring layer 602 and the first interlayer dielectric layer 608 to prepare for the capping step. At this stage, and at each subsequent interconnect level stage (assuming more than one interconnect level), a GCIB capping process may be applied to the cleaned top surface. Using a GCIB introduction process (preferably in-situ), the planar surface (copper and / or exposed interlayer dielectric layer) is capped simultaneously (or alternately with separate capping GCIBs). In the GCIB capping process, the top surface of the first copper wiring layer 602 and the first interlayer dielectric layer 608 is irradiated using the GCIB of the element constituting the conductive material during the introduction process to the copper surface. This element may constitute an electrically insulating material when introduced into the interlayer dielectric surface. Also, these conductive elements are selected from those that do not have a high solid solubility in copper, thereby avoiding the adverse effects of their conductivity. Although not limited to this, GCIB with gas cluster ions containing B or Ti is suitable, but not limited to, suitable dielectric hard masks such as SiO 2 , SiC, SiCN, SiCOH, etc. In combination with the material, an insulating oxide, carbide or nitride may be formed. Some suitable source gases including B and Ti may include, but are not limited to, B 2 H 6 , TiCl 4 , tetradiethylaminotitanium (TDEAT), and tetradimethylaminotitanium (TDMAT). . These gases may be used in pure form or mixed with an inert gas such as Ar or Xe. On the dielectric surface, for example, it is in the form of a graded film of TiO 2 and borosilicate glass, whereas on the copper surface, these are, for example, in the form of a graded film of boron and titanium. good. Alternatively, but not limited to, by physical changes on the copper surface using GCIB containing only inert gas-cluster ions such as Ar, Xe, other noble gases, or mixed gases thereof, An inclined cap film may be formed. In this case, the copper capping structure is a physically modified copper layer, which is tilted from the beginning, has conductivity, and is physically formed in the interlayer dielectric layer. The modified layer is insulating. These alternative GCIBs, which contain only inert gas cluster ions, such as Ar, Xe and other rare gases, or a mixture of these, do not form an introductory layer, but instead have an effective capping structure for copper. The resulting surface is physically altered to maintain the dielectric insulation. Therefore, this effect is that the GCIB capping process is an element that constitutes the conductive material, and when introduced, the GCIB having an element that forms the conductive material on the copper surface allows the copper and interlayer dielectric layers to be formed. This is similar to the case of having an irradiation step on the upper surface of the substrate. Alternatively, even when no new chemical species is introduced to the surface, an electrically insulating material is formed upon introduction to the interlayer dielectric surface. Referring to the GCIB instrument 100 of FIG. 3, a beam acceleration potential V ACC, preferably in the range of about 3 kV to about 50 kV, is used, and the total gas cluster ion dose ranges from about 1 × 10 14 to about 1 × 10 17 ions. / Cm 2 range. On the copper and dielectric surfaces, the collision energy of the GCIB introduction process creates a high temperature transient region that promotes intermixing and / or reaction of the introduced species with the dielectric or dielectric hard mask layer ( Alternatively, a new insulating material (on the interlayer dielectric layer or hard mask) is formed, and an introduced conductive film is formed on the surface of the copper wiring, thereby suppressing the interfacial diffusion of copper and improving the electrophoretic lifetime. Therefore, in the single GCIB capping introduction step, as shown in FIG.5D, a conductive cap film 614 is formed on the first copper wiring layer 602, and on the first interlayer dielectric layer 608, An electrically insulating cap film 616 is formed.

図5Eには、GCIBキャップ化ステップに続く、配線構造600Gを構成する段階600Eを示す。GCIB処理は、この段階および後続の相互接続レベルの各段階において、上部表面で実施され、誘電体拡散バリア膜が形成される。誘電体拡散バリア膜622は、炭窒化珪素で構成されることが好ましいが、これは、窒化珪素、炭化珪素、または他の誘電体膜であっても良い。これは、従来のPECVD法により成膜されても良いが、キャップ膜(614および616)の表面に照射することにより、成膜されることが好ましく、この上には、導入の際に絶縁材料を構成する元素で形成されたGCIBにより、バリア膜622が成膜される。例えば、C、N、Siおよびこれらの組み合わせのようなガスクラスターイオン元素を有するGCIBが適しており、これにより、例えば、Si3N4、SiCN、SiCのような拡散バリア膜が銅上に形成される。C、NおよびSiを含むソースガスには、これに限られるものではないが、CH4、SiH4、NH3、N2が含まれる。そのようなガスを用いて、ガスクラスターイオンが形成され、純粋なガス、またはこれらと、例えばArもしくはXeのような不活性ガスの混合ガスのいずれかにより、成膜が行われる。約3kVから約50kVの範囲であるビーム加速電位VACCを使用することが好ましく、全ガスクラスターイオン線量は、約1×1014から約1×1017イオン/cm2の範囲である。図5Fには、配線構造600Gを構成する際の段階600Fを示すが、図において、第1のGCIBキャップ化相互接続レベル(誘電体バリア膜を含む)およびバリア膜622上には、第2の相互接続レベルが追加される。第2の相互接続レベルは、バリア膜622上に設置された第2の層間誘電体層610で構成され、この層内には、溝およびビアが形成され、これらは、バリア層612で囲まれる。溝およびビア内には、従来の技術により、銅が成膜される。この構造の上部表面は、従来の処理プロセスを用いて、平坦化され清浄化される。第2の銅配線層604および第2の層間誘電体層610の上部表面は、残留コンタミネーション物質を有するように示されている。第2の相互接続レベルの上部表面および後続のより高い相互接続レベル(必要な場合)には、前述のようなGCIB清浄化ステップ、GCIB導入ステップおよびGCIB成膜ステップが適用され、配線構造600Gが形成される。これらの処理ステップの結果、キャップ膜618、620およびバリア膜624が形成される。これにより、所望の図5Gの2つの相互接続レベル構造または複数レベルの相互接続構造が形成される。 FIG. 5E shows a step 600E of configuring the wiring structure 600G following the GCIB capping step. The GCIB process is performed on the top surface at this stage and each subsequent level of interconnect to form a dielectric diffusion barrier film. The dielectric diffusion barrier film 622 is preferably made of silicon carbonitride, but it may be silicon nitride, silicon carbide, or other dielectric film. This may be formed by a conventional PECVD method, but is preferably formed by irradiating the surface of the cap film (614 and 616), and on this, an insulating material is introduced. A barrier film 622 is formed by GCIB formed of the elements constituting the above. For example, GCIB with gas cluster ion elements such as C, N, Si, and combinations thereof is suitable, which allows diffusion barrier films such as Si 3 N 4 , SiCN, and SiC to be formed on copper. Is done. Source gases containing C, N, and Si include, but are not limited to, CH 4 , SiH 4 , NH 3 , and N 2 . Using such a gas, gas cluster ions are formed, and film formation is performed using either a pure gas or a mixed gas of these and an inert gas such as Ar or Xe. It is preferred to use a beam acceleration potential V ACC that ranges from about 3 kV to about 50 kV, and the total gas cluster ion dose ranges from about 1 × 10 14 to about 1 × 10 17 ions / cm 2 . FIG. 5F shows a stage 600F in constructing the interconnect structure 600G, where the first GCIB capped interconnect level (including the dielectric barrier film) and the barrier film 622 are over the second An interconnect level is added. The second interconnect level consists of a second interlayer dielectric layer 610 placed on the barrier film 622, in which trenches and vias are formed, which are surrounded by the barrier layer 612. . Copper is deposited in the trenches and vias by conventional techniques. The top surface of this structure is planarized and cleaned using conventional processing processes. The top surfaces of the second copper interconnect layer 604 and the second interlayer dielectric layer 610 are shown to have residual contamination material. The upper surface of the second interconnect level and the subsequent higher interconnect level (if necessary) are subjected to the GCIB cleaning step, GCIB introduction step and GCIB deposition step as described above, and the wiring structure 600G is It is formed. As a result of these processing steps, cap films 618, 620 and barrier film 624 are formed. This forms the desired two level interconnect structure or multiple level interconnect structure of FIG. 5G.

図5Hには、図5Aに完全に示すような、(ハードマスク層609および611を有する)配線構造600を構成する際の予備的段階600Hを示す。基板601上に形成された第1の相互接続レベルは、従来の技術を用いて基板上に成膜された、第1の層間誘電体層608を有する。従来の技術で形成されたハードマスク層609は、第1の層間誘電体層608の上部表面を被覆する。第1の層間誘電体層608内には、溝およびビアが形成され、これらはバリア層612で囲まれており、溝およびビア内には、銅が成膜される。この構造の上部表面は、従来の清浄化処理プロセスを用いて、平坦化され清浄化される。第1の銅配線層602およびハードマスク層609の上部表面は、残留コンタミネーション物質605を有するように示されている。この段階、および各後続の相互接続レベルの対応する段階において、上部表面には、前述のような、GCIB清浄化処理プロセスが実施されることが好ましい。これは、本発明の本質ではないが、GCIB清浄化処理プロセスは、in-situの清浄化処理プロセスであることが好ましい。   FIG. 5H shows a preliminary stage 600H in constructing a wiring structure 600 (with hard mask layers 609 and 611), as fully shown in FIG. 5A. The first interconnect level formed on the substrate 601 has a first interlayer dielectric layer 608 that is deposited on the substrate using conventional techniques. A hard mask layer 609 formed by conventional techniques covers the upper surface of the first interlayer dielectric layer 608. Grooves and vias are formed in the first interlayer dielectric layer 608, which are surrounded by the barrier layer 612, and copper is deposited in the grooves and vias. The top surface of this structure is planarized and cleaned using a conventional cleaning process. The top surfaces of first copper interconnect layer 602 and hard mask layer 609 are shown to have residual contamination material 605. At this stage, and at the corresponding stage of each subsequent interconnect level, the top surface is preferably subjected to a GCIB cleaning process as described above. This is not the essence of the present invention, but the GCIB cleaning process is preferably an in-situ cleaning process.

図5Iには、GCIB清浄化処理に続く、配線構造600を構成する処理における段階600Iを示す。第1の銅配線層602およびハードマスク層609の上部表面は、コンタミネーション物質が除去され、キャップ化ステップのための準備がなされる。この段階および各後続の相互接続レベルの各対応する段階において、上部表面には、前述のような、GCIB清浄化処理が実施されても良く、これにより、キャップ化層614および616が形成される(図5J)。この実施例では、キャップ化層616は、第1の層間誘電体層608の直上ではなく、ハードマスク層609上に形成される。   FIG. 5I shows a stage 600I in the process of configuring the wiring structure 600 following the GCIB cleaning process. Contaminants are removed from the upper surfaces of the first copper wiring layer 602 and the hard mask layer 609 to prepare for the capping step. At this stage and each corresponding stage at each subsequent interconnect level, the top surface may be subjected to a GCIB cleaning process as described above, thereby forming capping layers 614 and 616. (Figure 5J). In this embodiment, the capping layer 616 is formed on the hard mask layer 609 rather than directly on the first interlayer dielectric layer 608.

図5Jには、キャップ化層614および616を形成するステップに続く、配線構造600を構成する処理における段階600Jを示す。前述のように、この段階および各後続の相互接続レベルの各対応する段階の上部表面に、GCIB処理プロセスが適用され、キャップ化層614、616上に、誘電体拡散バリア膜622が形成されても良い。   FIG. 5J shows a stage 600J in the process of constructing wiring structure 600 following the step of forming capping layers 614 and 616. As described above, a GCIB treatment process is applied to the upper surface of this stage and each corresponding stage of each subsequent interconnect level to form a dielectric diffusion barrier film 622 on the capping layers 614, 616. Also good.

図5Kには、バリア膜622の成膜に続く、配線構造600を構成する処理における段階600Kを示す。   FIG. 5K shows a stage 600K in the process of forming the wiring structure 600 following the formation of the barrier film 622.

図5Lには、第1のGCIBキャップ化相互接続レベル(誘電体バリア膜を含む)上に、第2の相互接続レベルを追加した後の、配線構造600を構成する処理における段階600Lを示す。この段階では、第2の相互接続レベルは、バリア膜622上に形成される。第2の相互接続レベルは、第2の層間誘電体層610で構成され、この層は、従来の技術を用いて、バリア膜622上に成膜される。従来の技術により形成されたハードマスク層611は、第1の層間誘電体層610の上部表面を被覆する。第2の層間誘電体層610内には、従来の溝およびビアが形成され、この溝およびビアは、従来のバリア層612で囲まれ、溝およびビア内には、従来の技術で銅が設置される。この構造の上部表面は、従来の処理プロセスを用いて、平坦化され清浄化される。第2の銅配線層640およびハードマスク層611の上部表面は、残留コンタミネーション物質613を有するように示されている。第2の相互接続レベル(必要な場合)、および後続のより高い相互接続レベル(必要な場合)には、前述のような配線構造600用のGCIB導入ステップおよびGCIB成膜ステップが実施され、(例えば)キャップ膜618、620が形成され、バリア膜624が形成される(図5A)。このように、所望の、図5Aの2つの相互接続レベル構造、または複数レベルの相互接続構造が形成されても良い。   FIG. 5L shows a stage 600L in the process of configuring the wiring structure 600 after the second interconnect level has been added over the first GCIB capped interconnect level (including the dielectric barrier film). At this stage, a second interconnect level is formed on the barrier film 622. The second interconnect level is comprised of a second interlayer dielectric layer 610, which is deposited on the barrier film 622 using conventional techniques. A hard mask layer 611 formed by conventional techniques covers the upper surface of the first interlayer dielectric layer 610. In the second interlayer dielectric layer 610, conventional grooves and vias are formed, which are surrounded by a conventional barrier layer 612, and copper is placed in the grooves and vias by conventional techniques. Is done. The top surface of this structure is planarized and cleaned using conventional processing processes. The top surfaces of the second copper interconnect layer 640 and the hard mask layer 611 are shown to have residual contamination material 613. The second interconnect level (if necessary) and the subsequent higher interconnect level (if necessary) are implemented with a GCIB introduction step and a GCIB deposition step for wiring structure 600 as described above, ( For example, cap films 618, 620 are formed, and a barrier film 624 is formed (FIG. 5A). In this way, the desired two interconnect level structure of FIG. 5A or a multi-level interconnect structure may be formed.

このように、示された技術により、電気泳動が抑制されるとともに、選択金属キャップ化処理に関連した、好ましくない副次的な影響が回避される。誘電体表面では、キャップ化処理の後も、誘電体は、絶縁を維持しており、極めて薄い絶縁層により、誘電率に及ぼす影響が無視される。   Thus, the technique shown suppresses electrophoresis and avoids unwanted side effects associated with selective metal capping. On the dielectric surface, the dielectric maintains insulation even after the capping process, and the influence on the dielectric constant is ignored by the extremely thin insulating layer.

図6Aには、本発明の第3の実施例による、GCIB導入処理を用いた、銅の相互接続キャップ化処理の配線構造700を概略的に示す(例えば、これに限られるものではないが、2つの銅配線層相互接続レベルが示されている)。第1の銅配線層702と、第2の銅配線層704と、2つの銅層を接続する銅ビア構造706とを支持する基板701が概略的に示されており、これらの各々は、従来の技術を用いて形成されても良い。基板701は、通常、半導体基板であり、これは、電気的相互接続が必要なアクティブおよび/またはパッシブ素子を有する(可能な場合、下側相互接続レベルを含む)。両方の銅配線層702および704の側壁および底部、ならびにビア構造706は、バリア層712で囲まれ、これは、従来の技術で構成されても良い。第1の層間誘電体層708および第2の層間誘電体層710は、銅配線の間に、電気的絶縁を提供し、これらは、従来の技術を用いて形成されても良い。第1の層間誘電体層708は、上部表面709を有し、第2の層間誘電体層710は、上部表面711を有する。以下に詳細を示すように、従来の成膜法により成膜された各銅配線相互接続レベルでは、最初バリア層712が層間誘電体層708、710の上部表面709、711を被覆する(図6B)。本発明のこの実施例では、以降に示すGCIB処理プロセスにより、上部表面709、711からバリア層712の材料が除去されるため、図6Aに示す完全な構造では、これは、これらの表面上に視認されない。第1の銅配線層702の上部表面および第2の銅配線層704の上部表面は、GCIB処理プロセスによりキャップ化され、導入キャップ膜713および715が形成される。導入銅キャップ膜713、715、および隣接するそれぞれの層間誘電体層708、710は、必要な場合、それぞれ、誘電体バリア膜714および716でキャップ化されても良く、改良された銅拡散バリアおよびエッチング停止特性が得られる。誘電体バリア膜714および716は、炭窒化珪素であることが好ましいが、窒化珪素、炭化珪素、または適当な誘電体であっても良く、これは、PECVDのような従来の技術で成膜され、あるいは好ましくはGCIB成膜法により成膜される。   FIG. 6A schematically shows a wiring structure 700 for copper interconnection capping using a GCIB introduction process according to a third embodiment of the present invention (for example, but not limited to, Two copper wiring layer interconnect levels are shown). A substrate 701 is schematically shown that supports a first copper interconnect layer 702, a second copper interconnect layer 704, and a copper via structure 706 connecting the two copper layers, each of which is conventional. It may be formed using this technique. Substrate 701 is typically a semiconductor substrate that has active and / or passive elements that require electrical interconnection (including lower interconnection levels where possible). The sidewalls and bottom of both copper interconnect layers 702 and 704 and the via structure 706 are surrounded by a barrier layer 712, which may be constructed with conventional techniques. The first interlayer dielectric layer 708 and the second interlayer dielectric layer 710 provide electrical insulation between the copper interconnects and these may be formed using conventional techniques. The first interlayer dielectric layer 708 has an upper surface 709 and the second interlayer dielectric layer 710 has an upper surface 711. As shown in more detail below, at each copper interconnect interconnect level deposited by conventional deposition methods, the barrier layer 712 initially covers the upper surfaces 709, 711 of the interlayer dielectric layers 708, 710 (FIG. 6B). ). In this embodiment of the invention, the GCIB treatment process described below removes the material of the barrier layer 712 from the top surfaces 709, 711, so that in the complete structure shown in FIG. Not visible. The upper surface of the first copper wiring layer 702 and the upper surface of the second copper wiring layer 704 are capped by the GCIB treatment process to form introduction cap films 713 and 715. Introduced copper cap films 713, 715, and respective adjacent interlayer dielectric layers 708, 710 may be capped with dielectric barrier films 714 and 716, respectively, if desired, to improve the copper diffusion barrier and Etching stop characteristics are obtained. The dielectric barrier films 714 and 716 are preferably silicon carbonitride, but may be silicon nitride, silicon carbide, or a suitable dielectric, which is deposited by conventional techniques such as PECVD. Alternatively, it is preferably formed by a GCIB film forming method.

図6Bには、配線構造700を構成する処理の際の予備段階700Bを示す。図に示す段階では、基板701上に、相互接続レベルが形成される。相互接続レベルは、基板上に成膜された第1の層間誘電体層708で構成される。第1の層間誘電体708内には、溝およびビアが形成され、これらは、バリア層712で囲まれる。溝およびビア内には、銅が成膜される。最初バリア層712は、層間誘電体層708の上部表面709を被覆する。従来のCMP法により、銅の上部負荷(overburden)が除去され、これは、バリア層712の材料上で停止される。また、バリア層材料に比べて、銅を著しく高速度で選択的に除去するように選定された、従来のCMP処理条件を用い、例えば、バリア材料に比べて、銅を選択的に除去する高選択性スラリを用いることにより、銅は、図に示すように、バリア層712の表面より下側に僅かに窪むようになる。表面は、従来の処理プロセスを用いて清浄化される。第1の銅配線層702およびバリア層712の上部表面は、残留コンタミネーション物質703を有するように示されている。この段階および各後続の相互接続レベルの各対応する段階(2以上の相互接続レベルを仮定した場合)の上部表面では、in-situであることが好ましい、例えば、プラズマ清浄化処理プロセスのような従来の乾式清浄化処理プロセス、またはGCIB清浄化処理が実施されても良い。GCIB清浄化処理は、Ar、N2、NH3、H2または混合ガスの気体分子からなるGCIBクラスターイオンにより、被清浄化表面を照射するステップを有し、約3kVから約50kVの範囲のビーム加速電位VACCが使用され、全ガスクラスターイオン線量は、約5×1013から約5×1016イオン/cm2の範囲である。本発明は、このガスの例に限られるものではなく、銅表面から、CMP後の残留物、銅酸化物、および他のコンタミネーション物質を除去する他のガスまたは混合ガスにより実施され得ることは、当業者には明らかである。本発明の本質ではないが、このGCIB清浄化処理は、in-situの清浄化処理であることが好ましい。 FIG. 6B shows a preliminary stage 700B in the process of configuring the wiring structure 700. In the stage shown in the figure, an interconnect level is formed on the substrate 701. The interconnect level is comprised of a first interlayer dielectric layer 708 deposited on the substrate. Grooves and vias are formed in the first interlayer dielectric 708 and are surrounded by a barrier layer 712. Copper is deposited in the trenches and vias. Initially barrier layer 712 covers top surface 709 of interlayer dielectric layer 708. The conventional CMP method removes the copper overburden, which is stopped on the barrier layer 712 material. Also, using conventional CMP processing conditions selected to selectively remove copper at a significantly higher rate compared to barrier layer materials, for example, high removal of copper compared to barrier materials. By using the selective slurry, the copper becomes slightly recessed below the surface of the barrier layer 712 as shown in the figure. The surface is cleaned using conventional processing processes. The top surfaces of the first copper interconnect layer 702 and barrier layer 712 are shown to have residual contamination material 703. The upper surface of this stage and each corresponding stage of each subsequent interconnect level (assuming two or more interconnect levels) is preferably in-situ, such as a plasma cleaning process A conventional dry cleaning process or a GCIB cleaning process may be performed. The GCIB cleaning process has the step of irradiating the surface to be cleaned with GCIB cluster ions consisting of gaseous molecules of Ar, N 2 , NH 3 , H 2 or mixed gas, and a beam in the range of about 3 kV to about 50 kV. An accelerating potential V ACC is used, and the total gas cluster ion dose ranges from about 5 × 10 13 to about 5 × 10 16 ions / cm 2 . The present invention is not limited to this gas example, and can be practiced with other gases or mixed gases that remove post-CMP residue, copper oxide, and other contaminants from the copper surface. Will be apparent to those skilled in the art. Although not the essence of the present invention, this GCIB cleaning process is preferably an in-situ cleaning process.

図6Cには、GCIB清浄化ステップに続く、配線構造700を構成する処理の際の段階700Cを示す。第1の銅配線層702およびバリア層712の上部表面は、コンタミネーション物質が除去され、キャップ化ステップ用の準備がなされる。GCIBキャップ化処理が、適用されても良い。(好ましくはin-situの)GCIBエッチング処理および導入キャップ化処理を用いて、第1の銅配線層702の表面がキャップ化されると同時に、上部表面709を覆うバリア層712が除去されても良い。GCIBエッチング処理およびキャップ化処理は、銅表面に導入された際に、キャップ化材料を構成する元素からなるGCIBを用いて、銅配線層702および第1の層間誘電体708の上部表面を照射するステップを有する。この元素は、エッチングバリア層712の材料であっても良い。GCIB照射は、上部表面709の露出バリア層712の材料をエッチング除去するとともに、キャップ化化学種を第1の銅配線層702に導入し、キャップ膜713が形成される。ソースガスは、フッ素および/または硫黄のような元素を含み、これに限られるものではないが、GCIBの形成の際には、SF6、CF4、C4F8、またはNF3が使用される。これらのガスを使用し、純粋なガスを用いて、あるいはこれとN2または例えばAr、Xeのような不活性ガスとを混合したガスを用いて、導入用のガスクラスターイオンが形成される。そのような導入処理により、例えばCuF2のような銅キャップ膜が形成される。ビーム加速電位VACCは、約10kVから約50kVの範囲であることが好ましく、ノズルガスの流速は、約200sccmから約3000sccmの範囲であっても良い。例えば、バリア層材料をエッチングし、銅のキャップ膜の形成に好ましい処理プロセスでは、N2と10%NF3の混合ソースガスが、700sccmの流速で使用される。GCIBエッチングおよび導入処理プロセスは、全てのバリア層材料が除去されるまで継続され、その結果、第1の層間誘電体層708の比較的変化の少ない上部表面709が得られるとともに、キャップ膜713が導入された銅表面が得られる。上部表面709は、処理の大部分の間、バリア層712の材料により、GCIBから保護されているため、上部表面709には、僅かの影響しかない。 FIG. 6C shows a stage 700C in the process of configuring the wiring structure 700 following the GCIB cleaning step. Contaminants are removed from the upper surfaces of the first copper interconnect layer 702 and the barrier layer 712 and are ready for the capping step. A GCIB capping process may be applied. Using the GCIB etching process (preferably in-situ) and the introduction capping process, even if the surface of the first copper wiring layer 702 is capped, the barrier layer 712 covering the upper surface 709 is removed. good. When the GCIB etching process and the capping process are introduced to the copper surface, the upper surface of the copper wiring layer 702 and the first interlayer dielectric 708 is irradiated using GCIB made of an element constituting the capping material. Having steps. This element may be the material of the etching barrier layer 712. The GCIB irradiation removes the material of the exposed barrier layer 712 on the upper surface 709 and introduces a capping chemical species into the first copper wiring layer 702 to form a cap film 713. Source gases include elements such as, but not limited to, fluorine and / or sulfur, but SF 6 , CF 4 , C 4 F 8 , or NF 3 are used in forming the GCIB. The These gases are used to form gas cluster ions for introduction using pure gas or using a gas mixed with N 2 or an inert gas such as Ar or Xe. By such an introduction process, a copper cap film such as CuF 2 is formed. The beam acceleration potential V ACC is preferably in the range of about 10 kV to about 50 kV, and the nozzle gas flow rate may be in the range of about 200 sccm to about 3000 sccm. For example, in a preferred process for etching the barrier layer material and forming a copper cap film, a mixed source gas of N 2 and 10% NF 3 is used at a flow rate of 700 sccm. The GCIB etch and introduction process continues until all the barrier layer material is removed, resulting in a top surface 709 with relatively little change in the first interlayer dielectric layer 708 and a cap film 713 An introduced copper surface is obtained. Since the top surface 709 is protected from the GCIB by the material of the barrier layer 712 during most of the process, the top surface 709 has only a minor effect.

図6Dには、GCIBエッチングおよび導入ステップに続く、配線構造700を構成する処理の際の段階700Dを示す。第1の銅配線層702の上部表面は、キャップ化層713でキャップ化され、バリア層712は、エッチング除去され、第1の層間誘電体層708の上部表面709が残留する。この構造は、誘電体バリア膜の形成のため準備される。GCIB処理プロセスを実施して、前述のバリア膜622を成膜するとこと同じ方法により、キャップ化層713上、および第1の層間誘電体層708の上部表面709上に、誘電体拡散バリア膜714を形成しても良い。   FIG. 6D shows a stage 700D during the process of configuring the wiring structure 700 following the GCIB etching and introduction step. The upper surface of the first copper wiring layer 702 is capped with a capping layer 713, the barrier layer 712 is etched away, and the upper surface 709 of the first interlayer dielectric layer 708 remains. This structure is prepared for the formation of a dielectric barrier film. A dielectric diffusion barrier film 714 is formed on the capping layer 713 and on the upper surface 709 of the first interlayer dielectric layer 708 by performing the GCIB process to form the barrier film 622 described above. May be formed.

図6Eには、誘電体拡散バリア膜714の形成に続く、配線構造700を構成する処理の際の段階700Eを示す。   FIG. 6E shows a stage 700E in the process of forming the wiring structure 700 following the formation of the dielectric diffusion barrier film 714.

図6Fには、第1のGCIBキャップ化相互接続レベル(誘電体バリア膜を含む)上に、第2の相互接続レベルの配線構造700を構成する処理の際の段階700Fを示す。この段階では、バリア膜714上に、第2の相互接続レベルが形成される。第2の相互接続レベルは、第2の層間誘電体層710で構成され、この層は、バリア膜714上に成膜される。第2の層間誘電体層710内には、溝およびビアが形成され、これらは、バリア層712で囲まれる。溝およびビア内には、従来の技術を用いて、銅が成膜される。最初バリア層712は、層間誘電体層710の上部表面を被覆する。従来のCMP処理により、銅の上部負荷が除去され、これは、バリア層712の材料上で停止される。また、バリア層材料よりも速い速度で銅を優先的に除去するように選定された、従来のCMP処理条件を用いて、例えば、バリア材料に比べて銅を選択的に除去する高選択性のスラリを用いることにより、銅は、図に示すように、バリア層712の表面より下側に僅かに窪むようになる。この表面は、従来の処理プロセスを用いて清浄化される。第2の銅配線層704およびバリア層712の上部表面は、残留コンタミネーション物質717を有するように示されている。第2の相互接続レベル(必要な場合)および後続の高相互接続レベル(必要な場合)では、配線構造700の第1の相互接続レベルのため、前述のようなGCIB清浄化ステップ、GCIB(エッチングおよび導入キャップ化ステップ)およびGCIB成膜ステップが適用され、(例えば)キャップ膜715およびバリア膜716が形成される。また、所望の、図6Aの2つの相互接続レベル構造、または複数レベルの相互接続構造が形成されても良い。   FIG. 6F shows a stage 700F during the process of constructing the second interconnect level interconnect structure 700 on the first GCIB capped interconnect level (including the dielectric barrier film). At this stage, a second interconnect level is formed on the barrier film 714. The second interconnect level is comprised of a second interlayer dielectric layer 710 that is deposited on the barrier film 714. Grooves and vias are formed in the second interlayer dielectric layer 710 and are surrounded by a barrier layer 712. Copper is deposited in the trenches and vias using conventional techniques. Initially barrier layer 712 covers the top surface of interlayer dielectric layer 710. Conventional CMP processing removes the copper topload, which is stopped on the barrier layer 712 material. Also, using conventional CMP processing conditions selected to preferentially remove copper at a faster rate than the barrier layer material, for example, with high selectivity to selectively remove copper compared to barrier materials. By using the slurry, the copper becomes slightly recessed below the surface of the barrier layer 712 as shown in the figure. This surface is cleaned using conventional processing processes. The top surfaces of the second copper interconnect layer 704 and the barrier layer 712 are shown to have residual contamination material 717. At the second interconnect level (if necessary) and the subsequent high interconnect level (if necessary), the GCIB cleaning step, GCIB (etching) as described above, due to the first interconnect level of the wiring structure 700 And an introduction capping step) and a GCIB film forming step are applied to form a cap film 715 and a barrier film 716 (for example). Also, the desired two interconnect level structures of FIG. 6A or a multi-level interconnect structure may be formed.

前述の配線構造700に示した、CMP銅上部負荷の除去に続き、露出バリア層材料が好ましくない空間的に非均一的な厚さを有する場合、必要に応じて、補償的な方法により、GCIBエッチングが実施され、空間的不均一性が緩和される。従来の金属膜マッピング装置(例えば、米国ニュージャージー州07836フランダースルドルフロード、ルドルフテクノロジー社から市販されている、ルドルフテクノロジー、METAPULSE(登録商標)-II金属膜測定システム、)を用いた、試料ウェハの表面にわたるバリア層の厚さの第1のマップ化処理により、その後、前述のような、補償エッチングされるバリア層のエッチングを行うことが可能となり、バリア層材料がより厚いときには、より大きなエッチングが得られ、バリア層材料がより薄いときには、より損失の少ないエッチング処理が可能となり、バリア層材料の初期の厚さにより、オーバーエッチングされる恐れのある領域において、下側の層間誘電体を除去する量を最小限に抑制することができる。この空間的に補償されたエッチング処理は、測定されたバリア層厚さマップを、Allenらの米国特許第6,537,606号(’606特許)に示されている技術と組み合わせて用いることにより行われ、この文献は、本願の参照として取り入れられている。エピオン社(Epion Corporation)のnFusuion(登録商標)GCIB処理システム(Epion Corporation、マサチューセッツ州ビレリカ)のようなガスクラスターイオンビーム処理装置は、前述の’606特許に記載の技術を用いて、測定マップから、自動補償エッチングする機能を有し、これは、市販されている。   Following the removal of the CMP copper top load shown in wiring structure 700 above, if the exposed barrier layer material has an undesirable spatially non-uniform thickness, the GCIB can be compensated, if necessary, by a compensatory method. Etching is performed to reduce spatial non-uniformity. Sample wafer surface using a conventional metal film mapping device (eg, Rudolf Technology, METAPULSE®-II metal film measurement system, commercially available from Rudolf Technology, 07836 Flanders, Sulfur, New Jersey, USA) The first mapping process of the barrier layer thickness over time allows subsequent etching of the barrier layer that is compensated for etching as described above, resulting in greater etching when the barrier layer material is thicker. When the barrier layer material is thinner, a less lossy etch process is possible, and the initial thickness of the barrier layer material removes the lower interlayer dielectric in areas that may be over-etched Can be minimized. This spatially compensated etching process is performed by using a measured barrier layer thickness map in combination with the technique shown in Allen et al. US Pat. No. 6,537,606 (the '606 patent). The literature is incorporated herein by reference. Gas cluster ion beam processing equipment, such as the Epion Corporation nFusuion® GCIB processing system (Epion Corporation, Billerica, Mass.), Can be obtained from measurement maps using the techniques described in the aforementioned '606 patent. It has a function of automatic compensation etching, which is commercially available.

本発明のこの実施例では、バリア層エッチングおよび銅キャップ化処理の双方は、GCIB処理プロセスを用いた単一のステップで実施されることが好ましく、これにより、前述のように、両方同時に処理される。また、いくつかの環境で、別個のGCIB処理プロセスステップで、各ステップにおいて異なる特性のGCIBを用いて、バリア層エッチングと銅のキャップ化処理とを行うことも可能であり、有益である。そのような場合、図6Cに示す段階に到達すると、最初に、GCIBエッチング処理により、GCIBキャップステップの前に、層間誘電体層708の上部表面709を被覆しているバリア層材料が除去される。次に、GCIBエッチングステップが行われ、図6Gに示す構造が現れ、この構造は、銅配線層および層間誘電体層のキャップ化処理のため準備され、前述の本発明の各種実施例に示されたGCIBキャップ化処理を用いて、これらのキャップ化が行われる。各相互接続レベルでは、好ましいエッチング処理ステップは、フッ素を含むようなソースガス、例えばこれに限られるものではないが、SF6、CF4、C4F8、またはNF3を含むようなソースガスから構成されるGCIBクラスターイオンで被清浄化表面に照射することである。これらのガスを使用して、ガスクラスターイオンが形成され、純粋なガスまたはこれとN2または例えば、Ar、Xeのような不活性ガスの混合ガスのいずれかを用いて、エッチング処理が行われる。ビーム加速電位VACCは、約10kVから約50kVの範囲のものが使用されることが好ましく、ノズルガス流量には、約200sccmから約3000sccmの範囲のものが使用されても良い。例えば、バリア層材料のエッチング処理に工程な処理では、N2と10%NF3の混合ソースガスが使用され、流速は、700sccmである。必要な場合、GCIBエッチングステップは、前述のような補償エッチングステップであり、バリア層材料の厚さ内の初期の空間不均一性が補償される。 In this embodiment of the invention, both the barrier layer etch and the copper capping process are preferably performed in a single step using a GCIB process, so that both are processed simultaneously as described above. The Also, in some environments, it is possible to perform barrier layer etching and copper capping with separate GCIB processing process steps, using different characteristics of GCIB at each step. In such a case, when the stage shown in FIG. 6C is reached, first, the GCIB etch process removes the barrier layer material covering the top surface 709 of the interlayer dielectric layer 708 prior to the GCIB cap step. . Next, a GCIB etching step is performed to reveal the structure shown in FIG. 6G, which is prepared for capping the copper interconnect layer and the interlayer dielectric layer, and is shown in the various embodiments of the present invention described above. These cappings are performed using the GCIB capping process. At each interconnect level, a preferred etching process step is a source gas that includes fluorine, such as, but not limited to, a source gas that includes SF 6 , CF 4 , C 4 F 8 , or NF 3. The surface to be cleaned is irradiated with GCIB cluster ions composed of Using these gases, gas cluster ions are formed, and an etching process is performed using either pure gas or a mixed gas of N 2 or an inert gas such as Ar or Xe. . The beam acceleration potential V ACC is preferably in the range of about 10 kV to about 50 kV, and the nozzle gas flow rate in the range of about 200 sccm to about 3000 sccm may be used. For example, in a process that is a process for etching the barrier layer material, a mixed source gas of N 2 and 10% NF 3 is used, and the flow rate is 700 sccm. If necessary, the GCIB etch step is a compensation etch step as described above, which compensates for initial spatial non-uniformities within the thickness of the barrier layer material.

図7Aには、本発明の第4の実施例による、GCIB導入処理を用いた、銅の相互接続キャップ化の配線構造800を概略的に示す(例えば、これに限られるものではないが、2つの銅配線層相互接続レベルが示されている)。図には、第1の銅配線層802と、第2の銅配線層804と、2つの銅層を接続する銅ビア構造806とを支持する基板801が概略的に示されており、これらの各々は、従来の技術で形成されても良い。基板801は、通常、アクティブおよび/またはパッシブ素子を有する半導体基板であり(可能な場合、下側相互接続レベルを含む)、これらは電気的に相互接続される必要がある。両方の銅配線層802、804の側壁および底部、ならびにビア構造806は、バリア層812で囲まれており、これは、従来の技術で構成されても良い。第1の層間誘電体層808および第2の層間誘電体層810は、銅配線層の間に電気絶縁性を提供し、これは、従来の技術で構成されても良い。第1の層間誘電体層808は、上部表面809を有し、第2の層間誘電体層810は、上部表面811を有する。以下に詳細を示すように、従来の技術で成膜される各銅配線相互接続レベルでは、バリア層812は、最初、層間誘電体層808、810の上部表面809および811を被覆する。本発明のこの実施例では、バリア層812の材料は、上部表面809、811から除去され、従って、図7Aに示す完全な構造では、これらの表面に視認されない。上部表面809、811からのバリア層812の材料の除去は、本願に示したGCIB処理プロセス、または従来の方法により行われることが好ましい。第1の銅配線層802の上部表面および第2の銅配線層804の上部表面は、GCIB処理プロセスによりキャップ化され、導入キャップ膜813、815が形成される。導入同キャップ化膜813、815,および隣接する層間誘電体層808、810は、それぞれ、必要な場合、誘電体バリア膜814および816でキャップ化されても良く、これにより、改善された銅拡散バリアおよびビアエッチング停止特性が得られる。誘電体バリア膜814および816は、炭窒化珪素であることが好ましいが、窒化珪素、炭化珪素、または他の適当な誘電体であっても良く、これには、PECVDのような従来の成膜技術、あるいは好ましくは、GCIB成膜法が適用される。   FIG. 7A schematically illustrates a copper interconnect capped wiring structure 800 using a GCIB introduction process according to a fourth embodiment of the present invention (eg, but not limited to 2 Two copper wiring layer interconnect levels are shown). The figure schematically shows a substrate 801 that supports a first copper wiring layer 802, a second copper wiring layer 804, and a copper via structure 806 that connects the two copper layers. Each may be formed by conventional techniques. The substrate 801 is typically a semiconductor substrate with active and / or passive elements (including lower interconnect levels where possible), which need to be electrically interconnected. The sidewalls and bottom of both copper wiring layers 802, 804 and via structure 806 are surrounded by a barrier layer 812, which may be constructed with conventional techniques. The first interlayer dielectric layer 808 and the second interlayer dielectric layer 810 provide electrical insulation between the copper wiring layers, which may be constructed with conventional techniques. The first interlayer dielectric layer 808 has an upper surface 809 and the second interlayer dielectric layer 810 has an upper surface 811. As described in detail below, at each copper interconnect level deposited by conventional techniques, barrier layer 812 initially covers upper surfaces 809 and 811 of interlayer dielectric layers 808, 810. In this embodiment of the invention, the material of the barrier layer 812 is removed from the top surfaces 809, 811 and is therefore not visible on these surfaces in the complete structure shown in FIG. 7A. Removal of the material of the barrier layer 812 from the top surfaces 809, 811 is preferably performed by the GCIB treatment process described herein or by conventional methods. The upper surface of the first copper wiring layer 802 and the upper surface of the second copper wiring layer 804 are capped by the GCIB treatment process, and introduction cap films 813 and 815 are formed. Introduced capping films 813, 815 and adjacent interlayer dielectric layers 808, 810 may be capped with dielectric barrier films 814 and 816, respectively, if desired, thereby improving copper diffusion. Barrier and via etch stop properties are obtained. Dielectric barrier films 814 and 816 are preferably silicon carbonitride, but may be silicon nitride, silicon carbide, or other suitable dielectric, including conventional deposition such as PECVD. Technology, or preferably, a GCIB deposition method is applied.

図7Bには、配線構造800を構成する処理の際の予備段階800Bを示す。示された段階では、基板801上に、相互接続レベルが形成されている。相互接続レベルは、基板上に成膜された、第1の層間誘電体層808で構成される。第1の層間誘電体層808内には、溝およびビアが形成され、これらはバリア層812で囲まれる。溝およびビア内には、銅が成膜される。バリア層812は、最初、層間誘電体層808の上部表面809を被覆する。従来のCMP法により、銅の上部負荷が除去され、これは、バリア層812の材料の上で停止される。また、バリア層材料に比べて、銅をより大きな速度で優先的に除去するように選定された、従来のCMP処理条件を用いて、例えば、バリア材料に比べて銅を選択的に除去する高選択性スラリを用いることにより、銅は、図に示すように、バリア層812の表面より下側に僅かに窪むようになる。この表面は、従来の処理プロセスにより清浄化される。第1の銅配線層802およびバリア層812の上部表面は、残留コンタミネーション物質803を有するように示されている。この段階、および各相互接続レベルの各対応する段階(2以上の相互接続レベルを仮定した場合)の上部表面では、好ましくはin-situで、例えばプラズマ清浄化処理のような従来の乾式清浄化処理、またはGCIB清浄化処理が実施される。GCIB清浄化処理は、Ar、N2、NH3、H2、またはこれらの混合ガス分子で構成されたGCIBクラスターイオンで、被清浄化表面を照射するステップを有し、好ましくは、約3kVから約50kVの範囲のビーム加速電位VACCが使用され、全ガスクラスターイオン線量は、約5×1013から約5×1016イオン/cm2の範囲である。本発明は、このガスの例に限られるものではなく、銅の表面から、CMP後の残留物、酸化銅、および他のコンタミネーション物質を助供する他のガスまたは混合ガスにより実施され得ることは、当業者には明らかである。本発明の本質ではないが、このGCIB清浄化処理は、in-situ清浄化処理であることが好ましい。 FIG. 7B shows a preliminary stage 800B in the process of configuring the wiring structure 800. At the stage shown, an interconnect level is formed on the substrate 801. The interconnect level is comprised of a first interlayer dielectric layer 808 deposited on the substrate. Grooves and vias are formed in the first interlayer dielectric layer 808 and are surrounded by the barrier layer 812. Copper is deposited in the trenches and vias. The barrier layer 812 initially covers the top surface 809 of the interlayer dielectric layer 808. The conventional CMP method removes the copper overload, which is stopped on the barrier layer 812 material. Also, using conventional CMP processing conditions selected to preferentially remove copper at a greater rate than barrier layer materials, for example, high removal of copper compared to barrier materials. By using the selective slurry, copper becomes slightly recessed below the surface of the barrier layer 812 as shown in the figure. This surface is cleaned by conventional processing processes. The top surfaces of the first copper interconnect layer 802 and barrier layer 812 are shown to have residual contamination material 803. At this stage, and at the upper surface of each corresponding stage of each interconnect level (assuming two or more interconnect levels), preferably in-situ, a conventional dry cleaning such as a plasma cleaning process Processing or GCIB cleaning processing is performed. The GCIB cleaning process includes irradiating the surface to be cleaned with GCIB cluster ions composed of Ar, N 2 , NH 3 , H 2 , or mixed gas molecules thereof, preferably from about 3 kV A beam acceleration potential V ACC in the range of about 50 kV is used, and the total gas cluster ion dose ranges from about 5 × 10 13 to about 5 × 10 16 ions / cm 2 . The present invention is not limited to this gas example, it can be practiced from the copper surface with other gases or gas mixtures that provide post-CMP residue, copper oxide, and other contaminants. Will be apparent to those skilled in the art. Although not the essence of the present invention, this GCIB cleaning process is preferably an in-situ cleaning process.

図7Cには、GCIB清浄化ステップに続く、配線構造800を構成する処理の段階800Cを示す。第1の銅配線層802およびバリア層812の上部表面は、コンタミネーション物質が除去され、キャップ化ステップの準備がなされる。ここで、GCIBキャップ化処理が実施されても良い。GCIB導入キャップ化処理は、第1の銅配線層802の表面と、上部表面809に設置されたバリア層812を同時にキャップ化するように使用される。GCIBエッチングおよびキャップ化処理は、銅表面への導入の際に、キャップ化材料を構成する元素で構成されたGCIBで、第1の銅配線層802および露出バリア層812の上部表面を照射するステップを有する。GCIB照射により、第1の銅配線層802内にキャップ化化学種が導入され、キャップ膜813が形成される(図7D)。GCIB照射により、同時に露出バリア層材料812内に、導入層が導入される。この実施例では、導入条件は、キャップ化導入ステップが完了した際に、露出バリア層への導入深さが、上部表面809を覆う露出バリア層812の厚さよりも短くなるように選定される。従って、キャップ化化学種の露出バリア層812への導入の際に、キャップ化化学種は、層間誘電体層808には進入しない。バリア層812は、銅のキャップ化導入処理から、層間誘電体層808を保護するため、導入された際に層間誘電体層808の特性の劣化につながるおそれのあるキャップ化化学種にまで、導入キャップ化化学種の利用可能な範囲を広げることができる。導入深さは、GCIBを加速するビーム加速電位に依存する。ビーム加速電位VACCは、約3kVから約50kVの範囲で使用されることが好ましく、実際の値は、露出バリア層に導入層が確実に形成され、層間誘電体層808には進入しないように選定される。銅のキャップ化導入処理の際には、約1×1014から約1×1017イオン/cm2の範囲のGCIB線量が使用される。銅キャップ膜を形成する、いかなるソースガス(本発明の他の実施例に関して示した前述の多くのもの)を使用しても良いが、本実施例では、層間誘電体層は、導入化学種から保護されるため、導電層を形成するどうかに拘わらず、また層間誘電体材料に有害な膜を形成するかどうかに拘わらず、ガスまたは混合ガスが選択される。いくつかの一例としてのソースガスは、WF6、他の金属フッ化物ガス、炭素含有ガス、および有機金属ガスである。 FIG. 7C shows a process stage 800C of configuring the wiring structure 800 following the GCIB cleaning step. Contamination materials are removed from the upper surfaces of the first copper wiring layer 802 and the barrier layer 812, and the capping step is prepared. Here, GCIB capping processing may be performed. The GCIB introduction capping process is used to cap the surface of the first copper wiring layer 802 and the barrier layer 812 installed on the upper surface 809 simultaneously. The GCIB etching and capping process is a step of irradiating the upper surfaces of the first copper wiring layer 802 and the exposed barrier layer 812 with GCIB composed of elements constituting the capping material when introduced to the copper surface. Have By GCIB irradiation, capping chemical species are introduced into the first copper wiring layer 802 to form a cap film 813 (FIG. 7D). At the same time, the introduction layer is introduced into the exposed barrier layer material 812 by GCIB irradiation. In this embodiment, the introduction condition is selected such that the introduction depth into the exposed barrier layer is shorter than the thickness of the exposed barrier layer 812 covering the upper surface 809 when the capping introduction step is completed. Accordingly, the capped species do not enter the interlayer dielectric layer 808 upon introduction of the capped species into the exposed barrier layer 812. The barrier layer 812 protects the interlayer dielectric layer 808 from the introduction process of copper capping, so that the barrier layer 812 is introduced to the capped chemical species that may lead to deterioration of the characteristics of the interlayer dielectric layer 808 when introduced. The available range of capped species can be expanded. The depth of introduction depends on the beam acceleration potential that accelerates the GCIB. The beam accelerating potential V ACC is preferably used in the range of about 3 kV to about 50 kV, and the actual value is such that the introduction layer is surely formed in the exposed barrier layer and does not enter the interlayer dielectric layer 808. Selected. During the copper capping introduction process, a GCIB dose in the range of about 1 × 10 14 to about 1 × 10 17 ions / cm 2 is used. Any source gas that forms the copper cap film (many of those previously mentioned with respect to other embodiments of the invention) may be used, but in this embodiment the interlayer dielectric layer is derived from the introduced species. To be protected, a gas or mixed gas is selected regardless of whether a conductive layer is formed and whether a film detrimental to the interlayer dielectric material is formed. Some example source gases are WF 6 , other metal fluoride gases, carbon-containing gases, and organometallic gases.

図7Dには、GCIBキャップ化ステップに続く、配線構造800を構成する処理の際の段階800Dを示す。第1の銅配線層802の上部表面は、キャップ化層813により、キャップ化され、バリア層812は、キャップ化導入ステップにより得られた導入層818を有する。拡大図820には、キャップ化層813および露出バリア層812における導入層818の拡大図が示されている。この構造は、層間誘電体層808の上部表面809を覆うバリア層812および導入層818の除去のために準備される。ここで、エッチング処理(好ましくは、以下に示すGCIB処理を用いて)が実施されても良く、これにより導入銅キャップ化層を除去せずに、バリア層812、および層間誘電体層808の上部表面809を覆う導入層818が除去される(ただし、有効キャップ化層が残留している場合は、ある部分または銅のキャップ化層が除去される)。   FIG. 7D shows a stage 800D in the process of configuring the wiring structure 800 following the GCIB capping step. The upper surface of the first copper wiring layer 802 is capped by the capping layer 813, and the barrier layer 812 has the introduction layer 818 obtained by the capping introduction step. In the enlarged view 820, an enlarged view of the introduction layer 818 in the capping layer 813 and the exposed barrier layer 812 is shown. This structure is prepared for the removal of the barrier layer 812 and the introduction layer 818 covering the top surface 809 of the interlayer dielectric layer 808. Here, an etching process (preferably using the GCIB process described below) may be performed, thereby removing the upper portion of the barrier layer 812 and the interlayer dielectric layer 808 without removing the introduced copper capping layer. The introductory layer 818 covering the surface 809 is removed (although if an effective capping layer remains, some portion or copper capping layer is removed).

図7Eには、GCIBエッチングステップに続く、配線構造800を構成する処理の際の段階800Eを示す。第1の銅配線層802の上部表面は、キャップ化層813によりキャップ化され、バリア層812は、エッチング除去され、層間誘電体層808の上部表面809が露出される。この構造は、誘電体バリア膜の形成のため準備される。必要に応じて、キャップ化層813および第1の層間誘電体層808の上部表面809上に、バリア膜622の成膜に関して説明したような成膜処理法を用いて、誘電体拡散バリア膜814(図7F)が形成されても良い。   FIG. 7E shows a stage 800E in the process of configuring the wiring structure 800 following the GCIB etching step. The upper surface of the first copper wiring layer 802 is capped with a capping layer 813, the barrier layer 812 is etched away, and the upper surface 809 of the interlayer dielectric layer 808 is exposed. This structure is prepared for the formation of a dielectric barrier film. If necessary, the dielectric diffusion barrier film 814 is formed on the capping layer 813 and the upper surface 809 of the first interlayer dielectric layer 808 by using a film formation method as described for the formation of the barrier film 622. (FIG. 7F) may be formed.

図7Fには、任意の誘電体拡散バリア膜814の形成に続く、配線構造800を構成する処理の際の段階800Fを示す。   FIG. 7F shows a stage 800F in the process of forming the wiring structure 800 following the formation of the optional dielectric diffusion barrier film 814.

図7Gには、第1のGCIBキャップ化相互接続レベル(誘電体膜を含む)上に、第2の相互接続レベルを有する、配線構造800を構成する処理の際の段階800Gを示す。この段階では、第2の相互接続レベルは、バリア膜814上に形成される。第2の相互接続レベルは、バリア膜814上に成膜された、第2の層間誘電体層810で構成される。第2の層間誘電体層で構成810には、溝およびビアが形成され、これらは、バリア層812を囲む。溝およびビア内には、従来の技術を用いて、銅が成膜される。バリア層812は、最初、層間誘電体層で構成810の上部表面を被覆する。従来のCMP法により、銅の上部負荷が除去され、これは、バリア層812の材料上で停止される。また、バリア層材料に比べて、銅をより大きな速度で優先的に除去するように選定された従来のCMP処理条件を使用し、例えば、バリア材料に比べて、銅を選択的に除去する高選択性スラリを使用することにより、銅は、図に示すように、バリア層812の表面より下側に僅かに窪むようになる。この表面は、従来の処理プロセスを用いて清浄化されても良い。第2の銅配線層804およびバリア層812の上部表面は、残留コンタミネーション物質817を有するように示されている。第2の相互接続レベル(必要な場合)および後続のより高い相互接続レベル(必要な場合)で、配線構造800内の第1の相互接続レベルに関して示したような、前述のGCIB清浄化、GCIB導入キャップ化、GCIBエッチングおよびGCIB成膜ステップが適用されても良く、これにより、(例えば)キャップ膜815および任意のバリア膜816が形成される。また、所望の、図7Aの2つの相互接続レベル構造、または複数レベルの相互接続構造が形成されても良い。   FIG. 7G shows a stage 800G in the process of constructing a wiring structure 800 having a second interconnect level on a first GCIB capped interconnect level (including a dielectric film). At this stage, a second interconnect level is formed on the barrier film 814. The second interconnect level is composed of a second interlayer dielectric layer 810 deposited on the barrier film 814. The second interlayer dielectric layer configuration 810 is formed with grooves and vias that surround the barrier layer 812. Copper is deposited in the trenches and vias using conventional techniques. The barrier layer 812 initially covers the top surface of the configuration 810 with an interlayer dielectric layer. The conventional CMP method removes the copper topload, which is stopped on the barrier layer 812 material. Also, using conventional CMP processing conditions selected to preferentially remove copper at a greater rate compared to barrier layer materials, for example, high removal of copper selectively compared to barrier materials. By using a selective slurry, the copper becomes slightly recessed below the surface of the barrier layer 812, as shown. This surface may be cleaned using conventional processing processes. The top surfaces of the second copper interconnect layer 804 and barrier layer 812 are shown to have residual contamination material 817. GCIB cleaning, GCIB as described above, as shown for the first interconnect level in wiring structure 800, at the second interconnect level (if necessary) and the subsequent higher interconnect level (if necessary) Introducing capping, GCIB etching, and GCIB deposition steps may be applied, thereby forming (for example) a cap film 815 and an optional barrier film 816. Also, the desired two interconnect level structures of FIG. 7A or multiple levels of interconnect structures may be formed.

各相互接続レベルにおいて、好ましいエッチングステップは、これに限られるものではないが、SF6、CF4、C4F8、またはNF3のようなフッ素を含むソースガスから形成されたGCIBクラスターイオンで、被清浄化表面を照射することである。これらのガスを使用することにより、ガスクラスターイオンが形成され、純粋なガスまたはこれとN2またはAr、Xeのような不活性ガスとの混合ガスのいずれかにより、エッチング処理が行われる。ビーム加速電位VACCには、約10kVから約50kVの範囲のものが使用され、ノズルガス流量には、約200から約3000sccmの範囲のものが使用されても良い。例えば、バリア層材料をエッチングし、銅はほとんどエッチングしない好適な処理プロセスでは、800sccmの流速で、N2と10%NF3の混合ソースガスが使用される。本発明のこの第4の実施例では、GCIBエッチングステップの影響は、その前にGCIB銅キャップ化導入ステップにより銅表面に形成されたキャップ化層を介して、進入しないことが好ましい。従って、バリア材料をエッチングするGCIBの加速に使用されるビーム加速電位VACCは、銅のキャップ化導入GCIBを加速する際に使用される値よりも小さくなるように選定されることが好ましい。 At each interconnect level, the preferred etching step is not limited to this, but with GCIB cluster ions formed from a source gas containing fluorine such as SF 6 , CF 4 , C 4 F 8 , or NF 3. Irradiating the surface to be cleaned. By using these gases, gas cluster ions are formed, and an etching process is performed using either a pure gas or a mixed gas of this with an inert gas such as N 2, Ar, or Xe. The beam acceleration potential V ACC may be in the range of about 10 kV to about 50 kV, and the nozzle gas flow rate may be in the range of about 200 to about 3000 sccm. For example, a suitable processing process that etches the barrier layer material and barely etches copper uses a mixed source gas of N 2 and 10% NF 3 at a flow rate of 800 sccm. In this fourth embodiment of the present invention, the effect of the GCIB etching step preferably does not enter through the capping layer previously formed on the copper surface by the GCIB copper capping introduction step. Therefore, the beam acceleration potential V ACC used for accelerating the GCIB for etching the barrier material is preferably selected to be smaller than the value used when accelerating the copper capping introduction GCIB.

前述の本発明の第4の実施例の各々は、GCIB処理プロセスの使用に必要なステップを有し、またはGCIB処理プロセスは、任意で使用される。本発明の実施例のGCIB処理ステップは、必要な場合、従来の(GCIB以外の)処理ステップと組み合わせて実施されても良く、いくつかの場合、各必要なステップの一連の適用により、本発明が実施される。当然のことながら、他の処理ステップを提供する他の標準的な独立型のツール(例えばこれに限られるものではないが、成膜用PECVDツールおよび清浄化用プラズマ処理ツール)と組み合わせて、図3に示すようなGCIB処理システムを用いて、本発明を実施することが実用的である(およびある環境では、好ましい)。しかしながら、製造に必要な容量によっては、他の処理ツールが好ましい。いくつかの理由のため、単一のツールで、複数の一連のステップを同時に行うことが好ましい場合がある。そのような理由の一つは、処理能力である。半導体ウェハは、製造処理プロセスを通じて、より速やかに移動されるように処理され、ツールからツールにウェハが移動する時間はほとんどない。高い処理能力は、低コストにつながる。複数のステップを単一のツールで実施する別の利点は、高い処理品質により、良好な回路特性が得られることである。例えば、銅配線が酸化されると、配線の抵抗が上昇し、配線の信頼性が低下する。従って、清浄化、キャップ化、および誘電体拡散バリア膜の形成の全てのステップは、in-situで、単一の真空システム内で実施され、ステップ間にウェハが大気に露出されないことが好ましい。また、単一のツール内で複数のステップを実施することにより、ステップの間、大気に暴露されることがなく(減圧雰囲気または真空で操作される場合)、コンタミネーションが回避され、処理プロセスにおいて、余分な清浄化ステップの必要性が抑制される。   Each of the aforementioned fourth embodiments of the present invention has the necessary steps for the use of a GCIB treatment process, or a GCIB treatment process is optionally used. The GCIB processing steps of embodiments of the present invention may be performed in combination with conventional (non-GCIB) processing steps, if necessary, and in some cases, by a series of applications of each required step, the present invention Is implemented. Of course, in combination with other standard stand-alone tools that provide other processing steps (eg, but not limited to PECVD tools for deposition and plasma processing tools for cleaning) It is practical (and preferred in some circumstances) to implement the present invention using a GCIB processing system such as that shown in FIG. However, other processing tools are preferred depending on the capacity required for manufacturing. For several reasons, it may be preferable to perform multiple series of steps simultaneously with a single tool. One such reason is processing power. Semiconductor wafers are processed to move more quickly through the manufacturing process and there is little time for the wafer to move from tool to tool. High throughput leads to low costs. Another advantage of performing multiple steps with a single tool is that good circuit characteristics are obtained with high processing quality. For example, when copper wiring is oxidized, the resistance of the wiring increases and the reliability of the wiring decreases. Accordingly, it is preferred that all steps of cleaning, capping, and forming the dielectric diffusion barrier film be performed in-situ and in a single vacuum system, and the wafer is not exposed to the atmosphere between steps. Also, by performing multiple steps within a single tool, there is no exposure to the atmosphere during the step (when operated in a reduced pressure atmosphere or vacuum), contamination is avoided and in the processing process The need for extra cleaning steps is reduced.

第4の実施例の方法の特異な利点は、銅のキャップ化ステップおよび層間誘電体の上部表面からの拡散バリアの除去ステップを実施する順番である。前述の従来の処理手順、および本発明の第1の2つの実施例では、各相互接続層のため、相互接続層内で銅のキャップ化処理を実施する前に、層間誘電体層の上部表面から、バリア層材料が除去される。本発明の第3の実施例では、各相互接続層のため、相互接続層内の銅のキャップ化処理と同時に、バリア層材料が、層間誘電体層の上部表面から除去される。従来の全ての一連の処理、および本発明の第1の2つの実施例では、銅のキャップ化処理は、層間誘電体層の上部表面と好ましくない方法で相互作用しないように、キャップ化処理の使用に限定され、好ましくない追加のマスク処理ステップを行わずに、層間誘電体層の上部表面が保護されるため、これは、銅のキャップ化処理の影響に曝される。本発明の第3の実施例の場合、銅のキャップ化処理は、バリア層材料のエッチング可能なGCIBの使用に制限され、同時に、銅のキャップ化層が形成され、さらに、バリア層材料は完全にエッチングされた際の、
エッチング/キャップ化処理の完了時に、層間誘電体層の照射の間に生じ得る、いくつかのコンタミネーションの可能性または層間誘電体層の上部表面に対する好ましくない影響が存在する。本発明の第4の実施例では、層間誘電体層の上部表面のバリア層材料は、銅のキャップ化処理の間、層間誘電体の上部表面を完全にマスクし、GCIB銅キャップ化処理に使用されるGCIB成分の選定の際に、完全な自由度が得られ、層間誘電体に対する好ましくない影響がない状態で、銅のキャップ化特性が最適化される。
A particular advantage of the method of the fourth embodiment is the order in which a copper capping step and a diffusion barrier removal step from the top surface of the interlayer dielectric are performed. In the above-described conventional processing procedure, and in the first two embodiments of the present invention, for each interconnect layer, the top surface of the interlayer dielectric layer prior to performing the copper capping process in the interconnect layer The barrier layer material is removed. In a third embodiment of the invention, for each interconnect layer, the barrier layer material is removed from the top surface of the interlayer dielectric layer simultaneously with the copper capping process in the interconnect layer. In the entire series of conventional processes, and in the first two embodiments of the present invention, the capping process is performed so that the copper capping process does not interact in an unfavorable manner with the top surface of the interlayer dielectric layer. This is subject to the effects of a copper capping process because the upper surface of the interlayer dielectric layer is protected without being subjected to undesirable and additional masking steps. In the third embodiment of the present invention, the copper capping process is limited to the use of an etchable GCIB for the barrier layer material, at the same time a copper capping layer is formed, and the barrier layer material is completely When etched into
There are several potential contaminations or undesirable effects on the top surface of the interlayer dielectric layer that can occur during irradiation of the interlayer dielectric layer upon completion of the etch / capping process. In a fourth embodiment of the present invention, the barrier layer material on the top surface of the interlayer dielectric layer completely masks the top surface of the interlayer dielectric during the copper capping process and is used for the GCIB copper capping process. When selecting the GCIB component to be used, complete freedom is obtained and the copper capping characteristics are optimized without any unfavorable effect on the interlayer dielectric.

従って、本発明を高容量の製造に導入する場合、図8Aに示すクラスターツールを使用することが好ましい。図8Aには、クラスターツール900Aの概略的な図を示す。搬送チャンバ902は、試料搬送装置904を有し、これは、ある位置から別の位置に試料を運搬するウェハ搬送ロボット等であることが好ましい。ロード/アンロードロック906により、大気と真空のロックが提供され、試料は、クラスターツール内に、およびクラスターツールから搬送される。ロード/アンロードロック906は、シャッタまたはバルブ908、910を有し、これは、クラスターツール内外への試料の搬送が可能となるように作動する。ロード/アンロードロック906は、真空(減圧雰囲気)と大気圧の間を切り替えることができ、クラスターツールの大気下から、真空雰囲気への試料(図示されていない)の搬送が容易となる。試料は、個々に、ロード/アンロードロック906を介して搬送され、あるいは、複数の試料が収容されるカセットもしくは容器に入れて搬送される。クラスターツールへの試料の配置およびクラスターツールからの試料の除去の両方の、単一のロード/アンロードロックが示されているが、クラスターツールの各種標準的な構成と対応する、別個のロードおよびアンロードロックを使用しても良いことは、当業者には容易に理解される。   Therefore, when the present invention is introduced into high capacity manufacturing, it is preferable to use the cluster tool shown in FIG. 8A. FIG. 8A shows a schematic diagram of the cluster tool 900A. The transfer chamber 902 includes a sample transfer device 904, which is preferably a wafer transfer robot that transfers a sample from one position to another. A load / unload lock 906 provides atmospheric and vacuum locks and samples are transported into and out of the cluster tool. The load / unload lock 906 has shutters or valves 908, 910 that operate to allow sample transport into and out of the cluster tool. The load / unload lock 906 can switch between vacuum (reduced pressure atmosphere) and atmospheric pressure, and facilitates transport of a sample (not shown) from the atmosphere of the cluster tool to the vacuum atmosphere. Samples are individually conveyed through a load / unload lock 906, or in a cassette or container that contains a plurality of samples. Although a single load / unload lock is shown for both sample placement and removal from the cluster tool, separate loading and uncorresponding to various standard configurations of the cluster tool are shown. One skilled in the art will readily appreciate that an unload lock may be used.

クラスターツール900Aは、複数の処理チャンバ(例えば、これに限定されるものではないが、912、916、920、924および928が示されている)を有する。各処理チャンバは、シャッタまたはバルブ(それぞれ、914、918、922、926および930)を介して、搬送チャンバ902と連通されている。各処理チャンバは、異なる(または同じ)タイプの試料を処理するツールとして構成されても良く、クラスターツールは、5つの(図に示されている)またはより多くまたはより少ない処理チャンバを有しても良い。通常、搬送チャンバ902および処理チャンバの全ては、真空条件で作動し、これにより、処理ステップの間に、試料を大気に暴露することがなくなり、試料に対する複数の処理の実施が容易となる。図3に示すようなGCIB処理システムの処理機能は、クラスターツール処理チャンバ内に構成されても良く、これにより、クラスターツールにGCIB処理ステップが導入される。クラスターツール処理モジュールとしての機能に適合されたGCIB処理システムは。米国マサチューセッツ州ビリエリカのEpion社により製作され、市販されている。   Cluster tool 900A has a plurality of processing chambers (eg, but not limited to, 912, 916, 920, 924 and 928 are shown). Each processing chamber is in communication with a transfer chamber 902 via a shutter or valve (914, 918, 922, 926 and 930, respectively). Each processing chamber may be configured as a tool to process a different (or the same) type of sample, and the cluster tool has five (shown in the figure) or more or fewer processing chambers Also good. Typically, all of the transfer chamber 902 and the processing chamber operate under vacuum conditions, which eliminates exposure of the sample to the atmosphere during the processing steps, making it easier to perform multiple processes on the sample. The processing function of the GCIB processing system as shown in FIG. 3 may be configured in the cluster tool processing chamber, which introduces a GCIB processing step into the cluster tool. GCIB processing system adapted to function as a cluster tool processing module. Produced and marketed by Epion, Billerica, Massachusetts, USA.

図8Bには、図8Aの処理チャンバ(912、916、920、924、および928)の各々に対応する、処理モジュールA(processing module A)、処理モジュールB(processing module B)、処理モジュールC(processing module C)、処理モジュールD(processing module D)、処理モジュールE(processing moduleE)の、5つの処理チャンバを備えるクラスターツール900Bを示す。1または2以上のこれらの処理モジュール(処理チャンバ)は、GCIB処理システムとして構成される。これらの処理モジュールの他方は、他の処理システムとして構成され、例えば、これに限られるものではないが、プラズマ清浄化システム、PECVD成膜システム等である。試料搬送装置904は、各種処理チャンバ(912、916、920、924、および928)、搬送チャンバ902、およびロード/アンロードロック906に沿ってウェハを移動させる。1または2のプラズマ清浄化システムモジュールでクラスターツールが構成されると、プラズマ清浄化システムモジュールは、同じクラスターツールにおいて、GCIB銅キャップ化処理を実施する前に、従来の技術を用いて、試料(ウェハ)の清浄化を実施するように適合される。1または2以上のPECVD成膜システムモジュールで構成されると、PECVD成膜システムモジュールは、同じクラスターツールにおいて実施されたGCIB銅キャップ化操作を利用して、既にキャップ化されたキャップ化銅上に、誘電体膜の成膜を実施するように適合される。クラスターツールは、複数のGCIB処理チャンバで構成されても良い。そのようなGCIB処理チャンバは、GCIB銅キャップ化処理、GCIB表面清浄化処理、および/またはGCIB成膜処理の全てを実施するように適合される(例えば、同じクラスターツールにおいて、GCIB処理によりキャップ化された銅上への、誘電体拡散バリア膜を含む誘電体膜の成膜)。   FIG. 8B shows processing module A, processing module B, processing module C (corresponding to each of the processing chambers (912, 916, 920, 924, and 928) of FIG. 8A. A cluster tool 900B comprising five processing chambers, processing module C), processing module D, and processing module E is shown. One or more of these processing modules (processing chambers) are configured as a GCIB processing system. The other of these processing modules is configured as another processing system, such as, but not limited to, a plasma cleaning system, a PECVD film forming system, and the like. The sample transfer device 904 moves the wafer along various processing chambers (912, 916, 920, 924, and 928), the transfer chamber 902, and the load / unload lock 906. Once the cluster tool is configured with one or two plasma cleaning system modules, the plasma cleaning system module can be sampled using conventional techniques before performing the GCIB copper capping process on the same cluster tool ( Adapted to perform cleaning of the wafer). When configured with one or more PECVD deposition system modules, the PECVD deposition system modules can be used on top of already capped copper using GCIB copper capping operations performed in the same cluster tool. Adapted to perform the deposition of the dielectric film. The cluster tool may be composed of multiple GCIB processing chambers. Such a GCIB processing chamber is adapted to perform all of the GCIB copper capping process, the GCIB surface cleaning process, and / or the GCIB deposition process (eg, capped by GCIB process in the same cluster tool). Deposition of a dielectric film including a dielectric diffusion barrier film on the formed copper).

前述の本発明の第4の実施例は、図9の表に示した各種例に記載のステップおよび任意ステップを取り込んでいる。本発明の第4の実施例のため、図9の表には、従来の処理およびGCIB処理を用いた処理ステップの、いくつかの可能性のある位置組み合わせと、各種ステップの組み合わせを有効に実施するクラスターツール構成(好適構成を含む)とが示されている。   The above-described fourth embodiment of the present invention incorporates the steps and optional steps described in the various examples shown in the table of FIG. For the fourth embodiment of the present invention, the table in FIG. 9 shows the effective execution of several possible positional combinations and combinations of various steps of the processing steps using conventional processing and GCIB processing. Cluster tool configurations (including preferred configurations) are shown.

図9の表に示すクラスターツール構成には、最大4つの処理モジュールが示されているが、ある場合には、追加の処理モジュールを用いることにより、図9の表に必要なものよりも多くの処理モジュールを支持できるクラスターツールが有益であることは、当業者には明らかであり、より遅い処理が再現され、複製モジュールの間で仕事を共有し、および/または本発明の一部を構成しない追加の処理ステップを加えることにより、処理量が最適化される。ただし、そのような追加の処理ステップは、本発明の各種実施例の一連のステップの前後に、必然的に行われるものであり、集積回路の製造処理プロセス全体の追加の部分として必要である。   The cluster tool configuration shown in the table of FIG. 9 shows up to four processing modules, but in some cases, the use of additional processing modules can result in more than what is needed in the table of FIG. It will be apparent to those skilled in the art that a cluster tool that can support a processing module will be beneficial, with slower processing being reproduced, sharing work between replication modules, and / or not forming part of the present invention. By adding additional processing steps, the throughput is optimized. However, such additional processing steps are necessarily performed before and after the series of steps of the various embodiments of the present invention and are required as an additional part of the overall integrated circuit manufacturing process.

各種実施例に関して、本発明について説明したが、本発明は、本発明の思想から逸脱しないで、さらなるおよび他の実施例に幅広く利用することができることに留意する必要がある。例えば、本発明は、ジュアルダマスク集積方式に限られず、他の銅相互接続方式に等しく適用することができることは、当業者には明らかである。また、本発明は、導入膜および設置膜、または各種成分(例えばSi3N4、SiC、SiCN、BN、CuF2、TiO2、CuCO3、B、Ti、窒化珪素、炭化珪素、炭窒化珪素、窒化ボロン、銅フッ化物、二酸化チタン、銅炭酸塩、ボロン、チタン、およびホウケイ酸ガラス)を有する各種層に関して示されているが、本発明により形成された多くの膜および層は、傾斜され、あるいは純粋な形態で実施され、これらは、化学式または名称で表される正確な化学量論を有さず、あるいはほぼ化学量論に等しく、通常の場合、そのような膜を使用する際に一般的な、水素および/または他の不純物を追加で含んでも良いことが、当業者には理解される。 Although the present invention has been described in terms of various embodiments, it should be noted that the present invention can be widely used in further and other embodiments without departing from the spirit of the invention. For example, it will be apparent to those skilled in the art that the present invention is not limited to the dual damascene integration scheme and can be equally applied to other copper interconnect schemes. The present invention also provides an introduction film and an installation film, or various components (for example, Si 3 N 4 , SiC, SiCN, BN, CuF 2 , TiO 2 , CuCO 3 , B, Ti, silicon nitride, silicon carbide, silicon carbonitride). , Boron nitride, copper fluoride, titanium dioxide, copper carbonate, boron, titanium, and borosilicate glass), but many films and layers formed by the present invention are graded. Or in pure form, which do not have the exact stoichiometry represented by the chemical formula or name, or are approximately equal to the stoichiometry, and in normal cases when using such membranes One skilled in the art will appreciate that additional hydrogen and / or other impurities may be included.

Claims (15)

減圧雰囲気下で少なくとも一つのウェハを処理するクラスターツールであって、
前記少なくとも一つのウェハを、当該クラスターツール内におよび/または当該クラスターツールから移動する際の、少なくとも一つのロックと、
少なくとも一つの搬送チャンバと、
少なくとも一つのGCIB処理チャンバと、
清浄化処理チャンバおよび成膜チャンバからなる群から選定された、少なくとも一つの追加チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有するクラスターツール。
A cluster tool for processing at least one wafer in a reduced pressure atmosphere,
At least one lock when moving the at least one wafer into and / or out of the cluster tool;
At least one transfer chamber;
At least one GCIB processing chamber;
At least one additional chamber selected from the group consisting of a cleaning process chamber and a deposition chamber;
At least one wafer transfer device adapted to transfer said at least one wafer from chamber to chamber;
Cluster tool with
前記少なくとも一つのGCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、
前記少なくとも一つの追加チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合された清浄化処理チャンバを含むことを特徴とする請求項1に記載のクラスターツール。
The at least one GCIB processing chamber is adapted to perform a copper capping process on at least a portion of the at least one wafer;
The cluster tool of claim 1, wherein the at least one additional chamber includes a cleaning process chamber adapted to perform a cleaning process prior to the copper capping process.
前記少なくとも一つのGCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、
前記少なくとも一つのGCIB処理チャンバは、GCIBエッチング処理を実施するように適合されることを特徴とする請求項1に記載のクラスターツール。
The at least one GCIB processing chamber is adapted to perform a copper capping process on at least a portion of the at least one wafer;
The cluster tool of claim 1, wherein the at least one GCIB processing chamber is adapted to perform a GCIB etching process.
前記少なくとも一つのGCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、
前記少なくとも一つの追加チャンバは、前記少なくとも一つのウェハの少なくとも一部のキャップ化銅の上に、誘電体拡散バリア膜を形成するように適合された、成膜チャンバを含むことを特徴とする請求項1に記載のクラスターツール。
The at least one GCIB processing chamber is adapted to perform a copper capping process on at least a portion of the at least one wafer;
The at least one additional chamber includes a deposition chamber adapted to form a dielectric diffusion barrier film over at least a portion of the capped copper of the at least one wafer. Item 1. The cluster tool according to item 1.
(a)前記少なくとも一つの追加チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合されたGCIB清浄化処理チャンバであり、または
(b)前記少なくとも一つの追加チャンバは、キャップ化された銅上に、誘電体拡散バリア膜を形成するように適合されたGCIB成膜チャンバであることを特徴とする請求項1乃至3のいずれか一つに記載のクラスターツール。
(A) the at least one additional chamber is a GCIB cleaning process chamber adapted to perform a cleaning process prior to the copper capping process; or (b) the at least one additional chamber is 4. The cluster tool according to claim 1, wherein the cluster tool is a GCIB deposition chamber adapted to form a dielectric diffusion barrier film on the capped copper.
前記少なくとも一つの追加チャンバは、PECVD成膜チャンバおよびプラズマ清浄化処理チャンバからなる群から選定されることを特徴とする請求項1に記載のクラスターツール。   The cluster tool according to claim 1, wherein the at least one additional chamber is selected from the group consisting of a PECVD deposition chamber and a plasma cleaning process chamber. 前記少なくとも一つのGCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、
前記少なくとも一つの追加チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合された少なくとも一つのGCIB清浄化処理チャンバ、およびキャップ化された銅上に、誘電体拡散バリア膜を形成するように適合された少なくとも一つのGCIB成膜チャンバを有することを特徴とする請求項1乃至4のいずれか一つに記載のクラスターツール。
The at least one GCIB processing chamber is adapted to perform a copper capping process on at least a portion of the at least one wafer;
The at least one additional chamber includes at least one GCIB cleaning process chamber adapted to perform a cleaning process prior to the copper capping process, and a dielectric diffusion barrier on the capped copper. 5. The cluster tool according to any one of claims 1 to 4, comprising at least one GCIB deposition chamber adapted to form a film.
クラスターツールシステム内で、該クラスターツールシステムを減圧環境に維持したまま、半導体ウェハを処理する方法であって、
(a)クラスターツールの第1のGCIB処理チャンバ内で、GCIBキャップ化処理を用いて、半導体ウェハ上の銅の相互接続表面に、キャップ化層を形成するステップと、
(b)前記クラスターツールの前記減圧環境内で、前記第1のGCIB処理チャンバから、前記クラスターツールの第2の処理チャンバに、前記半導体ウェハを搬送するステップと、
(c)前記第2の処理チャンバ内で、追加の処理を実施するステップと、
を有する方法。
A method of processing a semiconductor wafer in a cluster tool system while maintaining the cluster tool system in a reduced pressure environment,
(A) forming a capping layer on a copper interconnect surface on a semiconductor wafer using a GCIB capping process in a first GCIB processing chamber of the cluster tool;
(B) transferring the semiconductor wafer from the first GCIB processing chamber to the second processing chamber of the cluster tool in the reduced pressure environment of the cluster tool;
(C) performing additional processing in the second processing chamber;
Having a method.
前記キャップ化層を形成するステップは、さらに、
(a)前記半導体ウェハ上の誘電体材料を覆うバリア層材料表面に、キャップ化層を形成するステップ、または
(b)前記半導体ウェハ上の誘電体材料上に、キャップ化層を形成するステップ、
を有することを特徴とする請求項8に記載の方法。
Forming the capping layer further comprises:
(A) forming a capping layer on the surface of the barrier layer material covering the dielectric material on the semiconductor wafer; or (b) forming a capping layer on the dielectric material on the semiconductor wafer;
9. The method of claim 8, comprising:
前記追加の処理は、
(a)GCIBエッチング処理を用いて、前記誘電体層から前記バリア層を除去するステップ、または
(b)前記キャップ化層上に、誘電体拡散バリア膜を形成するステップ、
を有することを特徴とする請求項9に記載の方法。
The additional processing is:
(A) removing the barrier layer from the dielectric layer using GCIB etching, or (b) forming a dielectric diffusion barrier film on the capping layer;
The method of claim 9, comprising:
前記誘電体拡散バリア膜を形成するステップは、GCIB処理プロセスであることを特徴とする請求項10に記載の方法。   11. The method of claim 10, wherein the step of forming the dielectric diffusion barrier film is a GCIB treatment process. さらに、前記キャップ化層を形成するステップの前に、
前記クラスターツールの第3の処理チャンバ内で、清浄化処理プロセスを用いて、前記銅の相互接続表面を清浄化するステップと、
前記クラスターツールの前記減圧環境内で、前記クラスターツールの第3の処理チャンバから、前記クラスターツールの第1のGCIB処理チャンバに、前記半導体ウェハを搬送するステップと、
を有することを特徴とする請求項8乃至11のいずれか一つに記載の方法。
Furthermore, before the step of forming the capping layer,
Cleaning the copper interconnect surface in a third processing chamber of the cluster tool using a cleaning process;
Transferring the semiconductor wafer from a third processing chamber of the cluster tool to a first GCIB processing chamber of the cluster tool in the reduced pressure environment of the cluster tool;
12. The method according to any one of claims 8 to 11, characterized by comprising:
前記クラスターツールの第3の処理チャンバは、GCIB処理チャンバであり、
さらに前記清浄化処理プロセスは、GCIB清浄化処理プロセスを含むことを特徴とする請求項12に記載の方法。
The third processing chamber of the cluster tool is a GCIB processing chamber;
13. The method of claim 12, further wherein the cleaning process includes a GCIB cleaning process.
前記クラスターツールの前記第3の処理チャンバは、プラズマ処理チャンバであり、
さらに前記清浄化処理プロセスは、プラズマ清浄化処理を含むことを特徴とする請求項12に記載の方法。
The third processing chamber of the cluster tool is a plasma processing chamber;
The method according to claim 12, wherein the cleaning process includes a plasma cleaning process.
前記第2の処理チャンバは、PECVD処理チャンバであり、
さらに前記誘電体拡散バリア膜を形成するステップは、PECVD処理プロセスであることを特徴とする請求項10に記載の方法。
The second processing chamber is a PECVD processing chamber;
The method of claim 10, wherein the step of forming the dielectric diffusion barrier film is a PECVD process.
JP2009185858A 2006-02-06 2009-08-10 Copper interconnect wiring and method and apparatus for forming therefor Withdrawn JP2009278125A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US76566406P 2006-02-06 2006-02-06

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008553545A Division JP2009531835A (en) 2006-02-06 2007-02-06 Copper interconnect wiring and method and apparatus for constructing the same

Publications (1)

Publication Number Publication Date
JP2009278125A true JP2009278125A (en) 2009-11-26

Family

ID=38345922

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008553545A Withdrawn JP2009531835A (en) 2006-02-06 2007-02-06 Copper interconnect wiring and method and apparatus for constructing the same
JP2009185858A Withdrawn JP2009278125A (en) 2006-02-06 2009-08-10 Copper interconnect wiring and method and apparatus for forming therefor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008553545A Withdrawn JP2009531835A (en) 2006-02-06 2007-02-06 Copper interconnect wiring and method and apparatus for constructing the same

Country Status (4)

Country Link
JP (2) JP2009531835A (en)
KR (1) KR20080098514A (en)
CN (1) CN101416291B (en)
WO (1) WO2007092856A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019535124A (en) * 2016-08-16 2019-12-05 ノースロップ グラマン システムズ コーポレイションNorthrop Grumman Systems Corporation Pre-cleaning method for superconductor interconnect manufacturing
US10763419B2 (en) 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7799683B2 (en) 2004-11-08 2010-09-21 Tel Epion, Inc. Copper interconnect wiring and method and apparatus for forming thereof
WO2014010751A1 (en) * 2012-07-11 2014-01-16 Tokyo Electron Limited Etching apparatus and etching method technical field
US20180187543A1 (en) * 2015-07-27 2018-07-05 Halliburton Energy Services, Inc. Distributed electromotive force sensing

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4559096A (en) * 1984-06-25 1985-12-17 The United States Of America As Represented By The United States Department Of Energy Method of precisely modifying predetermined surface layers of a workpiece by cluster ion impact therewith
US6110828A (en) * 1996-12-30 2000-08-29 Applied Materials, Inc. In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization
JP2002319551A (en) * 2001-04-23 2002-10-31 Nec Corp Semiconductor device and its manufacturing method
WO2003048407A1 (en) * 2001-10-11 2003-06-12 Epion Corporation Gcib processing to improve interconnection vias and improved interconnection via
JP2003142579A (en) * 2001-11-07 2003-05-16 Hitachi Ltd Semiconductor device and method for manufacturing the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019535124A (en) * 2016-08-16 2019-12-05 ノースロップ グラマン システムズ コーポレイションNorthrop Grumman Systems Corporation Pre-cleaning method for superconductor interconnect manufacturing
US10763419B2 (en) 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication

Also Published As

Publication number Publication date
WO2007092856A3 (en) 2007-11-29
KR20080098514A (en) 2008-11-10
CN101416291B (en) 2010-12-08
CN101416291A (en) 2009-04-22
JP2009531835A (en) 2009-09-03
WO2007092856A2 (en) 2007-08-16

Similar Documents

Publication Publication Date Title
KR101184529B1 (en) Copper interconnect wiring and method of forming thereof
US7799683B2 (en) Copper interconnect wiring and method and apparatus for forming thereof
US20070184656A1 (en) GCIB Cluster Tool Apparatus and Method of Operation
US6812147B2 (en) GCIB processing to improve interconnection vias and improved interconnection via
US7776743B2 (en) Method of forming semiconductor devices containing metal cap layers
US7115511B2 (en) GCIB processing of integrated circuit interconnect structures
US7883630B2 (en) FIB milling of copper over organic dielectrics
JP5539883B2 (en) Method for improving electrical leakage characteristics and suppressing electromigration in semiconductor devices
JP2009278125A (en) Copper interconnect wiring and method and apparatus for forming therefor
US7871929B2 (en) Method of forming semiconductor devices containing metal cap layers
US20040053498A1 (en) Method and apparatus for forming damascene structure, and damascene structure
CN112151442A (en) Method for covering structure on copper interconnection wiring layer
JP2009117673A (en) Semiconductor device and manufacturing method thereof
TWI423389B (en) Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100127

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101013

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20101129