KR20080098514A - Copper interconnect wiring and method and apparatus for forming thereof - Google Patents

Copper interconnect wiring and method and apparatus for forming thereof Download PDF

Info

Publication number
KR20080098514A
KR20080098514A KR1020087021595A KR20087021595A KR20080098514A KR 20080098514 A KR20080098514 A KR 20080098514A KR 1020087021595 A KR1020087021595 A KR 1020087021595A KR 20087021595 A KR20087021595 A KR 20087021595A KR 20080098514 A KR20080098514 A KR 20080098514A
Authority
KR
South Korea
Prior art keywords
gcib
capping
copper
forming
cluster
Prior art date
Application number
KR1020087021595A
Other languages
Korean (ko)
Inventor
아서 제이. 런
스티븐 알. 셔먼
로버트 마이클 제프켄
존 제이. 하우탈라
Original Assignee
텔 에피온 인크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 텔 에피온 인크 filed Critical 텔 에피온 인크
Publication of KR20080098514A publication Critical patent/KR20080098514A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0812Ionized cluster beam [ICB] sources

Abstract

Capping layer or layers on a surface of a copper interconnect wiring layer for use in interconnect structures for integrated circuits and methods and apparatus for forming improved integration interconnection, structures for integrated circuits by the application of gas-cluster ion-beam processing. Reduced copper diffusion and improved electromigration lifetime result and the use of selective metal capping techniques and their attendant yield problems are avoided. Various cluster tool configurations including gas-cluster ion-bearn processing modules for copper capping, cleaning, etching, and film formation steps are disclosed.

Description

구리 인터커넥트 배선 및 이를 형성하기 위한 방법 및 장치 {Copper interconnect wiring and method and apparatus for forming thereof}Copper interconnect wiring and method and apparatus for forming the same

본 발명은 일반적으로 구리 인터커넥트(interconnect) 배선층 표면의 캡핑층(capping layer) 및 가스-클러스터 이온 빔(GCIB; Gas-Cluster Ion-Beam) 프로세싱을 응용하여 반도체 집적 회로의 인터커넥트 구조를 형성하기 위한 개선된 방법 및 장치에 관한 것이다.BACKGROUND OF THE INVENTION Field of the Invention The present invention is generally an improvement for forming interconnect structures in semiconductor integrated circuits by applying a capping layer and gas-cluster ion beam (GCIB) processing of copper interconnect wiring layer surfaces. To a method and apparatus.

고밀도와 고성능에 대한 반도체의 계속되는 "무어의 법칙(Moore's Law)" 크기 축소(scaling)는 산업계와 우리 사회에 현저한 생산성 증가를 가져왔다. 그러나, 이러한 크기 축소로부터 초래되는 문제점은 더 작은 크기의 인터커넥션 배선에 더 높은 전류를 지녀야할 필요가 있다는 것이다. 이러한 작은 배선에서의 전류 밀도와 온도가 너무 높아지게 되면, 전자이동(electromigration)이라고 불리는 현상에 의해서 인터커넥트 배선이 파손될 수 있다. 고전류 밀도의 인터커넥트 배선에서 발생하는, 소위 "전자풍(electron wind)" 효과는 금속 원자들이 원래의 이들의 격자 위치에서 벗어나도록 하여, 배선에서의 개로(open circuit) 또는 이들 확산된 원자들이 몰린 영역에서의 압출 단락(extrusion short)을 야기한다. 배선 재료로서 구리를 도입하여 알루미늄을 대체함으로써 전자이동 수명이 현저하게 개선되었으나, 계속되는 인터커넥트 배선의 크기 축소는 미래에 구리 전자이동 수명에서의 추가적인 개선이 필요하게 될 것임을 시사하고 있다. Semiconductor's continuing “Moore's Law” scaling for high density and high performance has resulted in significant productivity gains for industry and our society. However, a problem resulting from this size reduction is the need to carry higher currents in smaller interconnect interconnects. If the current density and the temperature in such a small wiring become too high, the interconnect wiring can be broken by a phenomenon called electromigration. The so-called "electron wind" effect, which occurs in high current density interconnect wiring, causes metal atoms to deviate from their original lattice position, leading to open circuits or areas in which these diffused atoms are crowded. Causes an extrusion short at The introduction of copper as a wiring material to replace aluminum has significantly improved the electromigration lifetime, but the continued reduction in interconnect wiring suggests that further improvements in copper electromigration lifetime will be needed in the future.

입계(grain boundary)를 따른 알루미늄 원자들의 확산에 의해서 파손되는 알루미늄 인터커넥트와는 달리, 구리 인터커넥트 전자이동 파손 양상은 표면 및 계면을 따른 확산에 의해서 제어된다. 특히, 종래의 구리 배선 인터커넥트 설계의 경우, 구리 배선의 상면(top surface)은 전형적으로, 주위 유전체로의 구리의 이동을 방지하기 위해 양호한 확산 차단 특성(diffusion barrier property)을 가져야 하는 오버레잉 유전체 캡핑층을 가지고 있다. 가장 일반적으로 사용되고 있는 두 개의 유전체 캡핑 재료는, 종래 플라즈마 증강 화학 기상 증착(PECVD, plasma-enhanced chemical vapor deposition) 기법에 의해서 증착되었던 질화규소 및 질화규소탄소이다. 불행하게도, 이들 PECVD로 증착된 캡핑 재료는 구리와 함께 결함이 있는 계면을 형성하여 구리 배선의 상면을 따른 구리 이동을 증가시키고, 따라서 전자이동 파손률을 증가시킨다. 구리 배선 구조의 다른 표면은 전형적으로, 구리와 함께 강력한 계면을 형성하여 구리 확산을 제한하여 전자이동 효과를 억제하는 차단층(barrier layer) 또는 이중충(bi-layer)(전형적으로는, 금속성인, 예를 들면, TaN/Ta, TaN/Ru, 또는 Ru)을 가지고 있다. 이하, 이러한 차단층 또는 이중층을 "차단층"이라고 한다. 배선 인터커넥션의 층을 인터커넥트 층, 배선층 또는 인터커넥션 층이라고 하며, 배선 인터커넥션의 각 층은 하나 이상의 금속 전도체층, 및 보다 낮은-레벨 기판 또는 보다 낮은 인터커넥션 층으로부터 및 배선 인터커넥션의 동일 층에서의 다른 금속 전도체로부터 금속 전도체 층을 절연시키는 인터-레벨 유전체(inter-level dielectric)의 층을 포함한다. Unlike aluminum interconnects, which are broken by diffusion of aluminum atoms along grain boundaries, copper interconnect electron transport failure behavior is controlled by diffusion along the surface and interface. In particular, for conventional copper interconnect interconnect designs, the top surface of the copper interconnect typically must have good diffusion barrier properties to prevent copper migration to the surrounding dielectric. It has a layer of pings. The two most commonly used dielectric capping materials are silicon nitride and silicon nitride, which have been deposited by conventional plasma-enhanced chemical vapor deposition (PECVD) techniques. Unfortunately, these PECVD deposited capping materials, together with copper, form a defective interface that increases copper migration along the top surface of the copper interconnect, thus increasing the electron transport breakage rate. Other surfaces of copper interconnect structures typically form barriers or bi-layers (typically metallic) that form a strong interface with copper to limit copper diffusion to inhibit electron transfer effects. , For example, TaN / Ta, TaN / Ru, or Ru). Hereinafter, such a blocking layer or a double layer is referred to as "blocking layer". The layers of wiring interconnection are referred to as interconnect layers, wiring layers or interconnect layers, each layer of wiring interconnection being from one or more metal conductor layers, and from a lower-level substrate or lower interconnect layer and from the same layer of wiring interconnection. And a layer of inter-level dielectric that insulates the metal conductor layer from other metal conductors in.

선택적으로 증착된 금속 캡(cap)을 사용하여 구리 배선의 상면을 캡핑함으로써 구리 배선의 전자이동을 개선하고자 하는 시도가 있었다. 실제로, 선택적 텅스텐 또는 선택적 인화코발트텅스텐(CoWP, cobalt tungsten phosphide) 금속층으로 상부 구리 계면을 캡핑하는 경우에, 구리 전자이동 수명에서의 현저한 개선이 있었음이 보고되었다. 불행하게도, 선택적 금속 캡핑 솔루션을 사용하는 모든 방법은 인접한 절연체 표면의 일부 금속도 증착시킬 가능성이 있으며, 따라서 인접한 금속 배선(line) 사이에 의도하지 않는 누전(leakage)이나 단락(short)을 초래한다. 본 발명은 이들 문제점들 중의 다수를 해결하기 위해서 가스-클러스터 이온 빔 프로세싱을 사용한다.Attempts have been made to improve electromigration of copper interconnects by capping the top surface of the copper interconnects using selectively deposited metal caps. Indeed, when capping the upper copper interface with a selective tungsten or selective cobalt tungsten phosphide (CoWP) metal layer, it has been reported that there is a significant improvement in copper electromigration lifetime. Unfortunately, all methods using selective metal capping solutions are also capable of depositing some metal on adjacent insulator surfaces, resulting in unintended leakage or shorts between adjacent metal lines. . The present invention uses gas-cluster ion beam processing to solve many of these problems.

도 1은 구리 이중 다마신 집적 프로세스(copper dual damascene integration process)에서 일반적으로 사용되는, 선행 기술의 질화규소 캡핑된 구리 인터커넥트의 배선 설계(300)를 도시한 개략도를 보여준다. 이는 제1 구리 배선층(302), 제2 구리 배선층(304), 및 이들 두 개의 구리층을 접속하고 있는 구리 비아 구조(306)를 포함한다. 양 배선층(302, 304) 및 비아 구조(306)의 측벽 및 저부는 모두 차단층(312)으로 라이닝되어 있다. 차단층(312)은 탁월한 확산 차단 특성을 제공하며, 이것이 인접한 절연체 구조 내로의 구리의 확산을 방지하고 또한 구리에 탁월한 저확산 계면을 제공하여 이들의 계면을 따르는 전자이동을 억제한다. 제1 인터 -레벨 유전체층(308) 및 제2 인터-레벨 유전체층(310)은 구리 배선 사이에서 절연을 제공한다. 제1 구리 배선층(302)의 상면 및 제2 구리 배선층(304)의 상면은 각각, 전형적으로 질화규소 또는 질화규소탄소로 이루어지는 절연 차단막(314, 316)으로 피복되어 있다. 이들 절연 차단막(314, 316)은 통상적으로 PECVD에 의해서 증착되며, 이들이 노출된 구리면(copper surface)과 함께 형성하는 계면은 상당한 결함이 있으며, 구리 원자를 이동시키기 위한 신속한 확산 경로를 제공한다. 이러한 선행 기술의 배선 설계에서는, 이들 계면을 따라, 구리 전자이동 동안 거의 모든 바람직하지 않은 재료 이동이 발생한다. 이와 같이 종래의 이중 다마신 구리 인터커넥트에서는, 각각의 인터커넥트 레벨에서, 인터-레벨 유전체층 내에 트렌치(trench) 및 비아(via)를 형성한 다음 구리를 증착시켜 인터커넥트 배선 및 비아를 형성한 후, 화학적 기계적 연마(CMP, chemical mechanical polishing) 기법을 사용하여 전형적으로 수행되는 평탄화(planarization) 단계가 뒤따른다. 평탄화 단계는 인터-레벨 유전체층의 상면으로부터 차단층 재료를 제거하고, 구리 배선층의 상면과 인터-레벨 유전체층의 상면을 동시에 평면으로 만든다. CMP 및 포스트-CMP 브러쉬 세정 프로세스 둘 다에서 부식 방지제가 사용되며, 이들 부식 방지제 및 다른 오염 물질들은 캡핑층을 증착하기 전에 제위치(in-situ)에서의 세정을 통해 구리면으로부터 제거되어야 한다. 제위치 밖(ex-situ)에서의 세정 프로세스를 사용하게 되면 구리면이 부식 및 산화에 약하게 될 가능성이 있다. PECVD 반응기는 전형적으로 절연체 캡핑층을 증착하기 전에 구리면의 제위치 세정을 효과적으로 수행하도록 구성되어 있지 않다. 도 1에는 도시되어 있지 않지만, 배선 설계(300) 는 전형적으로, 집적 회로를 완성하기 위해 전기적인 인터커넥션을 필요로 하는 반응형(active) 및/또는 비반응형(passive) 원소를 함유하는 반도체 기판 상에 형성된다.1 shows a schematic diagram illustrating a wiring design 300 of a silicon nitride capped copper interconnect of the prior art commonly used in a copper dual damascene integration process. This includes a first copper wiring layer 302, a second copper wiring layer 304, and a copper via structure 306 connecting these two copper layers. Sidewalls and bottoms of both wiring layers 302 and 304 and via structure 306 are both lined with a blocking layer 312. The blocking layer 312 provides excellent diffusion blocking properties, which prevents the diffusion of copper into adjacent insulator structures and also provides an excellent low diffusion interface to copper to inhibit electron migration along their interface. The first inter-level dielectric layer 308 and the second inter-level dielectric layer 310 provide insulation between the copper interconnects. The top surface of the first copper wiring layer 302 and the top surface of the second copper wiring layer 304 are respectively covered with insulating blocking films 314 and 316 made of silicon nitride or silicon nitride carbon. These insulating barrier films 314 and 316 are typically deposited by PECVD, and the interfaces they form with the exposed copper surface are significant defects and provide a fast diffusion path for moving copper atoms. In this prior art wiring design, along these interfaces, almost all undesirable material transfer occurs during copper electromigration. As such, in conventional dual damascene copper interconnects, at each interconnect level, trenches and vias are formed in the inter-level dielectric layer and then copper is deposited to form interconnect wiring and vias, followed by chemical mechanical This is followed by a planarization step that is typically performed using chemical mechanical polishing (CMP) techniques. The planarization step removes the barrier layer material from the top surface of the inter-level dielectric layer, and simultaneously makes the top surface of the copper interconnect layer and the top surface of the inter-level dielectric layer flat. Corrosion inhibitors are used in both the CMP and post-CMP brush cleaning processes, and these corrosion inhibitors and other contaminants must be removed from the copper surface by in-situ cleaning prior to depositing the capping layer. Using an ex-situ cleaning process is likely to make the copper surface susceptible to corrosion and oxidation. PECVD reactors are typically not configured to effectively perform in-situ cleaning of the copper surface prior to depositing the insulator capping layer. Although not shown in FIG. 1, wiring design 300 typically contains semiconductors containing active and / or passive elements that require electrical interconnection to complete an integrated circuit. It is formed on a substrate.

도 2는 선행 기술의 선택적 금속-캡핑된 구리 인터커넥트의 배선 설계(400)를 보여준다. 이는 제1 구리 배선층(402), 제2 구리 배선층(404), 및 이들 두 개의 구리층을 접속하고 있는 구리 비아 구조(406)를 포함한다. 양 배선층(402, 404) 및 비아 구조(406)의 측벽 및 저부는 모두 차단층(412)으로 라이닝되어 있다. 차단층(412)은 탁월한 확산 차단 특성을 제공하며, 이것이 인접한 절연체 구조 내로의 구리의 확산을 방지하고 또한 구리에 탁월한 저확산 계면을 제공하여 계면을 따르는 전자이동을 억제한다. 제1 인터-레벨 유전체층(408) 및 제2 인터-레벨 유전체층(410)은 구리 배선 사이에서 절연을 제공한다. 제1 구리 배선층(402)의 상면 및 제2 구리 배선층(404)의 상면은 각각, 전형적으로 화학 기상 증착법(CVD, chemical vapor deposition) 또는 무전해 기법에 의해서 증착되는 선택적 텅스텐 또는 선택적 CoWP로 이루어지는 선택적으로 증착된 금속층(414, 416)으로 캡핑되어 있다. 이러한 종래의 이중 다마신 구리 인터커넥트에서는, 각각의 인터커넥트 레벨에서, 인터-레벨 유전체층 내에 트렌치 및 비아를 형성한 다음 구리를 증착시켜 인터커넥트 배선 및 비아를 형성한 후, 화학적 기계적 연마(CMP) 기법을 사용하여 전형적으로 수행되는 평탄화 단계가 뒤따른다. 평탄화 단계는 인터-레벨 유전체층의 상면으로부터 차단막 재료를 제거하고, 구리 배선층의 상면과 인터-레벨 유전체층의 상면을 동시에 평면으로 만든다. CMP 및 포스트-CMP 브러쉬 세정 프로세스 둘 다에서 부식 방지제가 사용되며, 이들 및 다른 오염 물질들은 캡핑층을 증착하기 전에 구리면으로부터 제거되어야 한다. 구리층의 상부 구리 계면이 텅스텐 또는 CoWP 금속층으로 캡핑된 경우에는, 구리 전자이동 수명에 있어서 상당한 개선이 있었음이 보고되고 있다. 불행하게도, 선택적 금속 캡핑 솔루션을 사용하는 모든 방법은 인접한 절연체면 상에, 예를 들어서 도시한, 원치않는 금속(418)이 증착될 가능성이 있으며, 따라서 인접한 금속 배선 사이에 전기 누전이나 단락을 초래할 수 있다. 선택적 금속 증착 기법이 전자이동을 매우 크게 개선시킬 가망성을 주고 있으나, 인터-레벨 유전체층의 인접 절연체 표면의 원하지 않는 오염 금속의 증착으로 인한 반도체 다이(die)에서의 수율 손실에 대한 가능성이 높기 때문에 제조 단계에서는 광범위하게 채택되지 않고 있다. 도 2에는 도시되어 있지 않지만, 배선 설계(400)는 전형적으로, 집적 회로를 완성하기 위해 전기적인 인터커넥션을 필요로 하는 반응형 및/또는 비반응형 원소를 함유하는 반도체 기판 상에 형성된다. 2 shows a wiring design 400 of prior art selective metal-capped copper interconnects. This includes a first copper wiring layer 402, a second copper wiring layer 404, and a copper via structure 406 connecting these two copper layers. Sidewalls and bottoms of both wiring layers 402 and 404 and via structure 406 are both lined with a blocking layer 412. The blocking layer 412 provides excellent diffusion blocking properties, which prevents the diffusion of copper into adjacent insulator structures and also provides an excellent low diffusion interface to copper to inhibit electron migration along the interface. The first inter-level dielectric layer 408 and the second inter-level dielectric layer 410 provide insulation between the copper interconnects. The top surface of the first copper wiring layer 402 and the top surface of the second copper wiring layer 404 are each selectively made of selective tungsten or selective CoWP which is typically deposited by chemical vapor deposition (CVD) or electroless technique. Capped with the deposited metal layers 414 and 416. In this conventional dual damascene copper interconnect, at each interconnect level, trenches and vias are formed in the inter-level dielectric layer and then copper is deposited to form interconnect wiring and vias, followed by chemical mechanical polishing (CMP) techniques. This is followed by a planarization step that is typically performed. The planarization step removes the barrier material from the top surface of the inter-level dielectric layer, and simultaneously makes the top surface of the copper interconnect layer and the top surface of the inter-level dielectric layer flat. Corrosion inhibitors are used in both the CMP and post-CMP brush cleaning processes, and these and other contaminants must be removed from the copper side before depositing the capping layer. When the upper copper interface of the copper layer is capped with a tungsten or CoWP metal layer, it has been reported that there has been a significant improvement in copper electromigration lifetime. Unfortunately, all methods using selective metal capping solutions are likely to deposit unwanted metal 418, for example, as shown, on adjacent insulator surfaces, thus causing electrical shorts or short circuits between adjacent metal lines. Can be. Selective metal deposition techniques offer the potential to greatly improve electron transport, but are fabricated because of the high potential for yield loss in semiconductor die due to the deposition of unwanted contaminating metals on adjacent insulator surfaces of inter-level dielectric layers. It is not widely adopted at the stage. Although not shown in FIG. 2, wiring design 400 is typically formed on a semiconductor substrate containing reactive and / or non-reactive elements that require electrical interconnection to complete the integrated circuit.

표면 가공을 위해 가스-클러스터 이온 빔을 사용하는 것은 당해 기술에 공지되어 있다(데구치(Deguchi) 등의 미국 특허 제5,814,194호 참조). 본원에서 사용되는 용어 가스-클러스터(gas-cluster)는 표준 온도 및 압력 조건하에서 기상(氣相)인 재료의 나노크기 응집체이다. 이러한 가스-클러스터는 전형적으로 수 개로부터 수천 개까지의 분자가 엉성하게 결합되어 가스-클러스터를 형성하는 응집체로 구성되어 있다. 가스-클러스터는 전자 충격(electron bombardment) 또는 다른 수단에 의해서 이온화될 수 있어, 이들을 제어 가능한 에너지의 지향 빔(directed beam)으로 형성할 수 있다. 이러한 이온은 각각 전형적으로 q·e(여기서, e는 전 자 전하(electronic charge)이고, q는 가스-클러스터 이온의 전하 상태를 나타내는 일(1) 내지 몇 개의 정수이다)의 양전하를 띄고 있다. 이온화되지 않은 가스-클러스터 또한 가스-클러스터 이온 빔 내에 존재할 수 있다. 보다 큰 크기의 가스-클러스터 이온이 종종 가장 유용한데, 그 이유는 분자당 적당한 에너지만을 가지면서도 가스-클러스터 이온당 상당한 에너지를 운반할 수 있는 능력 때문이다. 충돌시에, 가스-클러스터는 각각의 개별적인 분자가 전체 가스-클러스터 이온 에너지의 매우 작은 부분만을 가진 채로 분해된다. 그 결과, 대형 가스-클러스터 이온의 충돌 효과는 상당하지만, 그 효과는 매우 얕은 표면 영역에 제한된다. 이는 종래의 모노머 이온 빔 프로세싱의 특징인 깊은 표면 하에서의 손상을 야기할 가능성없이, 가스-클러스터 이온이 다양한 표면 개질 프로세스에 효과적으로 되도록 한다. 이러한 GCIB의 생성 및 가속을 위한 수단은, 앞서 인용한 참조 문헌(미국 특허 제5,814,194호)에 기재되어 있다. 현재 사용 가능한 가스-클러스터 이온 소스는 광범위한 크기 분포, N(여기서, N은 각각의 가스-클러스터 이온 내에서의 분자의 수를 나타내며, 상기 논의 전반에 걸쳐, 아르곤과 같이 단원자로 이루어진 가스의 경우에는, 상기 단원자 가스의 원자를 분자로 지칭하며, 이러한 단원자 가스의 이온화된 원자는 분자상 이온, 또는 간단하게 모노머 이온(monomer ion)으로 언급하기로 한다)을 갖는 가스-클러스터 이온을 생성한다. GCIB를 사용하여 표면에 충격(bombardment)을 가함으로써 다수의 유용한 표면-가공 효과를 달성할 수 있다. 이들 가공 효과에는 세정(cleaning), 평활화(smoothing), 에칭(etching), 도핑(doping) 및 막 형성 또는 성장이 포함되며, 반드시 이들에 제한되지는 않는다. 알렌(Allen) 등의 미국 특허 제6,537,606호는 공간적인 균일성을 개선하기 위한 초기의 불균일한 박막의 교정 에칭(corrective etching)을 위해 GCIB를 사용하는 것을 교시하고 있다. 미국 특허 제6,537,606호의 전문은 본원에 참고로 인용되어 있다.The use of gas-cluster ion beams for surface processing is known in the art (see US Pat. No. 5,814,194 to Deguchi et al.). As used herein, the term gas-cluster is a nanosize aggregate of materials that is gaseous under standard temperature and pressure conditions. Such gas-clusters typically consist of aggregates in which several to thousands of molecules are loosely bound to form a gas-cluster. The gas-clusters can be ionized by electron bombardment or other means, forming them as directed beams of controllable energy. Each of these ions typically has a positive charge of q · e, where e is electronic charge and q is one (1) to several integers representing the charge state of the gas-cluster ion. Unionized gas-clusters may also be present in the gas-cluster ion beam. Larger sized gas-cluster ions are often the most useful because of their ability to carry significant energy per gas-cluster ion while having only moderate energy per molecule. In a collision, the gas-cluster decomposes with each individual molecule having only a very small fraction of the total gas-cluster ion energy. As a result, the collision effect of large gas-cluster ions is significant, but the effect is limited to a very shallow surface area. This allows gas-cluster ions to be effective in various surface modification processes, without the possibility of causing damage under deep surfaces that is characteristic of conventional monomer ion beam processing. Means for the generation and acceleration of such GCIBs are described in the references cited above (US Pat. No. 5,814,194). Currently available gas-cluster ion sources represent a wide range of sizes, N (where N represents the number of molecules in each gas-cluster ion, and throughout the discussion, for gaseous units such as argon, The atom of the monoatomic gas is referred to as a molecule, and the ionized atom of this monoatomic gas produces a gas-cluster ion having molecular ions, or simply monomer ions). . Many useful surface-processing effects can be achieved by bombarding the surface with GCIB. These processing effects include, but are not necessarily limited to, cleaning, smoothing, etching, doping and film formation or growth. Allen et al., US Pat. No. 6,537,606, teach the use of GCIB for correct etching of initial non-uniform thin films to improve spatial uniformity. The entirety of US Pat. No. 6,537,606 is incorporated herein by reference.

고체 표적의 표면에 에너지를 갖는 가스-클러스터를 충돌시키면, 표적 표면 내부로의 클러스터 원자의 침투는 전형적으로 매우 얕은데, 그 이유는 침투 깊이가 각각의 개별적인 구성 원자의 낮은 에너지에 의해서 제한되고 가스-클러스터 이온 충돌 동안 발생하는 과도 열 효과(transient thermal effect)에 주로 의존하기 때문이다. 가스-클러스터는 충돌시 분열되며, 이후에 개별적인 가스 원자들은 반동(recoil)이 자유롭게 되어 표적의 표면으로부터 이탈할 가능성이 있게 된다. 개별적인 가스 원자의 이탈에 의해 사라지는 에너지 이외에, 충돌 이전의 에너지를 갖는 클러스터의 전체 에너지는 표적 표면의 충돌 영역 내로 축적되게 된다. 표적 충돌 영역의 크기는 클러스터의 에너지에 따라 좌우되지만, 충돌하는 클러스터의 단면 크기 정도이며, 예를 들어, 1000개의 원자로 이루어지는 클러스터에 대해서 직경으로 대략 30옹스트롬(Angstrom) 정도로 작다. 클러스터에 의해 운반되는 전체 에너지의 대부분이 표적 상의 작은 충돌 영역 내로 축적되기 때문에, 충돌 지점에서의 표적 재료 내에서는 매우 심한 열 과도(thermal transient)가 발생한다. 이러한 열 과도는 표적 내로 깊이 진행되는 전도에 의해서 충돌 영역으로부터 에너지가 손실됨에 따라서 신속하게 소산된다. 열 과도의 지속 시간은 표적 재료의 전 도율에 의해서 결정되지만, 전형적으로는 10-6초 미만이다.When impinging an energetic gas-cluster on the surface of a solid target, the penetration of cluster atoms into the target surface is typically very shallow because the penetration depth is limited by the low energy of each individual constituent atom and the gas This is mainly due to the transient thermal effect that occurs during cluster ion collisions. The gas-cluster splits on impact, after which individual gas atoms are free to recoil, possibly leaving the surface of the target. In addition to the energy dissipated by the departure of individual gas atoms, the total energy of the cluster with the energy before the collision will accumulate in the impact zone of the target surface. The size of the target impact zone depends on the energy of the cluster, but is about the size of the cross section of the colliding cluster, for example, as small as approximately 30 Angstroms in diameter for a cluster of 1000 atoms. Since most of the total energy carried by the clusters accumulates in a small impact area on the target, very severe thermal transients occur within the target material at the point of impact. This thermal transient is quickly dissipated as energy is lost from the impact zone by conduction going deep into the target. The duration of thermal transients is determined by the conductivity of the target material, but is typically less than 10 −6 seconds.

가스-클러스터의 충돌 지점 근처에서는, 표적 표면의 대부분이 순간적으로 수백 켈빈(Kelvin) 온도 내지 수천 켈빈 온도에 도달하게 된다. 일례로서, 10keV의 전체 에너지를 갖는 가스-클러스터의 충돌은, 표면 하에서 약 100옹스트롬까지 연장되는 매우 여기된 대략 반구 형상의 영역에 걸쳐서 약 2000 켈빈 온도의 일시적인 온도 증가를 생성할 수 있다고 추정되고 있다. 이러한 높은 온도 과도는 가공물(workpiece)과 가스-클러스터 이온 빔 구성 요소의 혼합 및/또는 반응을 촉진시키며, 따라서 전자이동 수명을 개선시킨다.Near the point of impact of the gas-cluster, most of the target surface instantaneously reaches hundreds of Kelvin temperatures to several thousand Kelvin temperatures. As an example, it is estimated that the collision of a gas-cluster with a total energy of 10 keV can produce a temporary temperature increase of about 2000 Kelvin temperature over a highly excited approximately hemispherical region extending under the surface to about 100 angstroms. . This high temperature transient promotes mixing and / or reaction of the workpiece and gas-cluster ion beam components, thus improving the electromigration lifetime.

에너지를 갖는 가스-클러스터 충돌 지점 아래의 표적 체적 내에서의 상승된 온도 과도의 개시 이후에, 영향을 받은 영역은 신속하게 냉각된다. 이러한 프로세스 동안 가스-클러스터 구성 요소의 일부는 이탈하지만, 나머지는 뒤에 남아 있어 표면에 편입하게 된다. 또한, 원래의 표면 재료의 일부는 스퍼터링 등의 효과에 의해서 제거될 수 있다. 일반적으로, 가스-클러스터의 보다 휘발성이고 불활성인 구성 요소가 더 이탈할 가능성이 높지만, 덜 휘발성이고 더 화학적으로 반응성인 구성 요소가 표면 내로 편입될 가능성이 높다. 실제의 프로세스는 훨씬 더 복잡할 수도 있지만, 가스-클러스터 충돌 지점 및 이를 둘러싸고 있는 영향을 받은 영역을, 가스-클러스터 원자가 간단히 기판면과 상호작용하고 혼합되며, 가스-클러스터 재료가 표면으로부터 이탈되거나 또는 영향을 받은 영역의 깊이까지 표면 내로 주입되는 "멜트 존(melt zone)"이라고 간주하는 것이 편리하다. "주입(infusion)" 또는 "주입하는(infusing)"이라는 용어는, 발명자들에 의해서, 매우 다른 결과를 낳는 매우 다른 프로세스인 이온 "임플랜테이션(implantation)" 또는 "임플랜팅(implanting)"과 구별하기 위해서, 상기 프로세스를 나타내는데 사용된다. 예를 들어, 아르곤 및 크세논과 같은 에너지를 갖는 가스-클러스터 이온 내의 희가스(noble gas)는 휘발성이며 비반응성이므로 상기 영향을 받은 영역으로부터 이탈할 가능성이 높은 반면에, 예를 들어, 탄소, 붕소, 불소, 황, 질소, 산소, 게르마늄 및 규소와 같은 재료들은 덜 휘발성이고/이거나 화학 결합을 더 잘 형성할 수 있으므로 상기 영향을 받은 영역 내에 남아 있을 가능성이 더 높아 기판의 표면에 편입하게 된다.After the onset of elevated temperature transients in the target volume below the gas-cluster impact point with energy, the affected area cools rapidly. During this process, some of the gas-cluster components leave, but others remain behind and incorporate into the surface. In addition, part of the original surface material can be removed by effects such as sputtering. In general, more volatile and inert components of the gas-cluster are more likely to escape, but less volatile and more chemically reactive components are more likely to be incorporated into the surface. The actual process may be much more complicated, but the gas-cluster collision point and the affected area surrounding it, the gas-cluster atoms simply interact and mix with the substrate surface, and the gas-cluster material is released from the surface or It is convenient to consider it as a "melt zone" which is injected into the surface up to the depth of the affected area. The term "infusion" or "infusing" is used by the inventors to refer to ions "implantation" or "implanting" which are very different processes that produce very different results. To distinguish, it is used to represent the process. For example, noble gases in gas-cluster ions with energies such as argon and xenon are volatile and non-reactive, so they are more likely to deviate from the affected areas, for example carbon, boron, Materials such as fluorine, sulfur, nitrogen, oxygen, germanium and silicon are less volatile and / or can form chemical bonds better and are therefore more likely to remain in the affected area and incorporated into the surface of the substrate.

예를 들어, 이에 제한되지는 않지만 아르곤 및 크세논과 같은 불활성 희가스는 덜 휘발성이고/이거나 더 반응성인 원소를 함유하는 가스와 혼합되어 혼합 클러스터를 형성할 수 있다. 이러한 가스-클러스터는 가스-클러스터 이온 빔 발생용 소스 가스(source gas)로서 적절한 소스 가스 혼합물을 사용함으로써, 또는 두 개 이상의 가스(또는 가스 혼합물)를 가스-클러스터 이온 발생 소스로 공급하고 이들이 소스 내에서 혼합되도록 함으로써, 후술되는 바와 같이 기존의 가스-클러스터 이온 빔 프로세싱 장비를 사용하여 형성될 수 있다. 최근의 출판물에서, 볼랜드(Borland) 등("USJ and strained-Si formation using infusion doping and deposition", Solid State Technology, May 2004, p. 53)은, GCIB 주입이 기판 재료로부터 표면의 증착된 층으로 매끄럽게 변화하는 변환(graded) 표면층을 생성할 수 있음을 보여 주고 있다.For example, but not limited to, inert rare gases such as argon and xenon can be mixed with gases containing less volatile and / or more reactive elements to form mixed clusters. Such gas-clusters can be supplied by using a suitable source gas mixture as a source gas for gas-cluster ion beam generation, or by supplying two or more gases (or gas mixtures) to the gas-cluster ion generating source and they By mixing in, it can be formed using conventional gas-cluster ion beam processing equipment as described below. In a recent publication, Borland et al. ("USJ and strained-Si formation using infusion doping and deposition", Solid State Technology, May 2004, p. 53) reported that GCIB implantation from the substrate material into the deposited layer of the surface. It is shown that a smoothly changing graded surface layer can be created.

따라서, 본 발명의 한 가지 목적은, 선택적인 금속 증착 캡을 사용할 필요없이, 바람직하지 않은 전자이동 효과에 대한 민감성(susceptibility)을 감소시키기 위해서 인터커넥트 구조 내의 구리 배선을 캡핑하는 방법을 제공하는 것이다.Accordingly, one object of the present invention is to provide a method of capping copper interconnects in an interconnect structure to reduce susceptibility to undesirable electromigration effects without the need for using a selective metal deposition cap.

본 발명의 추가의 목적은, 인접한 유전체 재료의 절연 또는 누전 특성에 영향을 미치지 않고, 인터커넥트 구조 내에서의 구리 인터커넥션을 효과적으로 캡핑하는 방법을 제공하는 것이다.It is a further object of the present invention to provide a method for effectively capping copper interconnects in interconnect structures without affecting the insulating or short-circuit characteristics of adjacent dielectric materials.

본 발명의 또 다른 목적은, 프로세스 수율이 높고 전자이동 효과로 인한 파손의 민감성이 감소된, 회로용의 멀티-레벨 구리 인터커넥트를 형성하는 방법을 제공하는 것이다.It is a further object of the present invention to provide a method of forming a multi-level copper interconnect for a circuit, in which the process yield is high and the sensitivity of breakage due to the electron transfer effect is reduced.

본 발명의 추가의 목적은, 프로세스 수율이 높고 전자이동 파손에 대한 민감성이 감소된, 집적 회로용의 개선된 캡핑된 구리 인터커넥트층을 제공하는 것이다.It is a further object of the present invention to provide an improved capped copper interconnect layer for integrated circuits, with high process yield and reduced susceptibility to electromigration breakdown.

본 발명의 또 다른 목적은, 가스-클러스터 이온 빔 프로세싱에 의한 방법의 하나 이상의 단계를 수행하기 위해 구성된 클러스터 기기(cluster tool)에서 프로세스 단계들을 통합하여 바람직하지 않은 오염을 피함으로써, 본 발명의 방법에 따라, 집적 회로용의 구리 인터커텍트 구조의 개선된 캡핑을 수행하기 위한 개선된 장치를 제공하는 것이다. It is another object of the present invention to avoid undesirable contamination by incorporating process steps in a cluster tool configured to perform one or more steps of the method by gas-cluster ion beam processing. Accordingly, there is provided an improved apparatus for performing improved capping of copper interconnect structures for integrated circuits.

본 발명의 하나의 양태는 하나 이상의 구리 인터커넥트 표면(interconnect surface), 및 유전체 재료를 피복하는 차단층 재료의 하나 이상의 표면을 포함하는 구조 상에 캡핑 구조를 형성하는 방법을 제공하며, 이는 감압 체임버(reduced pressure chamber) 내에 상기 구조를 배치하는 단계, 감압 체임버 내에 가속 캡핑 GCIB를 형성하는 단계 및 하나 이상의 구리 인터커넥트 표면 중의 하나 이상 및 유전체 재료를 피복하는 차단층 재료의 하나 이상의 표면 중의 하나 이상에 가속 캡핑 GCIB를 지향시켜, 가속 캡핑 GCIB가 지향되는 하나 이상의 구리 인터커넥트 표면에 하나 이상의 캡핑 구조를 형성하는 단계를 포함할 수 있다.One aspect of the present invention provides a method of forming a capping structure on a structure comprising at least one copper interconnect surface, and at least one surface of a barrier layer material covering the dielectric material, which is a pressure reducing chamber ( placing the structure in a reduced pressure chamber, forming an accelerated capping GCIB in a reduced pressure chamber, and at least one of the one or more copper interconnect surfaces and at least one surface of the barrier layer material covering the dielectric material. Directing the GCIB to form one or more capping structures on one or more copper interconnect surfaces to which the accelerated capping GCIB is directed.

차단층 재료는 제1 두께를 가질 수 있으며, 지향 단계는 층을 유전체 재료를 피복하는 차단층 재료의 하나 이상의 표면 중의 하나 이상에 주입할 수 있으며, 주입된 층은 제1 두께보다는 작은 제2 두께를 갖는다. 상기 방법은 주입된 층, 및 유전체 재료를 피복하는 차단층 재료를 에칭시키는 단계를 추가로 포함할 수 있다. 에칭 단계는 감압 체임버 내에 가속 에칭 GCIB를 형성하는 단계 및 가속 에칭 GCIB를 유전체 재료를 피복하는 차단층 재료의 하나 이상의 표면에 지향시키는 단계를 추가로 포함할 수 있다. The barrier layer material may have a first thickness, and the directing step may inject the layer to one or more of the one or more surfaces of the barrier layer material covering the dielectric material, the injected layer having a second thickness less than the first thickness. Has The method may further comprise etching the implanted layer and the barrier layer material covering the dielectric material. The etching step may further comprise forming an accelerated etch GCIB in the pressure reducing chamber and directing the accelerated etch GCIB to one or more surfaces of the barrier layer material covering the dielectric material.

상기 방법은, 캡핑 GCIB 형성 및 지향 단계 이전에, 감압 체임버 내에 가속 세정 GCIB를 형성하는 단계 및 하나 이상의 구리 인터커넥트 표면 중의 하나 이상 및 유전체 재료를 피복하는 차단층 재료의 하나 이상의 표면 중의 하나 이상에 가속 세정 GCIB를 지향시켜, 가속 세정 GCIB가 지향되는 하나 이상의 표면을 세정하는 단계를 추가로 포함할 수 있다. 가속 세정 GCIB를 형성하는 단계는 Ar, N2, NH3 및 H2로 이루어진 그룹으로부터 선택된 하나 이상의 가스의 분자들로부터 가스-클러스터 이온을 발생시킴을 추가로 포함할 수 있다. 가속 세정 GCIB를 형성하는 단계는 약 3 내지 약 50kV의 가속 전위로 세정 GCIB 가스 클러스터 이온을 가속시킴을 추가로 포함할 수 있다. 가속 세정 GCIB를 지향하는 단계는 약 1×1014 내지 약 1×1017 가스-클러스터 이온/㎠ 범위의 조사량을 하나 이상의 구리 인터커넥트 표면 중의 하나 이상 및 유전체 재료를 피복하는 차단층 재료의 하나 이상의 표면 중의 하나 이상으로 전달할 수 있다. The method includes the steps of forming an accelerated cleaning GCIB in a reduced pressure chamber and at least one of the at least one copper interconnect surface and at least one of the at least one surface of the barrier layer material covering the dielectric material prior to capping GCIB forming and directing steps. Directing the cleaning GCIB to clean one or more surfaces to which the accelerated cleaning GCIB is directed. Forming the accelerated clean GCIB may further comprise generating gas-cluster ions from molecules of one or more gases selected from the group consisting of Ar, N 2 , NH 3 and H 2 . Forming the accelerated clean GCIB may further include accelerating the clean GCIB gas cluster ions to an acceleration potential of about 3 to about 50 kV. Directing the accelerated cleaning GCIB may include a dosage ranging from about 1 × 10 14 to about 1 × 10 17 gas-cluster ions / cm 2, at least one of the at least one copper interconnect surface and at least one surface of the barrier layer material covering the dielectric material. Can be delivered to one or more of the following.

상기 방법은 형성된 하나 이상의 캡핑 구조를 오버레잉하는 하나 이상의 절연층을 형성하는 단계를 추가로 포함할 수 있다. 하나 이상의 절연층을 형성하는 단계는 PECVD 증착법을 사용할 수 있다. 형성된 하나 이상의 절연층은 탄화규소, 질화규소 및 질화규소탄소로 이루어진 그룹으로부터 선택된 하나의 재료로 이루어질 수 있다. 하나 이상의 캡핑 구조를 오버레잉하는 하나 이상의 절연층을 형성하는 단계는 감압 체임버 내에 가속 증착 GCIB를 형성하는 단계 및 하나 이상의 구리 인터커넥트 표면에 가속 증착 GCIB를 지향시켜, 하나 이상의 절연층을 증착시키는 단계를 추가로 포함할 수 있다. 하나 이상의 캡핑 구조를 오버레잉하는 하나 이상의 절연층은 유전체 확산 차단막일 수 있다. The method may further comprise forming one or more insulating layers overlying the formed one or more capping structures. Forming one or more insulating layers may use PECVD deposition. The at least one insulating layer formed may be made of one material selected from the group consisting of silicon carbide, silicon nitride and silicon nitride carbon. Forming at least one insulating layer overlying at least one capping structure includes forming an accelerated deposition GCIB in a reduced pressure chamber and directing the accelerated deposition GCIB to at least one copper interconnect surface, thereby depositing at least one insulating layer. It may further comprise. One or more insulating layers overlying one or more capping structures may be a dielectric diffusion barrier.

본 발명의 또 다른 양태는 감압 대기에서 하나 이상의 웨이퍼를 가공하기 위한 클러스터 기기를 제공하며, 상기 클러스터 기기는 하나 이상의 웨이퍼를 클러스터 기기 내로 및/또는 클로스터 기기 바깥으로 이동하기 위한 하나 이상의 고정장치(lock), 하나 이상의 운반 체임버, 하나 이상의 GCIB 가공 체임버, 하나 이상의 세정 체임버, 및 하나 이상의 웨이퍼를 체임버에서 체임버로 이송시키는 데 적합한 하나 이상의 웨이퍼 운반 장치를 포함할 수 있다. Another aspect of the invention provides a cluster device for processing one or more wafers in a reduced pressure atmosphere, the cluster device comprising one or more fixtures for moving one or more wafers into and / or out of the cluster device. lock), one or more conveying chambers, one or more GCIB processing chambers, one or more cleaning chambers, and one or more wafer conveying devices suitable for transferring one or more wafers from the chamber to the chamber.

GCIB 가공 체임버는 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합할 수 있으며, 세정 체임버는 구리 캡핑 프로세스 이전에 세정을 수행하는 데 적합할 수 있다. 세정 체임버는 플라즈마 세정 체임버일 수 있다. GCIB 가공 체임버는 하나 이상의 웨이퍼의 적어도 일부에 유전체 확산 차단막을 형성하는 데 적합할 수 있다. The GCIB processing chamber may be suitable for performing a copper capping process on at least a portion of one or more wafers, and the cleaning chamber may be suitable for performing cleaning prior to the copper capping process. The cleaning chamber may be a plasma cleaning chamber. The GCIB processing chamber may be suitable for forming a dielectric diffusion barrier in at least a portion of one or more wafers.

본 발명의 또 다른 양태는 감압 대기에서 하나 이상의 웨이퍼를 가공하기 위한 클러스터 기기를 제공하며, 상기 클러스터 기기는 하나 이상의 웨이퍼를 클러스터 기기 내로 및/또는 클로스터 기기 바깥으로 이동하기 위한 하나 이상의 고정장치, 하나 이상의 운반 체임버, 하나 이상의 GCIB 가공 체임버, 하나 이상의 증착 체임버, 및 하나 이상의 웨이퍼를 체임버에서 체임버로 이송시키는 데 적합한 하나 이상의 웨이퍼 운반 장치를 포함할 수 있다. Another aspect of the invention provides a cluster device for processing one or more wafers in a reduced pressure atmosphere, the cluster device comprising one or more fixtures for moving one or more wafers into and / or out of the cluster device; One or more conveying chambers, one or more GCIB processing chambers, one or more deposition chambers, and one or more wafer conveying devices suitable for transferring one or more wafers from the chamber to the chamber.

GCIB 가공 체임버는 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합할 수 있으며, 증착 체임버는 하나 이상의 웨이퍼의 적어도 일부 위의 캡핑된 구리 위에 유전체 확산 차단막을 형성하는 데 적합할 수 있다. 증착 체임버는 PECVD 증착 체임버일 수 있다. GCIB 가공 체임버는 구리 캡핑 프로세스 이전에 세정을 수행하는 데 적합할 수 있다. The GCIB processing chamber may be suitable for performing a copper capping process on at least a portion of one or more wafers, and the deposition chamber may be suitable for forming a dielectric diffusion barrier over capped copper on at least a portion of the one or more wafers. The deposition chamber may be a PECVD deposition chamber. GCIB processing chambers may be suitable for performing cleaning prior to the copper capping process.

본 발명의 또 다른 양태는 감압 대기에서 하나 이상의 웨이퍼를 가공하기 위한 클러스터 기기를 제공하며, 상기 클러스터 기기는 하나 이상의 웨이퍼를 클러스터 기기 내로 및/또는 클로스터 기기 바깥으로 이동하기 위한 하나 이상의 고정장치, 하나 이상의 운반 체임버, 하나 이상의 GCIB 가공 체임버, 하나 이상의 증착 체임버, 하나 이상의 세정 체임버, 및 하나 이상의 웨이퍼를 체임버에서 체임버로 이송시키는 데 적합한 하나 이상의 웨이퍼 운반 장치를 포함할 수 있다. Another aspect of the invention provides a cluster device for processing one or more wafers in a reduced pressure atmosphere, the cluster device comprising one or more fixtures for moving one or more wafers into and / or out of the cluster device; One or more conveying chambers, one or more GCIB processing chambers, one or more deposition chambers, one or more cleaning chambers, and one or more wafer conveying devices suitable for transferring one or more wafers from the chamber to the chamber.

GCIB 가공 체임버는 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합할 수 있으며, 세정 체임버는 구리 캡핑 프로세스 이전에 세정을 수행하는 데 적합할 수 있다. GCIB 가공 체임버는 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합할 수 있고, 증착 체임버는 캡핑된 구리 위에 유전체 확산 차단막을 형성하는 데 적합할 수 있다. 증착 체임버는 PECVD 증착 체임버일 수 있다. 세정 체임버는 플라즈마 세정 체임버일 수 있다. GCIB 가공 체임버는 유전체 확산 차단막을 형성하는 데 적합할 수 있다. GCIB 가공 체임버는 구리 캡핑 프로세스 이전에 하나 이상의 웨이퍼의 적어도 일부를 세정하는 데 적합할 수 있다. The GCIB processing chamber may be suitable for performing a copper capping process on at least a portion of one or more wafers, and the cleaning chamber may be suitable for performing cleaning prior to the copper capping process. The GCIB processing chamber may be suitable for performing a copper capping process on at least a portion of one or more wafers, and the deposition chamber may be suitable for forming a dielectric diffusion barrier over the capped copper. The deposition chamber may be a PECVD deposition chamber. The cleaning chamber may be a plasma cleaning chamber. GCIB processed chambers may be suitable for forming dielectric diffusion barriers. The GCIB processing chamber may be suitable for cleaning at least a portion of one or more wafers prior to the copper capping process.

본 발명의 또 다른 양태는 감압 대기에서 하나 이상의 웨이퍼를 가공하기 위한 클러스터 기기를 제공하며, 상기 클러스터 기기는 하나 이상의 웨이퍼를 클러스터 기기 내로 및/또는 클로스터 기기 바깥으로 이동하기 위한 하나 이상의 고정장치, 다수의 GCIB 가공 체임버, 및 하나 이상의 웨이퍼를 체임버에서 체임버로 이송시키는 데 적합한 하나 이상의 웨이퍼 운반 장치를 포함할 수 있다. Another aspect of the invention provides a cluster device for processing one or more wafers in a reduced pressure atmosphere, the cluster device comprising one or more fixtures for moving one or more wafers into and / or out of the cluster device; A plurality of GCIB processing chambers and one or more wafer conveying devices suitable for transferring one or more wafers from the chamber to the chamber may be included.

GCIB 가공 체임버는 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합할 수 있으며, GCIB 가공 체임버는 캡핑된 구리 위에 유전체 확산 차단막을 형성하는 데 적합할 수 있다. GCIB 가공 체임버는 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합할 수 있고, GCIB 가공 체임버는 구리 캡핑 프로세스 이전에 세정 프로세스를 수행하는 데 적합할 수 있다. GCIB 가공 체임버는 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합할 수 있으며, GCIB 가공 체임버는 구리 캡핑 프로세스 이전에 세정을 수행하는 데 적합할 수 있고, GCIB 가공 체임버는 캡핑된 구리 위에 유전체 확산 차단막을 형성하는 데 적합할 수 있다. The GCIB processed chamber may be suitable for performing a copper capping process on at least a portion of one or more wafers, and the GCIB processed chamber may be suitable for forming a dielectric diffusion barrier over the capped copper. The GCIB processing chamber may be suitable for performing a copper capping process on at least a portion of one or more wafers, and the GCIB processing chamber may be suitable for performing a cleaning process prior to the copper capping process. The GCIB processing chamber may be suitable for performing a copper capping process on at least a portion of one or more wafers, the GCIB processing chamber may be suitable for performing cleaning prior to the copper capping process, and the GCIB processing chamber may be placed on the capped copper It may be suitable for forming a dielectric diffusion barrier.

본 발명의 또 다른 양태는 하나 이상의 구리 인터커넥트 표면 및 하나 이상의 유전체 표면을 포함하는 구조 위에 캡핑 구조를 형성하는 방법을 제공하며, 상기 방법은 감압 체임버 내에 구조를 배치하는 단계, 감압 체임버 내에 가속 캡핑 GCIB를 형성하는 단계, 및 하나 이상의 구리 인터커넥트 표면 및 하나 이상의 유전체 표면 중의 하나 이상에 가속 캡핑 GCIB를 지향시켜, 가속 캡핑 GCIB가 지향되는 하나 이상의 표면에 하나 이상의 캡핑 구조를 형성하는 단계를 포함할 수 있다. Another aspect of the invention provides a method of forming a capping structure over a structure comprising at least one copper interconnect surface and at least one dielectric surface, the method comprising disposing a structure in a reduced pressure chamber, accelerated capping GCIB in the reduced pressure chamber. And directing the accelerated capping GCIB to one or more of the one or more copper interconnect surfaces and the one or more dielectric surfaces to form one or more capping structures on the one or more surfaces to which the accelerated capping GCIB is directed. .

가속 캡핑 GCIB를 형성하는 단계는 구리 표면으로 주입될 때 전기 절연성 재료를 형성하고 유전체 표면으로 주입될 때 전기 절연성 재료를 형성하는 원소로부터 가스-클러스터 이온을 발생시킴을 추가로 포함할 수 있으며, 형성된 하나 이상의 캡핑 구조는 전기 절연성 캡핑 구조이다. 가속 캡핑 GCIB를 형성하는 단계는 구리 표면으로 주입될 때에는 전기 전도성 재료를 형성하고 유전체 표면으로 주입될 때에는 전기 절연성 재료를 형성하는 원소로부터 가스-클러스터 이온을 발생시킴을 추가로 포함할 수 있으며, 형성된 하나 이상의 캡핑 구조는 구리 인터커넥트 부분의 조사된 영역 위의 전기 전도성 캡핑 구조 및 유전체 부분의 조사된 영역 위의 전기 절연성 캡핑 구조 중의 하나 이상을 포함할 수 있다. 가속 캡핑 GCIB를 형성하는 단계는 희가스 또는 희가스의 혼합물로부터 가스 클러스터 이온을 발생시킴을 추가로 포함할 수 있으며, 형성된 하나 이상의 캡핑 구조는 구리 인터커넥트 부분의 조사된 영역 위에 적어도 전기 전도성 캡핑 구조를 포함할 수 있다. 가속 캡핑 GCIB를 형성하는 단계는 Ar 또는 Xe 또는 Ar과 Xe의 혼합물로부터 가스 클러스터 이온을 발생시킴을 추가로 포함할 수 있으며, 형성된 하나 이상의 캡핑 구조는 구리 인터커넥트 부분의 조사된 영역 위에 적어도 전기 전도성 캡핑 구조를 포함할 수 있다. Forming the accelerated capping GCIB may further include generating an electrically insulating material when injected into the copper surface and generating gas-cluster ions from the element that forms the electrically insulating material when injected into the dielectric surface, At least one capping structure is an electrically insulating capping structure. Forming the accelerated capping GCIB may further include generating gas-cluster ions from the element that forms an electrically conductive material when injected into the copper surface and that forms an electrically insulating material when injected into the dielectric surface, the formed The one or more capping structures can include one or more of an electrically conductive capping structure over the irradiated area of the copper interconnect portion and an electrically insulating capping structure over the irradiated area of the dielectric portion. Forming the accelerated capping GCIB may further include generating gas cluster ions from the rare gas or mixture of rare gases, wherein the one or more capping structures formed comprise at least an electrically conductive capping structure over the irradiated area of the copper interconnect portion. Can be. Forming the accelerated capping GCIB may further comprise generating gas cluster ions from Ar or Xe or a mixture of Ar and Xe, wherein the one or more capping structures formed are at least electrically conductive capping over the irradiated area of the copper interconnect portion. It may include a structure.

본 발명의 또 다른 양태는 하나 이상의 구리 인터커넥트 표면 및 차단층 재료로 피복된 하나 이상의 유전체층 영역을 포함하는 집적 회로 인터커텍션 층 위에 구리 캡핑 구조를 형성하는 방법을 제공하며, 상기 방법은 하나 이상의 구리 인터커넥트 표면에 하나 이상의 캡핑 구조를 형성하는 단계, 및 하나 이상의 구리 인터커넥트 표면에 하나 이상의 캡핑 구조를 형성한 후, 하나 이상의 유전체층 영역의 하나 이상을 피복하는 차단층 재료를 제거하는 단계를 포함할 수 있다. 형성 단계는 가속 캡핑 GCIB를 형성하는 단계 및 가속 캡핑 GCIB를 하나 이상의 구리 인터커넥트 표면의 하나 이상에 지향시키는 단계를 추가로 포함할 수 있다. 제거 단계는 가속 에칭 GCIB를 형성하는 단계 및 가속 에칭 GCIB를 차단층 재료에 지향시키는 단계를 포함할 수 있다. Another aspect of the invention provides a method of forming a copper capping structure over an integrated circuit interconnection layer comprising at least one copper interconnect surface and at least one dielectric layer region coated with a barrier layer material, the method comprising at least one copper interconnect structure. Forming one or more capping structures on the interconnect surface, and after forming one or more capping structures on the one or more copper interconnect surfaces, removing the barrier layer material covering one or more of the one or more dielectric layer regions. . The forming step may further include forming the accelerated capping GCIB and directing the accelerated capping GCIB to one or more of the one or more copper interconnect surfaces. The removing may include forming an accelerated etch GCIB and directing the accelerated etch GCIB to the barrier layer material.

본 발명의 또 다른 양태는 하나 이상의 구리 인터커넥트 표면 및 차단층 재료로 피복된 하나 이상의 유전체층 영역을 포함하는 집적 회로 인터커텍션 층 위에 구리 캡핑 구조를 형성하는 방법을 제공하며, 상기 방법은 제1 빔 가속 전위를 사용하여 가속 캡핑 GCIB를 형성하는 단계, 가속 캡핑 GCIB를 하나 이상의 구리 인터커넥트 표면의 하나 이상에 지향시켜 하나 이상의 구리 인터커넥트 표면에 하나 이상의 캡핑 구조를 형성하는 단계, 제1 빔 가속 전위보다 낮은 제2 빔 가속전위를 사용하여 가속 에칭 GCIB를 형성하는 단계, 및 하나 이상의 캡핑 구조 및 차단층 재료에 가속 에칭 GCIB를 지향시켜 차단층 재료를 제거하는 단계를 포함할 수 있다. Another aspect of the invention provides a method of forming a copper capping structure over an integrated circuit interconnection layer comprising at least one copper interconnect surface and at least one dielectric layer region coated with a barrier layer material, the method comprising: a first beam Forming an acceleration capping GCIB using an acceleration potential, directing the acceleration capping GCIB to one or more of the one or more copper interconnect surfaces to form one or more capping structures on the one or more copper interconnect surfaces, the lower than the first beam acceleration potential Forming an accelerated etch GCIB using the second beam acceleration potential, and directing the accelerated etch GCIB to the one or more capping structures and the barrier layer material to remove the barrier layer material.

본 발명의 또 다른 양태는 하나 이상의 구리 인터커넥트 표면 및 차단층 재료로 피복된 하나 이상의 유전체층 영역을 포함하는 집적 회로 인터커텍션 층 위에 구리 캡핑 구조를 형성하는 방법을 제공하며, 상기 방법은 가속 캡핑 GCIB를 형성하는 단계, 가속 캡핑 GCIB를 하나 이상의 구리 인터커넥트 표면의 하나 이상에 지향시켜 하나 이상의 구리 인터커넥트 표면에 하나 이상의 캡핑 구조를 형성하는 단계, 가속 에칭 GCIB를 형성하는 단계, 및 하나 이상의 캡핑 구조 및 차단층 재료에 가속 에칭 GCIB를 지향시켜 하나 이상의 캡핑 구조를 전부 제거하지 않고도 하나 이상의 유전체층 영역 중의 하나 이상을 피복하는 차단층 재료를 제거하는 단계를 포함할 수 있다. Another aspect of the invention provides a method of forming a copper capping structure on an integrated circuit interconnection layer comprising at least one copper interconnect surface and at least one dielectric layer region coated with a barrier layer material, the method comprising an accelerated capping GCIB Forming at least one capping structure on at least one copper interconnect surface, directing the accelerated capping GCIB to at least one of the at least one copper interconnect surface, forming at least one capping structure, and blocking at least one capping structure and blocking. Directing the accelerated etching GCIB to the layer material to remove the barrier layer material covering one or more of the one or more dielectric layer regions without removing all of the one or more capping structures.

본 발명의 또 다른 양태는 하나 이상의 캡핑된 구리 인터커넥트 표면 및 하나 이상의 유전체층 영역을 포함하는, 상기한 방법 단계들 중의 하나 이상에 의해 제조된 집적 회로 인터커넥션 층을 제공한다. Another aspect of the invention provides an integrated circuit interconnection layer made by one or more of the above described method steps, comprising one or more capped copper interconnect surfaces and one or more dielectric layer regions.

본 발명의 또 다른 양태는 하나 이상의 캡핑된 구리 인터커넥트 표면 및 하나 이상의 유전체층 영역을 포함하는, 상기한 방법 단계들 중의 하나 이상에 의해 제조된 집적 회로 인터커넥션 층을 제공한다. Another aspect of the invention provides an integrated circuit interconnection layer made by one or more of the above described method steps, comprising one or more capped copper interconnect surfaces and one or more dielectric layer regions.

본 발명의 추가의 양태는 클러스터 기기 시스템에서 감압 대기를 유지하면서 클러스터 기기 시스템에서 반도체 웨이퍼를 가공하는 방법을 제공하며, 상기 방법은 클러스터 기기의 제1 GCIB 가공 체임버에서 GCIB 프로세스를 사용하여 반도체 웨이퍼 상의 유전체 재료 위의 구리 인터커넥트 표면 및 차단층 재료 표면에 캡핑층을 형성하는 단계, 클러스터 기기의 감압 대기 내에서 반도체 웨이퍼를 클러스터 기기의 제1 GCIB 가공 체임버에서 제2 GCIB 가공 체임버로 운반하는 단계 및 제2 GCIB 가공 체임버에서 GCIB 에칭 프로세스를 사용하여 유전체층으로부터 차단층 재료를 제거하는 단계를 포함할 수 있다. A further aspect of the present invention provides a method of processing a semiconductor wafer in a cluster device system while maintaining a reduced pressure atmosphere in the cluster device system, the method using a GCIB process in a first GCIB processing chamber of the cluster device on a semiconductor wafer. Forming a capping layer on the copper interconnect surface and the barrier layer material surface over the dielectric material, transferring the semiconductor wafer from the first GCIB processing chamber of the cluster device to the second GCIB processing chamber in a reduced pressure atmosphere of the cluster device; And removing the barrier layer material from the dielectric layer using a GCIB etching process in the 2 GCIB processing chamber.

상기 방법은, 형성 단계 이전에, 세정 프로세스를 사용하여 클러스터 기기의 제3 가공 체임버에서 구리 인터커넥트 표면 및 차단층 재료 표면을 세정하는 단계 및 클러스터 기기의 감압 대기 내에서 클러스터 기기의 제3 가공 체임버에서 클러스터 기기의 제1 GCIB 가공 체임버로 반도체 웨이퍼를 운반하는 단계를 추가로 포함할 수 있다. 클러스터 기기의 제3 가공 체임버는 GCIB 가공 체임버일 수 있으며, 세정 프로세스는 GCIB 세정 프로세스를 포함한다. The method comprises, prior to the forming step, cleaning the copper interconnect surface and the barrier layer material surface in a third processing chamber of the cluster device using a cleaning process and in the third processing chamber of the cluster device in a reduced pressure atmosphere of the cluster device. The method may further include transferring the semiconductor wafer to the first GCIB processing chamber of the cluster device. The third processing chamber of the cluster device may be a GCIB processing chamber, and the cleaning process includes a GCIB cleaning process.

본 발명의 추가의 양태는 클러스터 기기 시스템에서 감압 대기를 유지하면서 클러스터 기기 시스템에서 반도체 웨이퍼를 가공하는 방법을 제공하며, 상기 방법은 클러스터 기기의 제1 GCIB 가공 체임버에서 GCIB 프로세스를 사용하여 반도체 웨이퍼 상의 구리 인터커넥트 표면 및 유전체 재료 위에 캡핑층을 형성하는 단계, 클러스터 기기의 감압 대기 내에서 반도체 웨이퍼를 클러스터 기기의 제1 GCIB 가공 체임버에서 제2 가공 체임버로 운반하는 단계 및 유전체 막-형성 프로세스를 사용하여 클러스터 기기의 제2 가공 체임버에서 캡핑층 상에 유전체 확산 차단막을 형성하는 단계를 포함할 수 있다. A further aspect of the present invention provides a method of processing a semiconductor wafer in a cluster device system while maintaining a reduced pressure atmosphere in the cluster device system, the method using a GCIB process in a first GCIB processing chamber of the cluster device on a semiconductor wafer. Forming a capping layer over the copper interconnect surface and the dielectric material, transferring the semiconductor wafer from the first GCIB processing chamber of the cluster device to the second processing chamber in a reduced pressure atmosphere of the cluster device, and using a dielectric film-forming process And forming a dielectric diffusion barrier on the capping layer in the second processing chamber of the cluster device.

상기 방법은, 형성 단계 이전에, 세정 프로세스를 사용하여 클러스터 기기의 제3 가공 체임버에서 구리 인터커넥트 표면 및 차단층 재료 표면을 세정하는 단계 및 클러스터 기기의 감압 대기 내에서 클러스터 기기의 제3 가공 체임버에서 클러스터 기기의 제1 GCIB 가공 체임버로 반도체 웨이퍼를 운반하는 단계를 추가로 포함할 수 있다. 클러스터 기기의 제3 가공 체임버는 GCIB 가공 체임버일 수 있으며, 세정 프로세스는 GCIB 세정 프로세스를 포함한다. 클러스터 기기의 제2 가공 체임버는 GCIB 가공 체임버일 수 있으며, 유전체 막-형성 프로세스는 GCIB 주입 프로세스를 포함할 수 있다. The method comprises, prior to the forming step, cleaning the copper interconnect surface and the barrier layer material surface in a third processing chamber of the cluster device using a cleaning process and in the third processing chamber of the cluster device in a reduced pressure atmosphere of the cluster device. The method may further include transferring the semiconductor wafer to the first GCIB processing chamber of the cluster device. The third processing chamber of the cluster device may be a GCIB processing chamber, and the cleaning process includes a GCIB cleaning process. The second processing chamber of the cluster device may be a GCIB processing chamber, and the dielectric film-forming process may include a GCIB injection process.

본 발명의 다른 목적 및 추가의 목적과 함께, 본 발명을 더욱 양호하게 이해시키기 위해서, 첨부한 도면과 발명의 상세한 설명을 참고하기로 한다.In order to better understand the present invention, together with other and further objects of the present invention, reference is made to the accompanying drawings and the detailed description of the invention.

도 1은 선행 기술의 질화규소 캡핑된 구리 인터커넥트 배선 설계를 나타내는 개략도이다.1 is a schematic diagram showing a prior art silicon nitride capped copper interconnect wiring design.

도 2는 선행 기술의 선택적 금속 캡핑된 구리 인터커넥트 배선 설계를 나타 내는 개략도이다.2 is a schematic diagram illustrating a prior art selective metal capped copper interconnect wiring design.

도 3은 선행 기술의 GCIB 가공 장치의 기본 요소를 나타내는 개략도이다.3 is a schematic view showing the basic elements of a GCIB processing apparatus of the prior art.

도 4A, 도 4B, 도 4C, 도 4D 및 도 4E는 본 발명의 제1 양태에 따른 GCIB 주입에 의한 구리 인터커넥트 캡핑 프로세스를 나타내는 개략도이다.4A, 4B, 4C, 4D and 4E are schematic diagrams illustrating a copper interconnect capping process by GCIB injection in accordance with a first aspect of the present invention.

도 5A, 도 5B, 도 5C, 도 5D, 도 5E, 도 5F, 도 5G, 도 5H, 도 5I, 도 5J, 도 5K 및 5L은 본 발명의 제2 양태에 따른 GCIB 주입 및 증착을 사용한 구리 인터커넥트 캡핑 프로세스를 나타내는 개략도이다.5A, 5B, 5C, 5D, 5E, 5F, 5G, 5H, 5I, 5J, 5K, and 5L show copper using GCIB implantation and deposition according to a second aspect of the present invention. A schematic diagram illustrating the interconnect capping process.

도 6A, 도 6B, 도 6C, 도 6D, 도 6E, 도 6F 및 도 6G는 본 발명의 제3 양태에 따른 GCIB 주입 및 증착을 사용한 구리 인터커넥트 캡핑 프로세스를 나타내는 개략도이다.6A, 6B, 6C, 6D, 6E, 6F and 6G are schematic diagrams illustrating a copper interconnect capping process using GCIB implantation and deposition in accordance with a third aspect of the present invention.

도 7A, 도 7B, 도 7C, 도 7D, 도 7E, 도 7F 및 도 7G는 본 발명의 제4 양태에 따른 GCIB 주입 및 증착을 사용한 구리 인터커넥트 캡핑 프로세스를 나타내는 개략도이다.7A, 7B, 7C, 7D, 7E, 7F and 7G are schematic diagrams illustrating a copper interconnect capping process using GCIB implantation and deposition in accordance with a fourth aspect of the present invention.

도 8A 및 도 8B는 본 발명의 몇 가지 예시적인 양태에 사용될 수 있는 예시적인 클러스터 기기의 다이아그램을 나타낸다.8A and 8B show diagrams of example cluster devices that may be used in some exemplary aspects of the invention.

도 9는 본 명세서에 기재된 양태의 또 다른 예를 나타내는 표이다. 9 is a table showing another example of an embodiment described in the present specification.

발명의 특정 양태의 상세한 설명Detailed Description of Certain Aspects of the Invention

도 3은 선행 기술에서 공지된 형태의 GCIB 가공 장치(100)를 위한 전형적인 구성의 기본 요소를 개략적으로 나타낸 도면으로서, 다음과 같이 설명할 수 있다: 진공 용기(102)는 소스 체임버(104), 이온화/가속 체임버(106) 및 가공 체임버(108)의 세 개의 연통 체임버로 분할되어 있다. 이러한 세 개의 체임버는 각각 진공 펌핑 시스템(146a, 146b, 146c)에 의해서 적합한 동작 압력으로 배기된다. 제1 가스 저장 실린더(111) 내에 저장된 제1 응축성 소스 가스(112)(예를 들면, 아르곤이나 질소 또는 미리 혼합한 가스 혼합물)는 제1 가스 차단 밸브(115)를 통해서 및 제1 가스 계량 밸브(113) 및 가스 공급 튜브(114)를 통해서 가압하에 대기 체임버(stagnation chamber)(116)로 도입된다. 임의의 제2 가스 저장 실린더(203) 내에 저장된 임의의 제2 응축성 소스 가스(232)(예를 들면, 이산화탄소, 산소 또는 미리 혼합된 가스 혼합물)는 제2 가스 차단 밸브(236)를 통해서 및 제2 가스 계량 밸브(234)를 통해서 가압하에 임의로 도입된다. 양 소스 가스를 사용하는 경우에, 이들은 가스 공급 튜브(114) 및 대기 체임버(116) 내에서 혼합된다. 대기 체임버(116) 내에서의 가스 또는 가스 혼합물은 적절한 형상을 가진 노즐(110)을 통해 실질적으로 더 낮은 압력의 진공으로 배출된다. 초음속 가스 제트(118)가 생성된다. 제트 내에서의 팽창으로 인해 초래되는 냉각은 가스 제트(118)의 일부를 가스-클러스터로 응축시키며, 이 가스-클러스터는 각각 수 개 내지는 수천 개의 약하게 결합된 원자 또는 분자로 이루어져 있다. 가스 스키머 구경(gas skimmer aperture)(120)은 가스-클러스터 제트로부터 가스-클러스터 제트로 응축되지 못한 가스 분자들을 부분적으로 분리하여, 이러한 보다 높은 압력이 불리한 다운스트림 영역(예를 들면, 이온화 장치(122), 고전압 전극(126) 및 가공 체임버(108))에서의 압력을 최소화한다. 적합한 응축성 소스 가스(112)에는 아르곤, 질소, 이산화탄소, 산소 및 기타 가스 및/또는 가스 혼합물이 포함되나, 이들로만 제한되지는 않는다.FIG. 3 is a schematic illustration of the basic elements of a typical configuration for a GCIB processing apparatus 100 of the type known in the prior art, which may be described as follows: The vacuum vessel 102 is a source chamber 104, It is divided into three communicating chambers, an ionization / acceleration chamber 106 and a processing chamber 108. These three chambers are each evacuated to a suitable operating pressure by vacuum pumping systems 146a, 146b and 146c. The first condensable source gas 112 (eg, argon or nitrogen or a premixed gas mixture) stored in the first gas storage cylinder 111 is passed through the first gas shutoff valve 115 and the first gas metering. It is introduced into the atmospheric chamber 116 under pressure through the valve 113 and the gas supply tube 114. Any second condensable source gas 232 (eg, carbon dioxide, oxygen, or premixed gas mixture) stored in any second gas storage cylinder 203 is passed through the second gas shutoff valve 236 and It is optionally introduced under pressure through the second gas metering valve 234. In the case of using both source gases, they are mixed in the gas supply tube 114 and the atmospheric chamber 116. The gas or gas mixture in the atmospheric chamber 116 is evacuated to a substantially lower pressure vacuum through the nozzle 110 having a suitable shape. Supersonic gas jet 118 is generated. Cooling resulting from expansion in the jet condenses a portion of the gas jet 118 into a gas-cluster, which consists of several or thousands of weakly bonded atoms or molecules each. The gas skimmer aperture 120 partially separates gas molecules that have not condensed from the gas-cluster jet into the gas-cluster jet, so that this higher pressure is disadvantageous in downstream regions (eg, ionizers). 122), the high voltage electrode 126 and the processing chamber 108 are minimized. Suitable condensable source gases 112 include, but are not limited to, argon, nitrogen, carbon dioxide, oxygen and other gases and / or gas mixtures.

가스-클러스터를 함유하는 초음속 가스 제트(118)가 형성된 후에, 가스-클러스터는 이온화 장치(122) 내에서 이온화된다. 이온화 장치(122)는 전형적으로, 가스 제트(118)가 이온화 장치(122)를 통과하는 영역에서, 하나 이상의 백열광 필라멘트(124)로부터의 열전자를 생성하여 상기 가스 제트(118) 내의 가스-클러스터와 충돌하도록 이 전자를 가속하고 지향시키는 전자 충돌형 이온화 장치이다. 전자 충돌은 가스-클러스터로부터 전자를 방출시켜, 가스-클러스터의 일부가 확실하게 이온화되도록 한다. 일부 가스-클러스터는 방출된 하나 이상의 전자를 가질 수 있으며, 다중 이온화될 수 있다. 적절하게 바이어스가 걸린 고전압 전극 세트(126)는 이온화 장치로부터 가스-클러스터 이온을 추출하여, 빔을 형성하며, 이후에 소정의 에너지(전형적으로 수백 V 내지 수십 kV의 가속 전위)로 이들을 가속하고, 이들을 집중시켜 GCIB(128)를 형성한다. 필라멘트 전력 공급 장치(136)는 이온화 장치 필라멘트(124)를 가열하기 위한 필라멘트 전압(Vf)을 제공한다. 양극 전력 공급 장치(134)는 필라멘트(124)로부터 방출된 열전자를 가속하기 위한 양극 전압(VA)을 제공하여, 이들에 가스-클러스터 함유 가스 제트(118)를 조사하여 이온을 생성하도록 한다. 추출용 전력 공급 장치(138)는 고전압 전극에 바이어스를 걸기 위한 추출용 전압(VE)을 제공하여, 이온화 장치(122)의 이온화 영역으로부터 이온을 추출하 여 GCIB(128)를 형성한다. 가속기 전력 공급 장치(140)는 이온화 장치(122)에 대해서 고전압 전극에 바이어스를 걸기 위한 가속 전압(VAcc)을 제공하여, 전체 GCIB 가속 전위가 VAcc와 동일하게 되도록 한다. 고전압 전극에 집중 전압(예를 들면, VL1 및 VL2)의 바이어스를 걸어 GCIB(128)를 집중시키기 위해 하나 이상의 렌즈 전력 공급 장치(예를 들면, 도시된 142 및 144)가 제공될 수 있다.After the supersonic gas jet 118 containing the gas-cluster is formed, the gas-cluster is ionized in the ionizer 122. Ionizer 122 typically produces hot electrons from one or more incandescent filaments 124 in the region where gas jet 118 passes through ionizer 122 to produce gas-clusters within gas jet 118. It is an electron collision type ionization device that accelerates and directs these electrons so as to collide with them. Electron impingement releases electrons from the gas-cluster so that a portion of the gas-cluster is ionized reliably. Some gas-clusters may have one or more electrons emitted and may be multiple ionized. A suitably biased high voltage electrode set 126 extracts gas-cluster ions from the ionizer, forming a beam, and then accelerating them with a predetermined energy (typically an acceleration potential of several hundred V to several tens of kV), These are concentrated to form GCIB 128. The filament power supply 136 provides a filament voltage V f for heating the ionizer filament 124. The anode power supply 134 provides an anode voltage V A for accelerating hot electrons emitted from the filament 124 to irradiate the gas-cluster containing gas jet 118 to produce ions. The extraction power supply 138 provides an extraction voltage V E for biasing the high voltage electrode to extract ions from the ionization region of the ionizer 122 to form the GCIB 128. The accelerator power supply 140 provides an acceleration voltage V Acc for biasing the high voltage electrode to the ionizer 122 so that the overall GCIB acceleration potential is equal to V Acc . One or more lens power supplies (eg, 142 and 144 shown) may be provided to bias the concentrated voltage (eg, V L1 and V L2 ) to the high voltage electrode to concentrate the GCIB 128. .

반도체 웨이퍼 또는 GCIB 가공에 의해 가공될 수 있는 다른 가공물일 수 있는 가공물(152)은, GCIB(128)의 경로 내에 배치될 수 있는 가공물 홀더(150)에서 유지된다. 대부분의 응용에서, 대형 가공물은 공간적으로 균일한 결과를 갖도록 가공된다고 간주되기 때문에, 공간적으로 균질한 결과를 생성하기 위해서는 스캐닝(scanning) 시스템이 큰 영역에 걸쳐서 GCIB(128)를 균일하게 스캐닝하는 것이 바람직하다.The workpiece 152, which may be a semiconductor wafer or other workpiece that may be processed by GCIB processing, is held in the workpiece holder 150, which may be placed in the path of the GCIB 128. In most applications, large workpieces are considered to be processed to have a spatially uniform result, so in order to produce a spatially homogeneous result, the scanning system needs to scan the GCIB 128 uniformly over a large area. desirable.

GCIB(128)는 고정되어 있고, GCIB 축(129)을 가지고 있으며, 가공물(152)은 GCIB(128)를 통해 기계적으로 스캐닝되어, 가공물(152)의 표면에 걸쳐서 GCIB(128)의 효과를 분배한다. GCIB 128 is fixed, has a GCIB axis 129, and workpiece 152 is mechanically scanned through GCIB 128 to distribute the effect of GCIB 128 over the surface of workpiece 152. do.

X-스캔 작동기(202)는 X-스캔 모션(208) 방향(종이면에 대해서 좌우 방향)으로 가공물 홀더(150)의 선형 운동(linear motion)을 제공한다. Y-스캔 작동기(204)는 전형적으로 X-스캔 모션(208)에 대해서 직각인 Y-스캔 모션(210) 방향으로 가공물 홀더(150)의 선형 운동을 제공한다. X-스캐닝 및 Y-스캐닝 모션의 조합은 GCIB(128)를 통하여 래스터(raster) 형식의 스캐닝 모션으로 가공물 홀더(150) 에 의해서 유지된 가공물(152)을 이동시켜, 가공물(152)을 가공하기 위한 GCIB(128)에 의해서 가공물(152)의 표면에 균일하게 (또는 다르게는 프로그램에 의해) 조사되도록 한다. 가공물 홀더(150)는 GCIB(128)의 축에 대해서 각도를 두고 가공물(152)을 배치하므로, GCIB(128)는 가공물(152) 표면에 대해서 빔 입사각을 가지고 있다. 빔의 입사각(206)은 90°일수도 있고, 또는 다른 각도일 수도 있지만, 전형적으로는 90° 또는 거의 90°이다. Y-스캐닝 동안, 가공물(152) 및 가공물 홀드(150)는 도시된 위치로부터, 각각 도면 부호 152A 및 150A로 표시된 다른 위치 "A"로 이동한다. 두 위치 사이의 이동에 있어서, 가공물(152)은 GCIB(128)를 관통하여 스캔되고, 양 극단 위치에서, GCIB(128)의 경로에서 완전하게 벗어나서 이동한다(오버-스캔됨)는 것을 주지해야 한다. 도 3에서는 명확하게 도시되어 있지 않지만, 유사한 스캐닝 및 오버-스캔은 (통상적으로) X-스캔 모션(208) 방향에 대해 직각인 방향(종이면에 대해서 좌우 방향)으로 수행된다.The X-scan actuator 202 provides a linear motion of the workpiece holder 150 in the direction of the X-scan motion 208 (left and right relative to the paper surface). Y-scan actuator 204 provides linear movement of workpiece holder 150 in the direction of Y-scan motion 210, which is typically perpendicular to X-scan motion 208. The combination of X-scanning and Y-scanning motion moves the workpiece 152 held by the workpiece holder 150 in a raster-type scanning motion through the GCIB 128 to process the workpiece 152. To the surface of the workpiece 152 uniformly (or alternatively by program) by the GCIB 128. Since the workpiece holder 150 places the workpiece 152 at an angle with respect to the axis of the GCIB 128, the GCIB 128 has a beam incidence angle with respect to the workpiece 152 surface. The angle of incidence 206 of the beam may be 90 °, or may be another angle, but is typically 90 ° or nearly 90 °. During Y-scanning, the workpiece 152 and the workpiece hold 150 move from the position shown to other positions “A”, denoted by 152A and 150A, respectively. Note that in the movement between the two positions, the workpiece 152 is scanned through the GCIB 128 and, at both extreme positions, moves completely off the path of the GCIB 128 (over-scanned). do. Although not clearly shown in FIG. 3, similar scanning and over-scanning is performed (normally) in a direction perpendicular to the X-scan motion 208 direction (left and right relative to the longitudinal plane).

빔 전류 센서(218)는 GCIB(218)의 경로 내에 가공물 홀더(150)를 지나서 배치되어 있어, 가공물 홀더(150)가 GCIB(128)의 경로에서 벗어나서 스캐닝하고 있을 때 GCIB(128)의 샘플을 차단한다. 빔 전류 센서(218)는 전형적으로 패러데이 컵(faraday cup) 등이며, 빔-진입 개구를 제외하고는 폐쇄되어 있고, 전형적으로 전기 절연성 마운트(212)를 사용하여 진공 용기(102)의 벽에 고정되어 있다.The beam current sensor 218 is disposed in the path of the GCIB 218 past the workpiece holder 150 to scan a sample of the GCIB 128 when the workpiece holder 150 is scanning off the path of the GCIB 128. Block it. Beam current sensor 218 is typically a Faraday cup or the like, closed except for the beam-entry opening, and is typically fastened to the wall of vacuum vessel 102 using electrically insulating mount 212. It is.

마이크로컴퓨터 기반의 제어기일 수 있는 제어기(220)는 전기 케이블(216)을 통해 X-스캔 작동기(202) 및 Y-스캔 작동기(204)와 접속하고, X-스캔 작동기(202) 및 Y-스캔 작동기(204)를 제어하여 가공물(152)이 GCIB(128) 내로 들어가거나 벗어 나게 하며, GCIB(128)에 대해서 균일하게 가공물(152)를 스캔하여 GCIB(128)에 의한 가공물(152)의 목적하는 가공을 달성한다. 제어기(220)는 도선(214)에 의해서 빔 전류 센서(218)가 수집한 샘플 빔 전류를 수신하고, 이에 의해서 GCIB를 모니터링하여, 소정의 목적하는 조사량이 전달되었을 때에 GCIB(128)로부터 가공물(152)을 제거함으로써 가공물(152)에 의해 수신되는 GCIB 조사량을 제어한다.The controller 220, which may be a microcomputer based controller, connects with the X-scan actuator 202 and the Y-scan actuator 204 via an electrical cable 216, and the X-scan actuator 202 and the Y-scan The actuator 204 is controlled to allow the workpiece 152 to enter or exit the GCIB 128 and to scan the workpiece 152 uniformly with respect to the GCIB 128 so that the purpose of the workpiece 152 by the GCIB 128 is achieved. To achieve processing. The controller 220 receives the sample beam current collected by the beam current sensor 218 by the lead wire 214 and thereby monitors the GCIB so that the workpiece (from the GCIB 128 when the desired desired dose is delivered) By removing 152, the amount of GCIB radiation received by the workpiece 152 is controlled.

도 4A는 본 발명의 제1 양태(예를 들면, 두 개의 구리 배선층 인터커넥트 레벨을 나타내고 있지만, 이에 제한되지는 않는다)에 따른 GCIB 주입을 사용하여 캡핑된 구리 인터커넥트의 배선 설계(500)를 나타내는 개략도이다. 상기 개략도는 제1 구리 배선층(502), 제2 구리 배선층(504) 및 두 개의 구리 층을 접속하는 구리 비아 구조(506)를 지지하는 기판(501)을 나타내고 있으며, 이들 각각은 통상의 기법을 사용하여 형성될 수 있다. 기판(501)은 전형적으로 전기적인 인터커넥션을 필요로 하는 반응형 및/또는 비반응형 원소를 함유하는 (가능하게는 하위의 인터커넥트 레벨을 포함하는) 반도체 기판이다. 양 구리 배선층(502, 504) 및 비아 구조(506)의 측벽 및 저부는 통상의 기법을 사용하여 형성될 수 있는 TaN/Ta 또는 다른 통상의 차단층(512)으로 라이닝되어 있다. 제1 인터-레벨 유전체층(508) 및 제2 인터-레벨 유전체층(510)은 구리 배선층 및 다른 부재 사이에 전기 절연을 제공하며, 통상의 기법을 사용하여 형성될 수 있다. 제1 구리 배선층(502)의 상면과 제1 인터-레벨 유전체층(508)의 상면 및 제2 구리 배선층(504)의 상면과 제2 인터-레벨 유전체층(510)의 상면은 모두 GCIB 가공에 의해서 캡핑되어 캡핑막(514, 516, 518)을 형성한다. 각각의 인터커넥션 레벨의 상면에서 별도의 GCIB 캡핑 프로세스 를 수행하는 것이 바람직하다. 통상의 이중 다마신 구리 인터커넥트에서는, 인터-레벨 유전체층 내에 트렌치 및 비아를 형성한 다음 구리를 증착시켜 인터커넥트 배선 및 비아를 형성한 후에, 화학적 기계적 연마(CMP) 기법을 사용하여 노출된 구리 및 인터-레벨 유전체층 재료 표면에서 평탄화(planarization) 단계가 전형적으로 수행된다. 부식 방지제는 CMP 기법 및 포스트-CMP 브러쉬 세정 프로세스 둘 다에서 연마될 표면에 사용되며, 캡핑층을 형성하기 바로 전에 제위치 세정(본원에서 사용되는 "제위치(in-situ)"는 동일한 감압 대기에서 세정이 일어나는 것을 의미하며, 이때 캡핑 증착은, 세정 및 캡핑 단계 사이에서 대기 압력으로 되돌아가지 않으며 세정 단계 및 캡핑 단계 사이에서 세정된 표면의 재오염 기회가 감소되도록 수행된다)을 사용하여 구리 및 유전체 표면으로부터 (다른 오염 물질과 함께) 제거하는 것이 바람직하다. PECVD 반응기는 전형적으로 절연체 캡핑층 증착에 앞서 구리 표면의 효과적인 제위치 세정을 수행하도록 구성되어 있지 않다. PECVD 시스템과는 달리, 예를 들면, 가공 장치(100)와 같은 GCIB 가공 시스템은 용이하고 전형적으로 연속적인 제위치 세정 및 캡핑을 달성할 수 있도록 구성되어 있다. 이하에서 설명되는 것과 같이, 예를 들면, 플라즈마 세정 프로세스 또는, 바람직하게는, GCIB 가공과 같은 종래의 건식 세정 프로세스에 의해서, 바람직하게는 제위치에서 수행될 수 있는, 노출된 구리 및 인터-레벨 유전체 표면의 세정 이후에, GCIB 주입 프로세스를 사용하여 평탄화된 표면을 (구리 및 노출된 인터-레벨 유전체를 동시에) 캡핑한다.4A is a schematic diagram illustrating a wiring design 500 of a capped copper interconnect using GCIB implantation in accordance with a first aspect of the present invention (eg, showing, but not limited to, two copper interconnect layer interconnect levels). to be. The schematic diagram shows a substrate 501 for supporting a first copper wiring layer 502, a second copper wiring layer 504 and a copper via structure 506 connecting two copper layers, each of which employs conventional techniques. Can be formed using. Substrate 501 is typically a semiconductor substrate (possibly including a lower interconnect level) containing reactive and / or non-reactive elements that require electrical interconnection. Sidewalls and bottoms of both copper interconnect layers 502 and 504 and via structure 506 are lined with TaN / Ta or other conventional blocking layers 512 that can be formed using conventional techniques. The first inter-level dielectric layer 508 and the second inter-level dielectric layer 510 provide electrical insulation between the copper wiring layer and other members, and can be formed using conventional techniques. The top surface of the first copper wiring layer 502 and the top surface of the first inter-level dielectric layer 508 and the top surface of the second copper wiring layer 504 and the top surface of the second inter-level dielectric layer 510 are both capped by GCIB processing. To form capping films 514, 516, and 518. It is desirable to perform a separate GCIB capping process on top of each interconnection level. In conventional dual damascene copper interconnects, trenches and vias are formed in the inter-level dielectric layer and then copper is deposited to form interconnect wiring and vias, followed by exposure to copper and interconnects using chemical mechanical polishing (CMP) techniques. A planarization step is typically performed at the level dielectric layer material surface. Corrosion inhibitors are used on surfaces to be polished in both CMP techniques and post-CMP brush cleaning processes, and in situ cleaning ("in-situ" as used herein) is performed at the same reduced pressure atmosphere just prior to forming the capping layer. Means that cleaning occurs at the copper and copper capping depositions, wherein the capping deposition does not return to atmospheric pressure between the cleaning and capping steps and is performed to reduce the chance of recontamination of the cleaned surface between the cleaning and capping steps). It is desirable to remove (along with other contaminants) from the dielectric surface. PECVD reactors are typically not configured to perform effective in situ cleaning of the copper surface prior to insulator capping layer deposition. Unlike PECVD systems, for example, GCIB processing systems such as processing apparatus 100 are configured to achieve easy and typically continuous in-situ cleaning and capping. As described below, the exposed copper and inter-levels, which may preferably be performed in place, for example by a plasma cleaning process or, preferably, conventional dry cleaning processes such as GCIB processing. After cleaning the dielectric surface, the GCIB implantation process is used to cap the planarized surface (copper and exposed inter-level dielectric simultaneously).

도 4B는 배선 설계(500)의 예비 단계(500B)를 보여준다. 도시된 단계에서, 인터커넥트 레벨은 기판(501) 상에 형성된다. 인터커넥트 레벨은 통상의 기법을 사용하여 기판 상에 증착되어진 제1 인터-레벨 유전체(508)를 포함한다. 통상의 트렌치 및 비아는 제1 인터-레벨 유전체(508) 내에 형성되어 있으며, 통상의 차단층(512)으로 라이닝되어 있다. 구리는 통상의 기법을 이용하여 트렌치와 비아 내에 증착된다. 상기 구조의 상면은 통상의 프로세스를 사용하여 평탄화 및 세정된다. 제1 구리 배선층(502) 및 제1 인터-레벨 유전체층(508)의 상면에는 잔류 오염 물질(503)이 있다. 이 단계에서 및 각각의 후속하는 인터커넥트 레벨의 대응하는 단계에서(하나 이상의 인터커넥트 레벨을 가정함), 예를 들면, 플라즈마 세정 프로세스 또는 GCIB 세정 프로세스와 같은, 바람직하게는 제위치의 통상의 건식 세정 프로세스가 수행될 수 있다. GCIB 세정은 세정하고자 하는 표면(들)을 바람직하게는 약 3kV 내지 약50kV 범위의 빔 가속 전위(VAcc)를 사용하고, 약 5×1013 내지 약 5×1016 이온/㎠ 범위의 전체 가스-클러스터 이온 조사량으로 Ar, N2, NH3 또는 H2 가스의 분자 또는 이들의 혼합물로 이루어진 GCIB 클러스터 이온으로 조사함을 포함한다. 당해 기술분야의 숙련가들은 본 발명이 이들 예시적인 가스로만 제한되는 것이 아니며, 구리 표면으로부터 포스트-CMP 잔류물, 구리 산화물 및 다른 오염 물질을 제거하는 다른 가스 또는 가스 혼합물로도 실시할 수 있음을 인지할 것이다. 본 발명에 필수적이지는 않지만, 이러한 GCIB 세정 프로세스는 제위치 세정 프로세스인 것이 바람직하다.4B shows a preliminary step 500B of the wiring design 500. In the step shown, the interconnect level is formed on the substrate 501. The interconnect level includes a first inter-level dielectric 508 deposited on a substrate using conventional techniques. Conventional trenches and vias are formed in the first inter-level dielectric 508 and lined with conventional blocking layers 512. Copper is deposited in trenches and vias using conventional techniques. The top surface of the structure is planarized and cleaned using conventional processes. There is residual contaminant 503 on top of the first copper interconnect layer 502 and the first inter-level dielectric layer 508. At this stage and in the corresponding stage of each subsequent interconnect level (assuming one or more interconnect levels), for example, a conventional dry cleaning process, preferably in place, such as a plasma cleaning process or a GCIB cleaning process Can be performed. GCIB cleaning uses a beam acceleration potential (V Acc ) of the surface (s) to be cleaned, preferably in the range of about 3 kV to about 50 kV, and a total gas in the range of about 5 × 10 13 to about 5 × 10 16 ions / cm 2. Irradiating with GCIB cluster ions consisting of molecules of Ar, N 2 , NH 3 or H 2 gas or mixtures thereof in a cluster ion dosage. Those skilled in the art recognize that the present invention is not limited to these exemplary gases, but may be practiced with other gases or gas mixtures that remove post-CMP residues, copper oxides and other contaminants from the copper surface. something to do. Although not essential to the present invention, it is preferred that this GCIB cleaning process is an in-situ cleaning process.

도 4C는 GCIB 세정 단계 이후의 배선 설계(500)의 단계(500C)를 보여준다. 제1 구리 배선층(502) 및 제1 인터-레벨 유전체층(508)의 상면에서 오염 물질을 세정하고, 캡핑 단계용으로 준비한다. 이 단계에서 및 각각의 후속하는 인터커넥트 레벨의 대응하는 단계에서(하나 이상의 인터커넥트 레벨을 가정함), GCIB 캡핑 프로세스가 수행된다. GCIB 캡핑 프로세스는 원래의 노출된 유전체 및/또는 구리 표면 내로 주입시 절연 재료를 형성하는 원소로 이루어진 GCIB로 제1 구리 배선층(502) 및/또는 제1 인터-레벨 유전체층(508)의 상면을 조사함을 포함한다. 예를 들면, C, N, O, Si, B 또는 Ge, 또는 이들의 혼합물로 이루어진 가스-클러스터 이온 원소를 갖는 GCIB가 적합하며, 구리 상에, 예를 들면, Si3N4, SiCN, CuCO3 및 BN과 같은 변환 캡핑막을 형성할 수 있다. 구리 및/또는 인접한 절연체로 주입될 때 적합한 유전체 재료를 형성하는 다른 원소 및 조합도 사용 가능하다. 예를 들어, CH4, SiH4, NH3, N2, CO2, B2H6, GeH4 및 이들의 혼합물과 같은 소스 가스를 사용할 수 있다. 이러한 가스는 그 순수한 형태로 또는, 예를 들면, Ar 또는 Xe와 같은 불활성 가스와 혼합하여 사용하여 클러스터 이온을 형성할 수 있다. 도 3의 GCIB 가공 장치(100)를 참조하면, 주입을 달성하기 위해서, 약 3kV 내지 약 50kV 범위의 빔 가속 전위(VAcc) 및 약 1×1014 내지 약 1×1017 이온/㎠ 범위의 전체 가스-클러스터 이온 조사량을 사용할 수 있다.4C shows step 500C of wiring design 500 after the GCIB cleaning step. The contaminants are cleaned on the top surfaces of the first copper interconnect layer 502 and the first inter-level dielectric layer 508 and prepared for the capping step. At this stage and at the corresponding stage of each subsequent interconnect level (assuming one or more interconnect levels), a GCIB capping process is performed. The GCIB capping process irradiates the top surface of the first copper interconnect layer 502 and / or the first inter-level dielectric layer 508 with GCIB made of original exposed dielectric and / or elements that form an insulating material upon injection into the copper surface. It includes. For example, GCIB having a gas-clustered ionic element consisting of C, N, O, Si, B or Ge, or mixtures thereof is suitable, and on copper, for example, Si 3 N 4 , SiCN, CuCO A conversion capping film such as 3 and BN can be formed. Other elements and combinations that form suitable dielectric materials when injected into copper and / or adjacent insulators may also be used. For example, source gases such as CH 4 , SiH 4 , NH 3 , N 2 , CO 2 , B 2 H 6 , GeH 4 and mixtures thereof can be used. Such gases can be used in their pure form or mixed with an inert gas such as, for example, Ar or Xe to form cluster ions. Referring to the GCIB processing apparatus 100 of FIG. 3, in order to achieve implantation, the beam acceleration potential (V Acc ) in the range of about 3 kV to about 50 kV and in the range of about 1 × 10 14 to about 1 × 10 17 ions / cm 2 The total gas-cluster ion dosage can be used.

도 4D는 GCIB 캡핑 단계 이후의 배선 설계(500)의 단계(500D)를 보여준다. 구리 표면 및/또는 인접한 인터-레벨 유전체 표면에서, GCIB 프로세스의 특징인 충 돌 에너지 및 열 과도가 GCIB에 노출된 구리 배선 및/또는 인접한 인터-레벨 유전체 구조의 상면으로 주입되어, 각각 캡핑층(514, 516)을 형성한다. 캡핑층(514, 516)은 각각 임의로, 유전체 차단막으로서 작용하는 상층부를 추가로 포함할 수 있다. 형성 프로세스의 초기 부분 동안, 혼합된 구리/GCIB 종 조성의 변환층(514A)은 구리 표면으로 주입된다. 이러한 혼합된 층은 이후에 증착되는 모든 유전체 차단막(514B) 및 아래에 놓인 구리 사이에 변환 계면을 제공하며, 이에 의해 계면에서의 구리 확산을 제한하고 전자이동 수명을 개선한다. 이후에 증착되는 유전체 차단(514B) 층은 통상의 PECVD에 의해서 증착되는 별도의 추가적인 막일 수 있지만, 간단히 말해 프로세스가 (증가된 조사량으로) 주입 프로세스로부터 순수 증착 프로세스까지 진행할 때까지 혼합된 변환층을 초기에 생성하는 캡핑 GCIB 조사 프로세스를 계속하여 구리의 주입면에서 혼합층 위에 유전체 재료를 증착시키는 단계인 GCIB 캡핑 주입 단계의 연장으로서 GCIB에 의해서 증착되는 것이 바람직하다. 초기에 주입된 혼합 변환층(514A)은 캡핑층으로서 동작하며, GCIB 조사가 계속됨에 따라서, 후속하여 부가적으로 유전체 재료를 증착하면 증착된 유전체 차단막(514B)을 형성한다. 이는 혼합된 변환층으로 인해 구리 인터커넥트와 일체화되는 유전체막을 형성하며, 따라서 우수한 전자이동 수명을 포함하여 개선된 계면 특성을 초래한다. 캡핑층(514)을 형성하는 동일한 (또는 다른) 캡핑 GCIB는 바람직하게는 인터-레벨 유전체(508) 상에 캡핑층(516)을 형성한다. 캡핑층(514)과 같이, 캡핑층(516)은 이중층일 수 있다. 캡핑층(516)은 초기에는 표면에 혼합된 유전체/GCIB 종 조성의 혼합된 변환층을 형성하며, 계속되는 GCIB 프로세스 또는 추가적인 별도 의 (예를 들면, PECVD) 증착에 의해서, 증착된 유전체 차단막을 또한 포함할 수 있다. 예를 들어, 연장된 GCIB 프로세스를 사용하여 유전체 차단막(514B)을 형성하지 않은 경우에 또는 특히 두꺼운 유전체 차단막(514B)이 필요한 경우에는, 주입된 캡핑층(514A) 또는 캡핑층(516)은 임의로 PECVD Si3N4, SiCN 또는 SiC와 같은 종래의 절연층으로 오버-캡핑되어, 부가적인 구리 확산 차단용의 유전체 차단막 또는 비아 에칭-중지(via etch-stop) 특성을 위한 유전체 차단막을 제공할 수 있다. 캡핑 단계 및 유전체 차단막 형성 단계 이후에, 필요에 따라, 통상의 기법을 사용하여 부가적인 레벨의 인터커넥트를 추가할 수 있다.4D shows step 500D of wiring design 500 after the GCIB capping step. At the copper surface and / or adjacent inter-level dielectric surfaces, the collision energy and thermal transients characteristic of the GCIB process are injected into the top surface of the copper wiring and / or adjacent inter-level dielectric structures exposed to the GCIB, respectively, so that the capping layer ( 514, 516. The capping layers 514 and 516 may each optionally further include an upper portion that acts as a dielectric barrier. During the initial part of the formation process, the conversion layer 514A of mixed copper / GCIB species composition is injected into the copper surface. This mixed layer provides a conversion interface between all subsequent dielectric barrier films 514B and underlying copper, thereby limiting copper diffusion at the interface and improving electromigration lifetime. The dielectric barrier 514B layer deposited thereafter may be a separate additional film deposited by conventional PECVD, but in short, the mixed conversion layer is passed until the process proceeds from the implantation process (at increased dosage) to the pure deposition process. It is desirable to deposit by GCIB as an extension of the GCIB capping implantation step, which continues the initial capping GCIB irradiation process and deposits a dielectric material over the mixed layer at the implantation surface of copper. The initially injected mixed conversion layer 514A acts as a capping layer, and as GCIB irradiation continues, subsequent deposition of additional dielectric material forms a deposited dielectric barrier film 514B. This results in a dielectric film that is integrated with the copper interconnect due to the mixed conversion layer, thus resulting in improved interfacial properties, including good electrophoretic lifetime. The same (or other) capping GCIB forming capping layer 514 preferably forms capping layer 516 on inter-level dielectric 508. Like capping layer 514, capping layer 516 may be a bilayer. The capping layer 516 initially forms a mixed conversion layer of dielectric / GCIB species composition mixed on the surface, and may also deposit the dielectric barrier film deposited by a subsequent GCIB process or additional separate (eg, PECVD) deposition. It may include. For example, when the dielectric barrier 514B is not formed using an extended GCIB process, or particularly when a thick dielectric barrier 514B is required, the injected capping layer 514A or capping layer 516 may optionally Over-capping with conventional insulating layers such as PECVD Si 3 N 4 , SiCN or SiC can provide dielectric barriers for additional copper diffusion barriers or dielectric barriers for via etch-stop properties. have. After the capping step and the dielectric barrier film forming step, additional levels of interconnect can be added, if desired, using conventional techniques.

도 4E는 (유전체 차단를 포함하여) GCIB 캡핑된 제1 인터커넥트 레벨 상에 제2 인터커넥트 레벨을 추가한 후의 배선 설계(500)의 단계(500E)를 보여준다. 이 단계에서, 제2 인터커넥트 레벨은 캡핑층(514, 516) 상에 형성된다. 제2 인터커넥트 레벨은 통상의 기법을 사용하여 캡핑층(514, 516) 상에 증착되어진 제2 인터-레벨 유전체(510)로 이루어진다. 트렌치 및 비아는 제2 인터-레벨 유전체(510) 내에 형성되고, 트렌치와 비아는 차단층(512)으로 라이닝되어 있으며, 구리는 통상의 기법을 사용하여 트렌치 및 비아 내에 증착된다. 이러한 구조의 상면은, 예를 들면, CMP와 같은 통상의 프로세스를 사용하여 평탄화 및 세정된다. 제2 구리 배선층(504) 및 제2 인터-레벨 유전체층(510)의 상면에는 잔류성 오염 물질(505)이 있는 것으로 도시되어 있다. (있다면) 제2 층간 레벨 및 (있다면) 후속하는 상위 인터커넥트 레벨에서, GCIB 세정 및 GCIB 주입 단계는 배선 설계(500)에 대해서 전술 한 바와 같이 적용하여, 도 4A에 도시한 바와 같은 캡핑막(518)을 형성한다. 따라서, 경우에 따라, 2-레벨 또는 멀티-레벨 인터커넥트 구조를 형성할 수 있다.4E shows step 500E of wiring design 500 after adding a second interconnect level on the GCIB capped first interconnect level (including dielectric isolation). In this step, a second interconnect level is formed on the capping layers 514, 516. The second interconnect level consists of the second inter-level dielectric 510 deposited on the capping layers 514, 516 using conventional techniques. Trench and vias are formed in second inter-level dielectric 510, trenches and vias lined with blocking layer 512, and copper is deposited in trenches and vias using conventional techniques. The top surface of this structure is planarized and cleaned using conventional processes such as, for example, CMP. The top surface of the second copper interconnect layer 504 and the second inter-level dielectric layer 510 is shown with residual contaminants 505. At the second interlevel level (if any) and subsequent higher interconnect levels (if any), the GCIB cleaning and GCIB implantation steps are applied as described above for wiring design 500, capping film 518 as shown in FIG. 4A. ). Thus, in some cases, two-level or multi-level interconnect structures may be formed.

따라서, 상기한 기법은 전자이동을 감소시키고, 선택적 금속 캡핑 프로세스와 관련된 바람직하지 못한 부작용을 회피한다. 유전체 표면에서, 주입층 및 유전체는 절연성을 유지하고 있으며, 극히 얇은 주입층은 상기 층의 전체 유전 상수 및 층간 용량(lnter-layer capacitance)에 대해 무시해도 될 정도의 영향을 미친다.Thus, the above technique reduces electron transfer and avoids undesirable side effects associated with selective metal capping processes. At the dielectric surface, the injection layer and the dielectric remain insulating, and the extremely thin injection layer has a negligible effect on the overall dielectric constant and the lnter-layer capacitance of the layer.

도 5A는 본 발명의 제2 양태(예를 들면, 두 개의 구리 배선층 인터커넥트 레벨을 나타내고 있지만, 이에 제한되지는 않는다)에 따른 GCIB 주입 및 증착을 사용하여 캡핑된 구리 인터커넥트의 배선 설계(600)를 나타내는 개략도이다. 상기 개략도는 제1 구리 배선층(602), 제2 구리 배선층(604) 및 두 개의 구리 배선층을 접속하는 구리 비아 구조(606)를 지지하는 기판(601)을 보여주며, 이들 각각은 통상의 기법을 사용하여 형성할 수 있다. 기판(601)은 전형적으로 전기적 인터커넥션을 필요로 하는 반응형 및/또는 비반응형 원소를 포함하는 (가능하게는 낮은 인터커넥트 레벨을 포함하는) 반도체 기판이다. 양 구리 배선층(602, 604) 및 비아 구조(606)의 측벽 및 저부는 통상의 기법을 사용하여 형성될 수 있는 TaN/Ta 또는 다른 차단층(612)으로 라이닝되어 있다. 제1 인터-레벨 유전체층(608) 및 제2 인터-레벨 유전체층(610)은 구리 배선층 사이에 전기 절연을 제공하며, 통상의 기법을 사용하여 형성될 수 있다. 종종, 유전 특성을 개선하기 위해서 인터-레벨 유전체층(608, 610)은 다공성인 것이 바람직하다. 이러한 경우에, 인터-레벨 유전체층 은, 그 위에, 예를 들면, 각각 SiO2, SiC 또는 Si3N4와 같은 재료로 구성되고, 각각 통상의 기법을 사용하여 증착될 수 있는 제1 하드마스크층(609) 및 제2 하드마스크층(611)과 같은 하드마스크층이 임의로 증착되어 있을 수도 있다. 제1 구리 배선층(602), 제1 인터-레벨 유전체층(608)(또는, 임의로, 존재한다면, 제1 하드마스크층(609)의 상면), 제2 구리 배선층(604) 및 제2 인터-레벨 유전체층(610)(또는, 임의로, 존재한다면, 제2 하드마스크층(611)의 상면)의 상면은 모두 GCIB 가공에 의해 캡핑되어 캡핑막(614, 616, 618, 620)을 형성한다. 상기 제2 양태는, GCIB 가스-클러스터 이온을 포함하고 있는 원소(들)가, 주입된 종이 구리 표면(구리 캡핑막(614, 618)) 상에서 전도체 특성을 보유하도록 선택된다는 점에서 제1 양태와 구별될 수 있다. 그러나, 주입되는 원소(들)는 또한 동일한 원소(들)가 각각의 인터커넥트 레벨(인터-레벨 유전체 또는 하드마스크 캡핑막(616, 620))의 유전체 영역 내의 인터-레벨 유전체 및/또는 유전체 하드마스크 재료의 표면에 주입될 때 절연막을 형성하도록 선택된다. 증진된 유전체 확산 차단(제1 인터커넥트 레벨용의 차단막(622) 및 제2 인터커넥트 레벨용의 차단막(624))는 GCIB 증착에 의해서 형성되는 것이 바람직하지만, 통상의 기법에 의해서 형성될 수도 있다. 이러한 차단막은 GCIB 주입 캡의 확산 차단 성능 및 비아 에칭-중지 특성을 더욱 증진시킨다. 5A illustrates a wiring design 600 of a capped copper interconnect using GCIB implantation and deposition in accordance with a second aspect of the present invention (eg, showing, but not limited to, two copper interconnect layer interconnect levels). It is a schematic diagram showing. The schematic diagram shows a substrate 601 supporting a first copper wiring layer 602, a second copper wiring layer 604, and a copper via structure 606 connecting two copper wiring layers, each of which employs conventional techniques. Can be used. Substrate 601 is typically a semiconductor substrate (possibly including a low interconnect level) that includes reactive and / or non-reactive elements that require electrical interconnection. Sidewalls and bottoms of both copper wiring layers 602 and 604 and via structure 606 are lined with TaN / Ta or other blocking layers 612 that can be formed using conventional techniques. The first inter-level dielectric layer 608 and the second inter-level dielectric layer 610 provide electrical insulation between the copper interconnect layers and may be formed using conventional techniques. Often, it is desirable for the inter-level dielectric layers 608, 610 to be porous to improve dielectric properties. In this case, the inter-level dielectric layer is a first hardmask layer composed of a material such as, for example, SiO 2 , SiC or Si 3 N 4 , respectively, which can be deposited using conventional techniques, respectively. Hard mask layers such as 609 and second hard mask layer 611 may be optionally deposited. First copper interconnect layer 602, first inter-level dielectric layer 608 (or, optionally, the top surface of first hardmask layer 609, if present), second copper interconnect layer 604, and second inter-level The top surface of the dielectric layer 610 (or, optionally, the top surface of the second hard mask layer 611, if present) is all capped by GCIB processing to form the capping films 614, 616, 618, 620. The second aspect differs from the first aspect in that the element (s) containing GCIB gas-cluster ions are selected to retain conductor properties on the implanted paper copper surface (copper capping films 614, 618). Can be distinguished. However, the implanted element (s) may also have the same element (s) inter-level dielectric and / or dielectric hardmask within the dielectric region of each interconnect level (inter-level dielectric or hardmask capping films 616 and 620). It is selected to form an insulating film when injected into the surface of the material. The enhanced dielectric diffusion barrier (the barrier 622 for the first interconnect level and the barrier 624 for the second interconnect level) is preferably formed by GCIB deposition, but may be formed by conventional techniques. This barrier further enhances the diffusion barrier performance and via etch-stop properties of the GCIB injection cap.

구리 및 인터-레벨 유전체 캡을 형성하기 위해서는 각각의 인터커넥션 레벨의 상면에 GCIB 주입 프로세스를 적용하는 것이 바람직하다. 앞서 주지한 바와 같이, 구리 인터커넥트 및 인터-레벨 유전체의 CMP 평탄화 이후에 GCIB 제위치 세정 을 사용하는 것이 바람직하다. 도 5G는 인터-레벨 유전체층(608, 610)이 상면에 하드마스크층(609, 610)을 갖지 않는 배선 설계(600G)를 도시한다. 이하에서는, 배선 설계(600G)를 구성하기 위한 프로세스에 대해서 설명하기로 한다.It is desirable to apply a GCIB implantation process on top of each interconnection level to form copper and inter-level dielectric caps. As noted above, it is desirable to use GCIB in-situ cleaning after CMP planarization of copper interconnects and inter-level dielectrics. 5G shows a wiring design 600G in which the inter-level dielectric layers 608, 610 have no hard mask layers 609, 610 on top. Hereinafter, a process for configuring the wiring design 600G will be described.

도 5B는 배선 설계(600G)의 예비 단계(600B)를 보여준다. 기판(601) 상에 형성된 인터커넥트 레벨은 통상적으로 증착된 제1 인터-레벨 유전체(608)로 이루어져 있으며, 여기에 트렌치 및 비아가 형성되어 있고 차단층(612)으로 라이닝되어 있다. 트렌치 및 비아에는 통상의 기법을 사용하여 구리가 증착된다. 상기 구조의 상면은 평탄화되고 세정된다. 제1 구리 배선층(602) 및 제1 인터-레벨 유전체층(608)의 상면에는 잔류성 오염 물질(603)이 있는 것으로 도시되어 있다. 이 단계에서 및 각각의 이후의 인터커넥트 레벨의 상응하는 각각의 단계(하나 이상의 인터커넥트 레벨을 가정함)의 상면에서는, 예를 들면, 플라즈마 세정 프로세스 또는 GCIB 세정 프로세스와 같은, 바람직하게는 제위치의, 통상의 건식 세정 프로세스가 수행될 수 있다. GCIB 세정은 세정하고자 하는 표면(들)을 바람직하게는 약 3kV 내지 약50kV 범위의 빔 가속 전위(VAcc)를 사용하고, 약 5×1013 내지 약 5×1016 이온/㎠ 범위의 전체 가스-클러스터 이온 조사량으로 Ar, N2, NH3 또는 H2 가스의 분자 또는 이들의 혼합물로 이루어진 GCIB 클러스터 이온으로 조사함을 포함한다. 당해 기술분야의 숙련가들은 본 발명이 이들 예시적인 가스로만 제한되는 것이 아니며, 구리 표면으로부터 포스트-CMP 잔류물, 구리 산화물 및 다른 오염 물질을 제거하는 다른 가스 또는 가스 혼합물로도 실시할 수 있음을 인지할 것이다. 본 발 명에 필수적이지는 않지만, 이러한 GCIB 세정 프로세스는 제위치 세정 프로세스인 것이 바람직하다.5B shows a preliminary step 600B of the wiring design 600G. The interconnect level formed on the substrate 601 typically consists of a first inter-level dielectric 608 deposited therein, with trenches and vias formed and lined with a blocking layer 612. Trench and vias are deposited with copper using conventional techniques. The top surface of the structure is flattened and cleaned. An upper surface of the first copper interconnect layer 602 and the first inter-level dielectric layer 608 is shown having residual contaminants 603. At this stage and on top of the corresponding respective stage of each subsequent interconnect level (assuming at least one interconnect level), for example, in-situ, such as a plasma cleaning process or a GCIB cleaning process, Conventional dry cleaning processes may be performed. GCIB cleaning uses a beam acceleration potential (V Acc ) of the surface (s) to be cleaned, preferably in the range of about 3 kV to about 50 kV, and a total gas in the range of about 5 × 10 13 to about 5 × 10 16 ions / cm 2. Irradiating with GCIB cluster ions consisting of molecules of Ar, N 2 , NH 3 or H 2 gas or mixtures thereof in a cluster ion dosage. Those skilled in the art recognize that the present invention is not limited to these exemplary gases, but may be practiced with other gases or gas mixtures that remove post-CMP residues, copper oxides and other contaminants from the copper surface. something to do. Although not essential to the present invention, such a GCIB cleaning process is preferably an in situ cleaning process.

도 5C는 GCIB 세정 단계 이후의 배선 설계(600G)의 구성에서의 중간 단계(600C)를 보여준다. 제1 구리 배선층(602) 및 제1 인터-레벨 유전체층(608)의 상면에서 오염 물질을 세정하고, 캡핑 단계용으로 준비한다. 이제, 이 단계 및 각각의 후속하는 인터커넥트 레벨의 각 단계(하나 이상의 인터커넥트 레벨을 가정함)의 세정된 상면(들)에 GCIB 캡핑 프로세스를 적용할 수 있다. (바람직하게는 제위치) GCIB 주입 프로세스를 사용하여, 평탄화된 표면(구리 및/또는 노출된 인터-레벨 유전체)을 동시에 (또는 별도의 캡핑 GCIB에 의해서) 캡핑한다. GCIB 캡핑 프로세스는, 구리 표면 내로의 주입시에는 전기 전도성 재료를 형성하지만 인터-레벨 유전체면 내로의 주입시에는 전기 절연성 재료를 형성하는 원소로 이루어진 GCIB를 사용하여 제1 구리 배선층(602) 및 제1 인터-레벨 유전체층(608)의 상면을 조사함을 포함한다. 또한, 이러한 전도성 원소는, 전기 전도성에 불리한 영향을 미치지 않도록, 구리 내에서의 고용도(solid solubility)가 높지 않도록 선택된다. 제한되지 않지만, 원소 B 또는 Ti를 포함하는 가스-클러스터 이온을 갖는 GCIB가 적합하며, 이들은 절연성 산화물, 탄화물 또는 질화물을 형성하기 위해서 SiO2, SiC, SiCN, SiCOH 등을 포함하지만 이들에 제한되지 않은 적합한 유전체 하드마스크 재료와 조합된다. B 및 Ti를 함유하는 몇가지 적합한 소스 가스에는, B2H6, TiCl4, 테트라 디에틸아미노 티타늄(TDEAT) 및 테트라디메틸아미노 티타늄(TDMAT) 등이 포 함되지만, 이들에 제한되지는 않는다. 이들 가스는 순수한 형태로 사용되거나, 예를 들면, Ar 또는 Xe와 같은 불활성 기체와 혼합되어 사용될 수 있다. 유전체 표면에는 주입에 의해서, 예를 들면, TiO2와 붕규산염 유리의 변환막이 형성되며, 구리 표면에는 이에 의해서, 예를 들면, 붕소와 티타늄의 변환막이 형성된다. 대안적으로, Ar 또는 Xe 또는 기타의 희가스 또는 이의 혼합물을 포함하지만 이들에 제한되지 않는 불활성 가스-클러스터 이온만을 함유하는 GCIB는 구리의 표면을 물리적으로 변화시킴으로써 변환 캡핑막을 형성할 수 있다. 이 경우, 구리 캡핑 구조는 천연에서 변환되고 전기전도성인 구리의 물리적 변형 층이며, 인터-레벨 유전체층에서 형성된 물리적 변형 층은 전기절연성이다. 예를 들면, Ar 또는 Xe 또는 기타의 희가스 또는 이들의 혼합물과 같은 불활성 가스-클러스터 이온만을 함유하는 이러한 또 다른 GCIB는 주입된 층을 형성하지는 않지만, 대신에 구리에 효과적인 캡핑 구조를 초래하고 절연 조건에서 유전체를 잔류시키는 방식으로 표면을 물리적으로 변화시킨다. 따라서, 효과는, GCIB 캡핑 프로세스가 구리 표면에 주입시에는 전기전도성 물질을 형성하고 인터-레벨 유전체 표면에 주입시에는 전기절연 물질을 형성하지만 표면으로의 새로운 종의 주입이 그 자체로 발생하지는 않는 원소로 이루어진 GCIB를 구리 상면 및 인터-레벨 유전체층에 조사함을 포함하는 경우와 동일하다. 도 3의 GCIB 장치(100)를 참조하면, 바람직하게는 약 3kV 내지 약 50kV 범위의 빔 가속 전위(VAcc)를 사용하고, 약 1 × 1014 내지 약 1 × 1017 이온/㎠ 범위의 전체 가스-클러스터 이온 조사량을 사용할 수 있다. 구리 및 유전체 표면에서 는, GCIB 주입 프로세스의 충돌 에너지가 기존의 유전체와 주입 종의 상호혼합 및/또는 반응을 촉진하는 과도 고온 영역, 또는 신규한 절연 (또는 인터-레벨 유전체 또는 하드 마스크) 재료를 형성하는 유전체 하드 마스크층을 생성하며, 또한 구리 배선 표면에 주입된 전도성 막을 형성하여, 구리 계면 확산을 제한하고 전자이동 수명을 개선한다. 따라서, 단일 GCIB 캡핑 주입 단계는, 도 5D에 도시되어 있는 바와 같이, 제1 구리 배선층(602) 상에는 전기전도성 캡핑막(614)을 형성하고 제1 인터-레벨 유전체층(608) 상에는 전기절연성 캡핑막(616)을 형성한다.5C shows an intermediate step 600C in the configuration of the wiring design 600G after the GCIB cleaning step. The contaminants are cleaned on the top surfaces of the first copper interconnect layer 602 and the first inter-level dielectric layer 608 and prepared for the capping step. The GCIB capping process can now be applied to the cleaned top surface (s) of this step and each step of each subsequent interconnect level (assuming one or more interconnect levels). Using a (preferably in place) GCIB implantation process, the planarized surface (copper and / or exposed inter-level dielectric) is capped simultaneously (or by a separate capping GCIB). The GCIB capping process uses the first copper interconnect layer 602 and the first copper interconnect layer 602 and GCIB to form an electrically conductive material upon injection into the copper surface but an electrically insulating material upon injection into the inter-level dielectric surface. Irradiating the top surface of one inter-level dielectric layer 608. In addition, such conductive elements are selected so that the solid solubility in copper is not high so as not to adversely affect the electrical conductivity. Although not limited, GCIBs having gas-cluster ions comprising element B or Ti are suitable, which include, but are not limited to, SiO 2 , SiC, SiCN, SiCOH, etc. to form insulating oxides, carbides or nitrides. In combination with a suitable dielectric hardmask material. Some suitable source gases containing B and Ti include, but are not limited to, B 2 H 6 , TiCl 4 , tetra diethylamino titanium (TDEAT), tetradimethylamino titanium (TDMAT), and the like. These gases can be used in pure form or mixed with an inert gas such as, for example, Ar or Xe. A conversion film of, for example, TiO 2 and borosilicate glass is formed on the dielectric surface by injection, and a conversion film of, for example, boron and titanium is formed on the copper surface. Alternatively, GCIB containing only inert gas-cluster ions, including but not limited to Ar or Xe or other rare gases or mixtures thereof, can form a conversion capping film by physically changing the surface of copper. In this case, the copper capping structure is a physically deformed layer of copper that is naturally converted and electrically conductive, and the physically deformed layer formed in the inter-level dielectric layer is electrically insulating. For example, these other GCIBs containing only inert gas-cluster ions such as Ar or Xe or other rare gases or mixtures thereof do not form an implanted layer but instead result in an effective capping structure for copper and insulation conditions. Physically alter the surface in such a way that the dielectric remains. Thus, the effect is that the GCIB capping process forms an electrically conductive material when implanted into a copper surface and an electrically insulating material when implanted into an inter-level dielectric surface, but no new species injection into the surface occurs by itself. The same applies to the case of irradiating the GCIB made of the element to the upper copper surface and the inter-level dielectric layer. Referring to the GCIB apparatus 100 of FIG. 3, preferably using a beam acceleration potential (V Acc ) in the range of about 3 kV to about 50 kV, the overall range of about 1 × 10 14 to about 1 × 10 17 ions / cm 2. A gas-cluster ion dosage can be used. On copper and dielectric surfaces, the impact energy of the GCIB implantation process may be used to create transient high temperature regions or novel insulating (or inter-level dielectric or hard mask) materials that promote the intermixing and / or reaction of existing dielectrics and implant species. It creates a dielectric hard mask layer to form, and also forms a conductive film implanted on the copper wiring surface, thereby limiting copper interfacial diffusion and improving electron transfer life. Thus, the single GCIB capping implantation step forms an electrically conductive capping film 614 on the first copper interconnect layer 602 and an electrically insulating capping film on the first inter-level dielectric layer 608, as shown in FIG. 5D. 616 is formed.

도 5E는 GCIB 캡핑 단계에 후속하는 배선 설계(600G)의 구성에서의 단계(600E)를 보여준다. GCIB 프로세스는 이 단계 및 각각의 후속하는 인터커넥트 레벨의 각 단계의 상면(들)에서 수행되어 유전체 확산 차단막을 형성할 수 있다. 유전체 확산 차단막(622)은 질화규소탄소로 이루어지는 것이 바람직하지만, 질화규소, 탄화규소 또는 다른 유전체막일 수도 있다. 이것은 통상적으로 PECVD에 의해서 증착될 수도 있으나, 차단막(622)이 증착되어질 캡핑막(614, 616)의 표면을, 주입시 절연 재료를 형성하는 원소로 형성된 GCIB로 조사함으로써 증착되는 것이 바람직하다. 예를 들면, C, N 및 Si 또는 이들의 혼합물과 같은 가스-클러스터 이온 원소를 갖는 GCIB가 적합하며, 이는 구리 상에, 예를 들면, Si3N4, SiCN 및 SiC와 같은 확산 차단막을 증착할 수 있다. C, N 및 Si와 같은 소스 가스는 CH4, SiH4, NH3 및 N2를 포함하지만 이들에 제한되지는 않는다. 이러한 가스는 순수한 가스를 사용함으로써 또는, 예를 들면, Ar 또는 Xe와 같은 불활성 가스와 혼합함으로써 증 착용으로 가스-클러스터 이온을 형성하는 데 사용될 수 있다. 바람직하게는 약 3kV 내지 약 50kV 범위의 빔 가속 전위(VAcc)가 약 1 × 1014 내지 약 1 × 1017 이온/㎠ 범위의 전체 가스-클러스터 이온 조사량으로 사용된다.5E shows step 600E in the configuration of wiring design 600G following the GCIB capping step. The GCIB process may be performed at the top (s) of this step and each step of each subsequent interconnect level to form a dielectric diffusion barrier. The dielectric diffusion barrier 622 is preferably made of silicon nitride, but may be silicon nitride, silicon carbide, or another dielectric film. This may typically be deposited by PECVD, but is preferably deposited by irradiating the surface of the capping films 614 and 616 on which the blocking film 622 is to be deposited with GCIB formed of an element that forms an insulating material upon injection. For example, GCIB having gas-clustered ionic elements such as C, N and Si or mixtures thereof is suitable, which deposits diffusion barriers such as, for example, Si 3 N 4 , SiCN and SiC on copper. can do. Source gases such as C, N and Si include, but are not limited to, CH 4 , SiH 4 , NH 3 and N 2 . Such gases can be used to form gas-cluster ions by evaporation by using pure gas or by mixing with an inert gas such as, for example, Ar or Xe. Preferably a beam acceleration potential (V Acc ) in the range of about 3 kV to about 50 kV is used as the total gas-cluster ion dosage in the range of about 1 × 10 14 to about 1 × 10 17 ions / cm 2.

도 5F는 배선 설계(600G)의 구성에서의 단계(600F)를 보여주는 것으로, GCIB 캡핑된 (유전체 차단막을 포함하여) 제1 인터커넥트 레벨 및 차단막(622)에 제2 인터커넥트 레벨을 추가한 것을 반영하는 도면이다. 제2 인터커넥트 레벨은 차단막(622) 상에 증착된 제2 인터-레벨 유전체(610)로 이루어져 있으며, 여기에는 트렌치 및 비아가 형성되어 있고 차단층(612)으로 라이닝되어 있다. 트렌치 및 비아 내에는 통상의 기법을 사용하여 구리가 증착되어 있다. 상기 구조의 상면은 통상의 프로세스를 사용하여 평탄화 및 세정된다. 제2 구리 배선층(604) 및 제2 인터-레벨 유전체층(610)의 상면에는 잔류성 오염 물질(626)이 있는 것으로 도시되어 있다. 배선 설계(600G)를 구성하기 위해서는, 제2 인터커넥트 레벨 및 (있다면) 후속하는 상위 인터커넥트 레벨의 상면(들)에서, GCIB 세정 및 GCIB 주입 및 GCIB 증착 단계를 상기한 바와 같이 적용할 수 있다. 이들 가공 단계에 의해 캡핑막(618, 620) 및 차단막(624)이 형성된다. 따라서, 경우에 따라, 도 5G의 두 개의 인터커넥트 레벨 구조 또는 멀티-레벨 인터커넥트 구조를 형성할 수 있다.5F shows step 600F in the configuration of the wiring design 600G, reflecting the addition of the second interconnect level to the GCIB capped first interconnect level (including dielectric barrier) and the barrier 622. Drawing. The second interconnect level consists of a second inter-level dielectric 610 deposited on the blocking film 622, where trenches and vias are formed and lined with the blocking layer 612. Copper is deposited in trenches and vias using conventional techniques. The top surface of the structure is planarized and cleaned using conventional processes. The top surface of the second copper interconnect layer 604 and the second inter-level dielectric layer 610 is shown with residual contaminants 626. To construct the wiring design 600G, at the top surface (s) of the second interconnect level and (if any) the next higher interconnect level, the GCIB cleaning and GCIB implantation and GCIB deposition steps may be applied as described above. By these processing steps, the capping films 618 and 620 and the blocking film 624 are formed. Thus, in some cases, two interconnect level structures or multi-level interconnect structures of FIG. 5G may be formed.

도 5H는 도 5A에서 완성된 것과 같은 (하드마스크층(609, 611)을 갖는) 배선 설계(600)를 구성하는 프로세스에서의 예비 단계(600H)를 보여준다. 기판(601) 상에 형성된 제1 인터커넥트 레벨은 통상의 기법을 사용하여 기판 상에 증착된 제1 인터-레벨 유전체(608)로 이루어져 있다. 통상의 기법에 의해서 형성된 하드마스크층(609)은 제1 인터-레벨 유전체(608)의 상면을 피복한다. 제1 인터-레벨 유전체(608) 내에는 트렌치 및 비아가 형성되어 있고, 차단층(612)으로 라이닝되어 있으며, 트렌치 및 비아 내에는 구리가 증착되어 있다. 상기 구조의 상면은 통상의 세정 프로세스를 이용하여 평탄화 및 세정되어 있다. 제1 구리 배선층(602) 및 하드마스크층(609)의 상면에는 잔류성 오염 물질(605)이 있는 것으로 도시되어 있다. 이 단계 및 각각의 후속하는 인터커넥트 레벨의 대응하는 단계의 상면(들)에는, 상기한 바와 같이, GCIB 세정 프로세스가 수행되는 것이 바람직하다. 본 발명에 필수적이지는 않지만, 본 GCIB 세정 프로세스는 제위치 세정 프로세스인 것이 바람직하다. 본 발명에 필수적인 것은 아니지만, 이러한 GCIB 세정 프로세스는 제위치 세정 프로세스인 것이 바람직하다. FIG. 5H shows a preliminary step 600H in the process of constructing the wiring design 600 (with the hard mask layers 609, 611) as completed in FIG. 5A. The first interconnect level formed on the substrate 601 consists of a first inter-level dielectric 608 deposited on the substrate using conventional techniques. The hard mask layer 609 formed by conventional techniques covers the top surface of the first inter-level dielectric 608. Trenchs and vias are formed in the first inter-level dielectric 608, lined with a blocking layer 612, and copper is deposited in the trenches and vias. The upper surface of the structure is planarized and cleaned using a conventional cleaning process. An upper surface of the first copper wiring layer 602 and the hard mask layer 609 is illustrated as having residual contaminants 605. At the top (s) of this step and the corresponding step of each subsequent interconnect level, as described above, a GCIB cleaning process is preferably performed. Although not essential to the invention, it is preferred that the present GCIB cleaning process is an in-situ cleaning process. Although not essential to the invention, it is preferred that this GCIB cleaning process is an in-situ cleaning process.

도 5I는 GCIB 세정 단계 후의 (도 5A의) 배선 설계(600)를 구성하는 프로세스에서의 단계(600I)를 보여준다. 제1 구리 배선층(602) 및 하드마스크층(609)의 상면에서 오염 물질을 세정하고 캡핑 단계용으로 준비한다. 이 단계 및 각각의 후속하는 인터커넥트 레벨의 대응하는 각각의 단계의 상면(들)에는, 상기한 바와 같이, 캡핑층(614, 616)을 형성하기 위해 GCIB 캡핑 프로세스를 수행할 수 있다(도 5J). 상기 양태에서, 캡핑층(616)은 제1 인터-레벨 유전체층(608) 상에 직접 형성되는 것이 아니라, 하드마스크층(609) 상에 형성된다.FIG. 5I shows step 600I in the process of constructing the wiring design 600 (of FIG. 5A) after the GCIB cleaning step. The contaminants are cleaned on the upper surfaces of the first copper wiring layer 602 and the hard mask layer 609 and prepared for the capping step. The top surface (s) of this step and the corresponding respective step of each subsequent interconnect level may be subjected to a GCIB capping process to form capping layers 614, 616, as described above (FIG. 5J). . In this aspect, the capping layer 616 is not formed directly on the first inter-level dielectric layer 608, but is formed on the hard mask layer 609.

도 5J는 캡핑층(614, 616)을 형성하는 단계 후의 배선 설계(600)를 구성하는 프로세스에서의 단계(600J)를 보여준다. 캡핑층(614, 616) 상에 유전체 확산 차단 막(622)을 형성하기 위해서는, 이 단계 및 각각의 후속하는 인터커넥트 레벨의 대응하는 각각의 단계의 상면(들)에, 상기한 바와 같이, GCIB 프로세스를 적용할 수 있다.5J shows step 600J in the process of constructing wiring design 600 after forming capping layers 614, 616. To form the dielectric diffusion barrier film 622 on the capping layers 614, 616, the GCIB process, as described above, on the top (s) of this step and the corresponding respective step of each subsequent interconnect level. Can be applied.

도 5K는 차단막(622)을 증착한 후의 배선 설계(600)를 구성하는 프로세스에서의 단계(600K)를 보여준다.5K shows step 600K in the process of constructing wiring design 600 after depositing barrier film 622.

도 5L은 (유전체 차단막을 포함하는) GCIB 캡핑된 제1 인터커넥트 레벨 상에 제2 인터커넥트 레벨을 추가한 후의 배선 설계(600)를 구성하는 프로세스에서의 단계(600L)를 보여준다. 이 단계에서는, 제2 인터커넥트 레벨은 차단막(622) 상에 형성되어 있다. 제2 인터커넥트 레벨은 통상의 기법을 사용하여 차단막(622) 상에 증착되어진 제2 인터-레벨 유전체(610)로 이루어져 있다. 통상의 기법에 의해서 형성된 하드마스크층(611)은 제1 인터-레벨 유전체(610)의 상면을 피복한다. 제2 인터-레벨 유전체(610) 내에는 종래의 트렌치 및 비아가 형성되어 있고, 이들 트렌치 및 비아는 통상의 차단층(612)으로 라이닝되어 있으며, 통상의 기법을 사용하여 트렌치 및 비아 내에 구리가 증착되어 있다. 상기 구조의 상면은 통상의 프로세스를 사용하여 평탄화 및 세정되어 있다. 제2 구리 배선층(604) 및 하드마스크층(611)의 상면에는 잔류성 오염 물질(613)이 있는 것으로 도시되어 있다. (있다면) 제2 인터커넥트 레벨 및 (있다면) 후속하는 상위 인터커넥트 레벨에서, 배선 설계(600)용으로 상기한 바와 같이 GCIB 세정 및 GCIB 주입 및 GCIB 증착 단계를 적용하여, (예를 들면) 캡핑막(618, 620)을 형성하고, 차단막(624)을 형성한다(도 5A). 따라서, 경우에 따라, 도 5A의 두 개의 인터커넥트 레벨 구조 또는 멀티-레 벨 인터커넥트 구조를 형성할 수 있다.5L shows step 600L in the process of configuring wiring design 600 after adding the second interconnect level on the GCIB capped first interconnect level (including the dielectric barrier). In this step, a second interconnect level is formed on the blocking film 622. The second interconnect level consists of a second inter-level dielectric 610 deposited on the blocking film 622 using conventional techniques. The hard mask layer 611 formed by conventional techniques covers the top surface of the first inter-level dielectric 610. Conventional trenches and vias are formed in the second inter-level dielectric 610, which are lined with conventional blocking layers 612, and copper is formed in the trenches and vias using conventional techniques. Deposited. The upper surface of the structure is planarized and cleaned using conventional processes. The upper surfaces of the second copper wiring layer 604 and the hard mask layer 611 are shown to have residual contaminants 613. At the second interconnect level (if present) and the subsequent higher interconnect level (if present), the GCIB cleaning and GCIB implantation and GCIB deposition steps are applied as described above for the wiring design 600 to provide a capping film (e.g., 618 and 620 are formed, and the blocking film 624 is formed (FIG. 5A). Thus, in some cases, two interconnect level structures or multi-level interconnect structures of FIG. 5A may be formed.

따라서, 개시된 기법은 전자이동을 감소시키며, 더욱이 선택적 금속 캡핑 프로세스와 관련된 바람직하지 못한 부작용을 회피한다. 유전체 표면에서, 유전체는 캡핑 이후에도 절연성을 유지하며, 극단적으로 얇은 주입층은 유전 상수에 대해 무시해도 될 정도의 영향을 미친다.Thus, the disclosed technique reduces electromigration and moreover avoids undesirable side effects associated with selective metal capping processes. At the dielectric surface, the dielectric remains insulative even after capping, and the extremely thin injection layer has a negligible effect on the dielectric constant.

도 6A는 본 발명의 제3 양태(예를 들면, 두 개의 구리 배선층 인터커넥트 레벨을 나타내고 있지만, 이에 제한되지 않는다)에 따른 GCIB 주입을 사용하여 캡핑된 구리 인터커넥트의 배선 설계(700)를 나타내는 개략도이다. 상기 개략도는 각각 통상의 기법을 사용하여 형성될 수 있는, 제1 구리 배선층(702), 제2 구리 배선층(704) 및 두 개의 구리층을 접속하는 구리 비아 구조(706)를 지지하는 기판(701)을 나타내고 있다. 기판(701)은 전형적으로 전기적인 인터커넥션을 필요로 하는 반응형 및/또는 비반응형 원소를 함유하는 (가능하게는 하위의 인터커넥트 레벨을 포함하는) 반도체 기판이다. 양 구리 배선층(702, 704) 및 비아 구조(706)의 측벽 및 저부는 통상의 기법을 사용하여 형성될 수 있는 차단층(712)으로 라이닝되어 있다. 제1 인터-레벨 유전체층(708) 및 제2 인터-레벨 유전체층(710)은 구리 배선 사이에 전기 절연을 제공하며, 통상의 기법을 사용하여 형성될 수 있다. 제1 인터-레벨 유전체층(708)은 상면(709)을 가지고 있고, 제2 인터-레벨 유전체층(710)은 상면(711)을 가지고 있다. 이하에서 더욱 상세하게 설명하는 바와 같이, 각각의 구리 배선 인터커넥트 레벨에서, 통상적으로 증착되는 바와 같이, 차단층(712)은 초기에 인터-레벨 유전체층(708, 710)의 상면(709, 711)을 피복한다(도 6B). 본 발명의 상기 양태에서, 이하에서 설명하는 GCIB 가공은 상면(709, 711)으로부터 차단층(712) 재료를 제거하며, 이에 따라, 도 6A에 도시된 완성된 구조에서는 이들이 상기한 표면에 나타나지 않는다. 제1 구리 배선층(702)의 상면 및 제2 구리 배선층(704)의 상면은 GCIB 가공에 의해서 캡핑되어 주입 캡핑막(713, 715)을 형성한다. 주입된 구리 캡핑막(713, 715) 및 인접한 인터-레벨 유전체층(708, 710)은 각각 유전체 차단막(714, 716)으로 추가적으로 캡핑되어 개선된 구리 확산 차단 및 비아 에칭-중지 특성을 제공할 수 있다. 유전체 차단막(714, 716)은 질화규소탄소인 것인 바람직하나, 또한 질화규소 또는 탄화규소 또는 다른 적절한 유전체일 수 있으며, 통상적으로 PECVD를 사용하여 증착되지만, GCIB 증착에 의해서 적용되는 것이 바람직하다.6A is a schematic diagram illustrating a wiring design 700 of a capped copper interconnect using GCIB implantation in accordance with a third aspect of the present invention (eg, showing, but not limited to, two copper interconnect layer interconnect levels). . The schematic diagram shows a substrate 701 supporting a first copper wiring layer 702, a second copper wiring layer 704, and a copper via structure 706 connecting two copper layers, each of which may be formed using conventional techniques. ). Substrate 701 is typically a semiconductor substrate that contains reactive and / or nonreactive elements (possibly including lower interconnect levels) that require electrical interconnection. Sidewalls and bottoms of both copper wiring layers 702 and 704 and via structure 706 are lined with a blocking layer 712 that can be formed using conventional techniques. The first inter-level dielectric layer 708 and the second inter-level dielectric layer 710 provide electrical insulation between copper interconnects and can be formed using conventional techniques. The first inter-level dielectric layer 708 has a top surface 709, and the second inter-level dielectric layer 710 has a top surface 711. As described in more detail below, at each copper interconnect interconnect level, as is typically deposited, the blocking layer 712 initially covers the top surfaces 709 and 711 of the inter-level dielectric layers 708 and 710. Sheathed (FIG. 6B). In this aspect of the invention, the GCIB processing described below removes the barrier layer 712 material from the top surfaces 709 and 711, so that in the finished structure shown in FIG. 6A they do not appear on the surface described above. . The top surface of the first copper wiring layer 702 and the top surface of the second copper wiring layer 704 are capped by GCIB processing to form the injection capping films 713 and 715. The implanted copper capping films 713 and 715 and adjacent inter-level dielectric layers 708 and 710 may be further capped with dielectric barrier films 714 and 716, respectively, to provide improved copper diffusion blocking and via etch-stop properties. . The dielectric barrier films 714 and 716 are preferably silicon nitride, but may also be silicon nitride or silicon carbide or other suitable dielectric, typically deposited using PECVD, but preferably applied by GCIB deposition.

도 6B는 배선 설계(700)를 구성하는 프로세스에서의 예비 단계(700B)를 보여준다. 도시된 단계에서는, 기판(701) 상에 인터커넥트 레벨이 형성되어 있다. 인터커넥트 레벨은 기판 상에 증착되어진 제1 인터-레벨 유전체(708)로 이루어져 있다. 제1 인터-레벨 유전체(708) 내에는 트렌치 및 비아가 형성되어 있고, 차단층(712)으로 라이닝되어 있다. 트렌치 및 비아에는 구리가 증착되어 있다. 차단층(712)은 초기에는 인터-레벨 유전체층(708)의 상면(709)을 피복하고 있다. 과도한 구리는 차단층(712)의 재료 상에서 정지하는 통상의 CMP에 의해서 제거된다. 부가적으로, 차단층 재료보다 상당히 높은 속도로 우선적으로 구리를 제거하도록 선택된 통상의 CMP 프로세스 조건을 사용함으로써, 예를 들면, 차단 재료에 비해 구리를 선택적으로 제거하는 매우 선택적인 슬러리(slurry)를 사용함으로써, 구리 는, 도시한 바와 같이, 차단층(712)의 상면 아래에 약간 들어가 있다. 표면은 통상의 프로세스를 사용하여 세정된다. 제1 구리 배선층(702) 및 차단층(712)의 상면에는 잔류성 오염 물질(703)이 있는 것으로 도시되어 있다. 이 단계 및 각각의 후속하는 인터커넥트 레벨의 대응하는 단계(하나 이상의 인터커넥트 레벨을 가정함)의 상면(들)에서, 예를 들면, 플라즈마 세정 프로세스 또는 GCIB 세정 프로세스와 같은, 바람직하게는 제위치의 통상의 건식 세정 프로세스가 수행될 수 있다. GCIB 세정은 세정하고자 하는 표면(들)을 바람직하게는 약 3kV 내지 약50kV 범위의 빔 가속 전위(VAcc)를 사용하고, 약 5×1013 내지 약 5×1016 이온/㎠ 범위의 전체 가스-클러스터 이온 조사량으로 Ar, N2, NH3 또는 H2 가스의 분자 또는 이들의 혼합물로 이루어진 GCIB 클러스터 이온으로 조사함을 포함한다. 당해 기술분야의 숙련가들은 본 발명이 이들 예시적인 가스로만 제한되는 것이 아니며, 구리 표면으로부터 포스트-CMP 잔류물, 구리 산화물 및 다른 오염 물질을 제거하는 다른 가스 또는 가스 혼합물로도 실시할 수 있음을 인지할 것이다. 본 발명에 필수적이지는 않지만, 이러한 GCIB 세정 프로세스는 제위치 세정 프로세스인 것이 바람직하다.6B shows a preliminary step 700B in the process of constructing the wiring design 700. In the step shown, interconnect levels are formed on the substrate 701. The interconnect level consists of a first inter-level dielectric 708 deposited on a substrate. Trenchs and vias are formed in the first inter-level dielectric 708 and lined with a blocking layer 712. Copper is deposited in the trenches and vias. The blocking layer 712 initially covers the top surface 709 of the inter-level dielectric layer 708. Excess copper is removed by conventional CMP that stops on the material of barrier layer 712. In addition, by using conventional CMP process conditions selected to preferentially remove copper at significantly higher rates than the barrier layer material, for example, a highly selective slurry that selectively removes copper over the barrier material can be removed. By using, copper slightly enters below the upper surface of the blocking layer 712, as shown. The surface is cleaned using conventional processes. Upper surfaces of the first copper interconnect layer 702 and the blocking layer 712 are illustrated as having residual contaminants 703. At the top (s) of this step and the corresponding step of each subsequent interconnect level (assuming one or more interconnect levels), preferably in situ, such as, for example, a plasma cleaning process or a GCIB cleaning process Dry cleaning process may be performed. GCIB cleaning uses a beam acceleration potential (V Acc ) of the surface (s) to be cleaned, preferably in the range of about 3 kV to about 50 kV, and a total gas in the range of about 5 × 10 13 to about 5 × 10 16 ions / cm 2. Irradiating with GCIB cluster ions consisting of molecules of Ar, N 2 , NH 3 or H 2 gas or mixtures thereof in a cluster ion dosage. Those skilled in the art recognize that the present invention is not limited to these exemplary gases, but may be practiced with other gases or gas mixtures that remove post-CMP residues, copper oxides and other contaminants from the copper surface. something to do. Although not essential to the present invention, it is preferred that this GCIB cleaning process is an in-situ cleaning process.

도 6C는 GCIB 세정 단계 후의 배선 설계(700)를 구성하는 프로세스의 단계(700C)를 보여준다. 제1 구리 배선층(702) 및 차단층(712)의 상면에서 오염 물질을 세정하고, 캡핑 단계용으로 준비한다. 이제 GCIB 캡핑 프로세스를 적용할 수 있다. (바람직하게는 제위치에서의) GCIB 에칭 및 주입 캡핑 프로세스를 사용하여 제1 구리 배선층(702)의 표면을 동시에 캡핑하고, 상면(709)을 오버레잉하는 차단 층(712)을 에칭한다. GCIB 에칭 및 캡핑 프로세스는, 구리면으로의 주입시 캡핑 재료를 형성하지만 차단층(712) 재료는 에칭하는 원소로 이루어지는 GCIB로 제1 구리 배선층(702) 및 제1 인터-레벨 유전체층(708)의 상면을 조사함을 포함한다. 상면(709) 상에서 노출된 차단층(712) 재료를 에칭하는 한편 이와 동시에 제1 구리 배선층(702) 내로 캡핑 종(capping species)을 주입하는 GCIB 조사는 캡핑막(713)을 형성한다. SF6, CF4, C4F8 또는 NF3을 포함하지만 이에 제한되지 않는 불소 및/또는 황 원소를 함유하는 소스 가스가 GCIB를 형성하는 데 사용된다. 이들 가스는 순수 가스를 사용하거나 또는 N2와 혼합시키거나 또는, 예를 들면, Ar 또는 Xe와 같은 불활성 기체와 혼합함으로써 주입용으로 가스-클러스터 이온을 형성하는데 사용될 수 있다. 이러한 주입은, 예를 들면, CuF2와 같은 구리 캡핑막을 형성한다. 약 10kV 내지 약 50kV 범위의 빔 가속 전위(VAcc)를 사용하는 것이 바람직하며, 약 200sccm 내지 약 3000sccm 범위로 유동하는 노즐 가스를 사용할 수 있다. 예를 들면, 차단층 재료를 에칭하면서 동시에 구리 캡핑막을 형성하기 위한 바람직한 프로세스는 유속 700sccm에서 N2 중의 10% NF3의 소스 가스 혼합물을 사용한다. GCIB 에칭 및 주입 프로세스는 모든 차단층 재료가 제거될 때까지 진행되며, 이로써 제1 인터-레벨 유전체층(708)의 상대적으로 변하지 않는 상면(709)이 드러나고, 또한, 캡핑막(713)과 함께 주입되었던 구리면이 드러난다. 대부분의 프로세스 도중에 이것이 차단층(712) 재료에 의해서 GCIB로부터 차폐되기 때문에 상면(709)에 미치는 영향은 거의 없다.6C shows step 700C of the process of constructing the wiring design 700 after the GCIB cleaning step. The contaminants are cleaned on the upper surfaces of the first copper wiring layer 702 and the blocking layer 712 and prepared for the capping step. You can now apply the GCIB capping process. The GCIB etch and implant capping process (preferably in place) is used to simultaneously cap the surface of the first copper interconnect layer 702 and etch the blocking layer 712 overlying the top surface 709. The GCIB etch and capping process is a GCIB consisting of an element that forms a capping material upon injection into the copper surface but the blocking layer 712 material is an etch of the first copper interconnect layer 702 and the first inter-level dielectric layer 708. Investigate the top surface. GCIB irradiation, which etches the blocking layer 712 material exposed on the top surface 709 while simultaneously injecting capping species into the first copper interconnect layer 702, forms the capping film 713. Source gases containing fluorine and / or elemental sulfur, including but not limited to SF 6 , CF 4 , C 4 F 8 or NF 3 , are used to form GCIB. These gases can be used to form gas-cluster ions for injection by using pure gas or by mixing with N 2 or by mixing with an inert gas such as, for example, Ar or Xe. This implantation forms, for example, a copper capping film such as CuF 2 . It is preferred to use a beam acceleration potential (V Acc ) in the range of about 10 kV to about 50 kV, and nozzle gas flowing in the range of about 200 sccm to about 3000 sccm may be used. For example, a preferred process for etching a barrier layer material while simultaneously forming a copper capping film uses a source gas mixture of 10% NF 3 in N 2 at a flow rate of 700 sccm. The GCIB etch and implant process proceeds until all barrier layer material is removed, thereby revealing a relatively unchanged top surface 709 of the first inter-level dielectric layer 708 and also implanting with the capping film 713. Exposed copper surface. During most of the process there is little effect on top 709 because it is shielded from GCIB by the barrier layer 712 material.

도 6D는 GCIB 에칭 및 캡핑 단계 후의 배선 설계(700)를 구성하는 프로세스에서의 단계(700D)를 보여준다. 제1 구리 배선층(702)의 상면은 캡핑층(713)으로 캡핑되어 있으며, 차단층(712)은 에칭되어, 제1 인터-레벨 유전체층(708)의 상면(709)이 노출되어 있다. 상기 구조는 유전체 차단막을 형성하기 위해서 준비된다. 이제, 차단막(622)을 증착시키기 위해 전술한 바와 같은 동일한 방법을 사용하여, GCIB 프로세스를 수행함으로써 캡핑층(713) 상에 및 제1 인터-레벨 유전체층(708)의 상면(709) 상에 유전체 확산 차단막(714)을 형성할 수 있다. 6D shows step 700D in the process of configuring wiring design 700 after the GCIB etch and capping step. The top surface of the first copper wiring layer 702 is capped with a capping layer 713, and the blocking layer 712 is etched to expose the top surface 709 of the first inter-level dielectric layer 708. The structure is prepared to form a dielectric barrier film. Now, on the capping layer 713 and on the top surface 709 of the first inter-level dielectric layer 708 by performing a GCIB process, using the same method as described above to deposit the blocking film 622. The diffusion barrier layer 714 may be formed.

도 6E는 유전체 확산 차단막(714)의 형성 후의 배선 설계(700)를 구성하는 프로세스에서의 단계(700E)를 보여준다. 6E shows step 700E in the process of constructing the wiring design 700 after formation of the dielectric diffusion barrier 714.

도 6F는 (유전체 차단막을 포함하는) GCIB 캡핑된 제1 인터커넥트 레벨 상의 제2 인터커넥트 레벨의 배선 설계(700)를 구성하는 프로세스에서의 단계(700F)를 보여준다. 이 단계에서는, 차단막(714) 상에 제2 인터커넥트 레벨이 형성되어 있다. 제2 인터커넥트 레벨은 차단막(714) 상에 증착되어 있는 제2 인터-레벨 유전체(710)로 이루어져 있다. 제2 인터-레벨 유전체(710) 내에는 트렌치 및 비아가 형성되어 있으며 차단층(612)으로 라이닝되어 있다. 통상의 기법을 사용하여 트렌치 및 비아 내에 구리가 증착되어 있다. 차단층(712)은 초기에는 인터-레벨 유전체층(712)의 상면을 피복한다. 과도한 구리는 차단층(712)의 재료 상에서 정지하는 통상의 CMP에 의해서 제거된다. 부가적으로, 차단층 재료보다 상당히 높은 속도로 구리를 우선적으로 제거하도록 선택된 통상의 CMP 프로세스 조건을 사용함으 로써, 예를 들면, 차단 재료에 비해 구리를 선택적으로 제거하는 매우 선택적인 슬러리를 사용함으로써, 구리는, 도시한 바와 같이, 차단층(712)의 상면 아래에 약간 들어가 있다. 표면은 통상의 프로세스를 사용하여 세정된다. 제2 구리 배선층(704) 및 차단층(712)의 상면에는 잔류성 오염물질(717)이 있는 것으로 도시되어 있다. (있다면) 제2 인터커넥트 레벨에서 및 (있다면) 후속하는 상위 인터커넥트 레벨에서, 배선 설계(700) 내의 제1 인터커넥트 레벨용으로 상기한 바와 같이 GCIB 세정 및 GCIB (에칭 및 주입 캡핑) 및 GCIB 증착 단계를 적용하여, (예를 들면) 캡핑막(715) 및 차단막(716)을 형성한다. 따라서, 경우에 따라, 도 6A의 두 개의 인터커넥트 레벨 구조 또는 멀티-레벨 인터커넥트 구조를 형성할 수 있다.FIG. 6F shows step 700F in the process of configuring the wiring design 700 of the second interconnect level on the GCIB capped first interconnect level (including the dielectric barrier). In this step, a second interconnect level is formed on the blocking film 714. The second interconnect level consists of a second inter-level dielectric 710 deposited on the blocking film 714. Trench and vias are formed in the second inter-level dielectric 710 and lined with a blocking layer 612. Copper is deposited in trenches and vias using conventional techniques. The blocking layer 712 initially covers the top surface of the inter-level dielectric layer 712. Excess copper is removed by conventional CMP that stops on the material of barrier layer 712. In addition, by using conventional CMP process conditions selected to preferentially remove copper at significantly higher rates than the barrier layer material, for example, by using a highly selective slurry that selectively removes copper over the barrier material. , Copper is slightly below the upper surface of the blocking layer 712 as shown. The surface is cleaned using conventional processes. The top surfaces of the second copper interconnect layer 704 and the blocking layer 712 are shown having residual contaminants 717. At the second interconnect level (if any) and at the subsequent higher interconnect level (if any), the GCIB clean and GCIB (etch and injection capping) and GCIB deposition steps are performed as described above for the first interconnect level in the wiring design 700. In addition, the capping film 715 and the blocking film 716 are formed. Thus, in some cases, two interconnect level structures or multi-level interconnect structures of FIG. 6A may be formed.

앞서 배선 설계(700)에서 설명된 과도한 구리의 CMP 제거 이후에, 노출된 차단층 재료가 바람직하지 않은 공간적인 불균일한 두께를 갖는 경우에, 선택적이기는 하지만, 보정적인 방식으로 GCIB 에칭을 공간적으로 불균일하게 만드는 것이 바람직하다. 먼저, 통상의 금속막 매핑(mapping) 기기(예를 들면, 미국 뉴저지 07836 플랜더즈 원 루돌프 로드 소재의 루돌프 테크놀러지스 인코포레이티드(Rudolph Technologies, Inc.)에서 입수할 수 있는 루돌프 테크놀러지스 METAPULSE®-II 금속막 계측 시스템)를 사용하여 가공물 웨이퍼의 표면 전체에 대해서 차단층 두께에 대한 맵(map)을 작성함으로써, 차단층을 상기한 바와 같이 보정 에칭이 되도록 에칭하여, 차단층 재료가 두꺼운 곳에서는 더 많이 에칭되도록 하고 차단층 재료가 얇은 곳에서는 더 적게 에칭되도록 하여, 차단층 재료의 초기 두께 때문에 과도하게 에칭되는 영역에서 아래에 있는 인터-레벨 유전체의 제거를 최소화할 수 있다. 이러한 공간적 보정 에칭법은, 앨런(Allen) 등의 미국 특허 제6,537,606호(그 내용은 본원에 참고로 인용되어 있다)(이하, '606 특허라 함)에 교시된 기법과 함께 측정된 차단층 두께 맵을 사용하여 달성된다. '606 특허에서 개시된 기법에 따라서 측정 맵으로부터 동작하는, 자동 에칭 보정 능력을 갖춘 에피온 코포레이션(Epion Corporation)의 nFusion™ GCIB 가공 시스템(미국 매사추세츠 빌레르카 소재의 에피온 코포레이션)과 같은 가스-클러스터 이온 빔 프로세싱 장비는 시판되고 있다.After the excess copper CMP removal described in wiring design 700, if the exposed barrier layer material has an undesirable spatial non-uniform thickness, the GCIB etch is spatially non-uniform in a selective but corrective manner. It is desirable to make it. First, Rudolf Technologies, Inc., available from Rudolph Technologies, Inc. of 07836 Flanders One Rudolph Road, NJ, USA. By using a metal film measuring system) to create a map of the thickness of the blocking layer over the entire surface of the workpiece wafer, the blocking layer is etched to be corrected etching as described above, where the blocking layer material is thicker. By allowing a lot of etch and less of the barrier layer material to be etched thinner, it is possible to minimize the removal of the underlying inter-level dielectric in areas that are excessively etched due to the initial thickness of the barrier layer material. This spatially corrected etch method is a barrier layer thickness measured with the technique taught in Allen et al. US Pat. No. 6,537,606, the contents of which are incorporated herein by reference (hereinafter referred to as the '606 patent). Is achieved using a map. Gas-clusters such as Epiion Corporation's nFusion ™ GCIB processing system (Epion Corporation, Willerca, Mass.) With automatic etch correction, operating from measurement maps according to the technique disclosed in the '606 patent. Ion beam processing equipment is commercially available.

본 발명의 당해 양태에서는, 상기한 바와 같이, 차단층 에칭 및 구리 캡핑 둘 다를 GCIB 가공을 사용하여 단일 단계로 수행하여 양자를 동시에 수행하도록 하는 것이 바람직하다. 또한, 각각의 단계에 대해서 서로 다른 특징을 가진 GCIB를 사용하여, 차단층 에칭 및 구리 캡핑 프로세스를 별도의 GCIB 프로세스 단계로서 수행하는 것이 가능하며, 일부 경우에서는 유용할 수도 있다. 이러한 경우에, 도 6C에 도시한 단계에 도달하게 되면, 초기에 인터-레벨 유전체층(708)의 상면(709)을 오버레잉하고 있는 차단층 재료는 GCIB 캡핑 단계 이전에 GCIB 에칭 프로세스에 의해서 제거된다. GCIB 에칭 단계 이후에, 도 6G에 나타낸 것과 같은 구조가 드러나게 되며, 본 발명의 다양한 양태에서 전술한 GCIB 캡핑 프로세스를 사용하여 수행되는, 구리 배선층 및 인터-레벨 유전체층 캡핑을 위해 준비된다. 각각의 인터커넥트 레벨에서, 바람직한 에칭 단계는 세정될 표면을 SF6, CF4, C4F8 또는 NF3과 같은 가스를 포함하지만 이들에만 제한되지 않는 불소 원소를 함유하는 소스 가스 (들)로부터 형성된 GCIB 클러스터 이온으로 조사하는 것이다. 이들 가스는 순수 가스를 사용하거나 또는 N2와 혼합시키거나 또는, 예를 들면, Ar 또는 Xe와 같은 불활성 기체와 혼합함으로써 에칭용으로 가스-클러스터 이온을 형성하는데 사용될 수 있다. 바람직하게는 약 10kV 내지 약 50kV 범위의 빔 가속 전위(VAcc)를 사용하고, 약 200sccm 내지 약 3000sccm 범위로 유동하는 노즐 가스를 사용할 수 있다. 예를 들면, 차단층 재료를 에칭하기 위한 바람직한 프로세스는 유속 700sccm에서 N2 중의 10% NF3의 소스 가스 혼합물을 사용한다. 필요에 따라, GCIB 에칭 단계는, 차단층 재료의 두께에서의 초기의 공간적인 불균일을 보정하기 위한, 상기한 바와 같은 보정 에칭 단계일 수 있다. In this aspect of the present invention, as described above, it is preferable to perform both the barrier layer etching and the copper capping in a single step using GCIB processing to perform both simultaneously. It is also possible to perform the barrier layer etch and copper capping process as separate GCIB process steps, with GCIB having different characteristics for each step, and may be useful in some cases. In this case, once the step shown in FIG. 6C is reached, the barrier layer material that is initially overlying the top surface 709 of the inter-level dielectric layer 708 is removed by the GCIB etching process prior to the GCIB capping step. . After the GCIB etching step, a structure as shown in FIG. 6G is revealed and prepared for copper interconnect layer and inter-level dielectric layer capping, which is performed using the GCIB capping process described above in various aspects of the invention. At each interconnect level, the preferred etching step comprises forming the surface to be cleaned from source gas (s) containing elemental fluorine, including but not limited to a gas such as SF 6 , CF 4 , C 4 F 8 or NF 3. Irradiation with GCIB cluster ions. These gases can be used to form gas-cluster ions for etching by using pure gas or by mixing with N 2 or by mixing with an inert gas such as, for example, Ar or Xe. Preferably, a beam acceleration potential (VAcc) in the range of about 10 kV to about 50 kV is used, and a nozzle gas flowing in the range of about 200 sccm to about 3000 sccm may be used. For example, a preferred process for etching the barrier layer material uses a source gas mixture of 10% NF 3 in N 2 at a flow rate of 700 sccm. If desired, the GCIB etch step may be a correction etch step as described above to correct for initial spatial non-uniformity in the thickness of the barrier layer material.

도 7A는 본 발명의 제4 양태에 따른 (예를 들면, 두 개의 배선층 인터커넥트 레벨을 나타내고 있지만, 이에 제한되지는 않는다) GCIB 주입을 사용하여 캡핑된 구리 인터커넥트의 배선 설계(800)를 보여주는 개략도이다. 개략도는 제1 구리 배선층(802), 제2 구리 배선층(804) 및 이들 두 개의 구리층을 접속하고 있는 구리 비아 구조(806)를 지지하는 기판(801)을 나타내고 있으며, 이들 각각은 통상의 기법을 사용하여 형성될 수 있다. 기판(801)은 전형적으로 전기적인 인터커넥션을 필요로 하는 반응형 및/또는 비반응형 원소를 함유하는 (가능하게는 하위 인터커넥트 레벨을 포함하는) 반도체 기판이다. 양 구리 배선층(802, 804) 및 비아 구조(806)의 측벽 및 저부는 통상의 기법을 사용하여 형성될 수 있는 차단층(812)으로 라이닝되어 있다. 제1 인터-레벨 유전체층(808) 및 제2 인터-레벨 유전체 층(810)은 구리 배선들 사이에 전기 절연을 제공하며, 통상의 기법을 사용하여 형성될 수 있다. 제1 인터-레벨 유전체층(808)은 상면(809)을 갖고, 제2 인터-레벨 유전체층(810)은 상면(811)을 갖는다. 아래에 보다 상세하게 설명되는 바와 같이, 각각의 구리 배선 인터커넥트 레벨에서, 통상적으로 증착되는 바와 같이, 차단층(812)이 초기에 인터-레벨 유전체층(808, 810)의 상면(809, 811)을 피복한다. 본 발명의 당해 양태에서, 차단층(812) 재료가 상면(809, 811)으로부터 제거되고, 따라서 이것은 도 7A에 도시된 완성된 구조에서 이들 표면에 나타나지 않는다. 상면(809, 811)으로부터 차단층(812) 재료의 제거는 바람직하게는, 본원에 기재된 GCIB 가공이나 통상의 방법으로 수행할 수 있다. 제1 구리 배선층(802)의 상면과 제2 구리 배선층(804)의 상면을 GCIB 가공으로 캡핑시켜 주입된 캡핑막(813, 815)을 형성한다. 주입된 구리 캡핑막(813, 815) 및 인접한 인터-레벨 유전체층(808, 810)을 각각 임의로 유전체 차단막(814, 816)으로 캡핑시켜 개선된 구리 확산 차단 및 비아 에칭-중지 특성을 제공할 수 있다. 유전체 차단막(814, 816)은 바람직하게는 질화규소탄소이지만, 질화규소 또는 탄화규소 또는 기타의 적합한 유전체일 수도 있으며, 통상적으로 PECVD를 사용하여 증착시킬 수 있지만, GCIB 증착으로 도포하는 것이 바람직하다. FIG. 7A is a schematic diagram illustrating a wiring design 800 of a capped copper interconnect using GCIB implantation (eg, showing, but not limited to, two wiring layer interconnect levels) in accordance with a fourth aspect of the present invention. . The schematic diagram shows a substrate 801 supporting a first copper wiring layer 802, a second copper wiring layer 804, and a copper via structure 806 connecting these two copper layers, each of which is a conventional technique. It can be formed using. Substrate 801 is typically a semiconductor substrate (possibly including a lower interconnect level) containing reactive and / or non-reactive elements requiring electrical interconnection. Sidewalls and bottoms of both copper wiring layers 802 and 804 and via structure 806 are lined with a blocking layer 812 that can be formed using conventional techniques. The first inter-level dielectric layer 808 and the second inter-level dielectric layer 810 provide electrical insulation between copper wires and can be formed using conventional techniques. The first inter-level dielectric layer 808 has a top surface 809, and the second inter-level dielectric layer 810 has a top surface 811. As described in more detail below, at each copper interconnect interconnect level, as is typically deposited, the blocking layer 812 initially covers the top surfaces 809, 811 of the inter-level dielectric layers 808, 810. Cover. In this embodiment of the present invention, the barrier layer 812 material is removed from the top surfaces 809 and 811, so it does not appear on these surfaces in the finished structure shown in FIG. 7A. The removal of the barrier layer 812 material from the top surfaces 809 and 811 may preferably be performed by GCIB processing or conventional methods described herein. The top surface of the first copper wiring layer 802 and the top surface of the second copper wiring layer 804 are capped by a GCIB process to form the injected capping films 813 and 815. The implanted copper capping films 813, 815 and the adjacent inter-level dielectric layers 808, 810 may optionally be capped with dielectric barrier films 814, 816, respectively, to provide improved copper diffusion blocking and via etch-stop properties. . The dielectric barrier films 814 and 816 are preferably silicon nitride, but may also be silicon nitride or silicon carbide or other suitable dielectrics, which can typically be deposited using PECVD, but are preferably applied by GCIB deposition.

도 7B는 배선 설계(800)를 구성하는 프로세스에서의 예비 단계(800B)를 보여준다. 도시된 단계에서, 인터커넥트 레벨은 기판(801) 상에 형성된다. 인터커넥트 레벨은 기판 상에 증착되어진 제1 인터-레벨 유전체(808)로 이루어진다. 트렌치 및 비아는 제1 인터-레벨 유전체(808) 내에 형성되어 있으며, 차단층(812)으로 라이닝되어 있다. 트렌치 및 비아에는 구리가 증착되어 있다. 차단층(812)은 초기에는 인터-레벨 유전체층(808)의 상면(809)을 피복하고 있다. 과도한 구리는 차단층(812)의 재료 상에서 정지하는 통상의 CMP에 의해서 제거된다. 부가적으로, 차단층 재료보다 상당히 높은 속도로 우선적으로 구리를 제거하도록 선택된 통상의 CMP 프로세스 조건을 사용함으로써, 예를 들면, 차단 재료에 비해 구리를 선택적으로 제거하는 매우 선택적인 슬러리를 사용함으로써, 구리는, 도시한 바와 같이, 차단층(812)의 상면 아래에 약간 들어가 있다. 표면은 통상의 프로세스를 사용하여 세정된다. 제1 구리 배선층(802) 및 차단층(812)의 상면에는 잔류성 오염 물질(803)이 있는 것으로 도시되어 있다. 이 단계 및 각각의 후속하는 인터커넥트 레벨의 대응하는 단계(하나 이상의 인터커넥트 레벨을 가정함)의 상면(들)에서, 예를 들면, 플라즈마 세정 프로세스 또는 GCIB 세정 프로세스와 같은, 바람직하게는 제위치의, 통상의 건식 세정 프로세스가 수행될 수 있다. GCIB 세정은 세정하고자 하는 표면(들)을 바람직하게는 약 3kV 내지 약50kV 범위의 빔 가속 전위(VAcc)를 사용하고, 약 5×1013 내지 약 5×1016 이온/㎠ 범위의 전체 가스-클러스터 이온 조사량으로 Ar, N2, NH3 또는 H2 가스의 분자 또는 이들의 혼합물로 이루어진 GCIB 클러스터 이온으로 조사함을 포함한다. 당해 기술분야의 숙련가들은 본 발명이 이들 예시적인 가스로만 제한되는 것이 아니며, 구리 표면으로부터 포스트-CMP 잔류물, 구리 산화물 및 다른 오염 물질을 제거하는 다른 가스 또는 가스 혼합물로도 실시할 수 있음을 인지할 것이다. 본 발명에 필수적이지는 않지만, 이러한 GCIB 세정 프로세스는 제위치 세정 프로세스인 것이 바람직하다.7B shows a preliminary step 800B in the process of constructing the wiring design 800. In the step shown, the interconnect level is formed on the substrate 801. The interconnect level consists of a first inter-level dielectric 808 deposited on a substrate. Trench and vias are formed in first inter-level dielectric 808 and lined with blocking layer 812. Copper is deposited in the trenches and vias. The blocking layer 812 initially covers the top surface 809 of the inter-level dielectric layer 808. Excess copper is removed by conventional CMP that stops on the material of barrier layer 812. Additionally, by using conventional CMP process conditions selected to preferentially remove copper at significantly higher rates than the barrier layer material, for example by using a highly selective slurry that selectively removes copper relative to the barrier material, As shown in the figure, copper is slightly below the upper surface of the blocking layer 812. The surface is cleaned using conventional processes. Upper surfaces of the first copper interconnection layer 802 and the blocking layer 812 are illustrated as having residual contaminants 803. On the top (s) of this step and the corresponding step of each subsequent interconnect level (assuming one or more interconnect levels), preferably in situ, such as, for example, a plasma cleaning process or a GCIB cleaning process, Conventional dry cleaning processes may be performed. GCIB cleaning uses a beam acceleration potential (V Acc ) of the surface (s) to be cleaned, preferably in the range of about 3 kV to about 50 kV, and a total gas in the range of about 5 × 10 13 to about 5 × 10 16 ions / cm 2. Irradiating with GCIB cluster ions consisting of molecules of Ar, N 2 , NH 3 or H 2 gas or mixtures thereof in a cluster ion dosage. Those skilled in the art recognize that the present invention is not limited to these exemplary gases, but may be practiced with other gases or gas mixtures that remove post-CMP residues, copper oxides and other contaminants from the copper surface. something to do. Although not essential to the present invention, it is preferred that this GCIB cleaning process is an in-situ cleaning process.

도 7C는 GCIB 세정 단계 이후의 배선 설계(800)를 구성하는 프로세스에서의 단계(800C)를 보여준다. 제1 구리 배선층(802) 및 차단층(812)의 상면에서 오염 물질을 세정하고, 캡핑 단계용으로 준비한다. 이제 GCIB 캡핑 프로세스를 적용할 수 있다. GCIB 주입 캡핑 프로세스를 사용하여 제1 구리 배선층(802) 및 차단층(812)의 표면을 동시에 캡핑하며, 여기서 이는 상면(809)을 오버레잉한다. GCIB 에칭 및 캡핑 프로세스는 구리 표면 내로 주입시 캡핑 재료를 형성하는 원소로 이루어진 GCIB로 제1 구리 배선층(802) 및 노출된 차단층(812)의 상면을 조사함을 포함한다. GCIB 조사는 캡핑 종을 제1 구리 배선층(802)에 주입하여, 캡핑막(813)을 형성한다(도 7D). GCIB 조사는 주입된 층을 노출된 배래어층 재료(812)로 동시에 주입한다. 당해 양태에서, 주입 조건은, 캡핑 주입 단계가 완료될 때 노출된 차단층의 주입 깊이가 상면(809)을 오버레잉하는 노출된 차단층(812)의 두께보다 작도록 선택된다. 따라서, 노출된 차단층(812)으로의 캡핑 종의 주입은 인터-레벨 유전체(808)로 침투하지 않는다. 차단층(812)은 구리 캠핑 주입으로부터 인터-레벨 유전체(808)를 차폐하기 때문에, 사용 가능한 주입 캡핑 종의 범위는, 주입되는 경우 인터-레벨 유전체(808)의 특성을 열화시키는 캡핑 종을 포함하도록 확대된다. 주입 깊이는 GCIB를 가속시키는 빔 가속 전위에 따라 좌우된다. 바람직하게는 약 3kV 내지 약 50kV 범위의 빔 가속 전위(VAcc)가 사용되며, 실제 값은 노출된 차단층에 형성된 주입된 층이 인터-레벨 유전체(808)로 침투하지 않도록 선택된다. 구리 캡핑 주입을 위해서는 약 1×1014 내지 약 1×1017 이온/㎠ 범위의 GCIB 조사량이 사용된다. 구리 캡핑막을 형성하는데 적합한 어떠한 소스 가스(다수가 본 발명의 또 다른 양태에 대해 앞서 열거되어 있음)라도 사용될 수 있지만, 인터-레벨 유전체가 주입된 종으로부터 차폐되기 때문에, 가스 또는 가스 혼합물은 당해 양태에서 이들이 인터-레벨 유전체 재료에서 전도체층을 생성하는지 또는 달리 불리한 막을 생성할 수 있는지에 관계없이 선택될 수 있다. 몇 가지 예시적인 소스 가스는 WF6, 기타의 금속 플루오라이드 가스, 탄소-함유 가스 및 유기 금속 가스이다. 7C shows step 800C in the process of constructing the wiring design 800 after the GCIB cleaning step. The contaminants are cleaned on the upper surfaces of the first copper wiring layer 802 and the blocking layer 812 and prepared for the capping step. You can now apply the GCIB capping process. The GCIB injection capping process is used to simultaneously cap the surfaces of the first copper interconnect layer 802 and the blocking layer 812, which overlays the top surface 809. GCIB etching and capping processes include irradiating the top surface of the first copper interconnect layer 802 and the exposed blocking layer 812 with GCIB, which is an element that forms a capping material upon injection into the copper surface. GCIB irradiation injects the capping species into the first copper wiring layer 802 to form a capping film 813 (FIG. 7D). GCIB irradiation simultaneously injects the implanted layer into exposed bare layer material 812. In this aspect, the implant conditions are selected such that when the capping implant step is complete, the implant depth of the exposed barrier layer is less than the thickness of the exposed barrier layer 812 overlaying the top surface 809. Thus, implantation of the capping species into the exposed blocking layer 812 does not penetrate into the inter-level dielectric 808. Since the blocking layer 812 shields the inter-level dielectric 808 from copper camping implantation, the range of implant capping species available includes capping species that degrade the characteristics of the inter-level dielectric 808 when implanted. To enlarge. Injection depth depends on the beam acceleration potential that accelerates the GCIB. Preferably a beam acceleration potential (V Acc ) in the range of about 3 kV to about 50 kV is used, and the actual value is selected so that the implanted layer formed in the exposed blocking layer does not penetrate into the inter-level dielectric 808. GCIB dosages ranging from about 1 × 10 14 to about 1 × 10 17 ions / cm 2 are used for copper capping implantation. Any source gas suitable for forming a copper capping film (many of which are listed above for another aspect of the present invention) can be used, but since the inter-level dielectric is shielded from the injected species, the gas or gas mixture may be Can be selected regardless of whether they produce a conductor layer in an inter-level dielectric material or otherwise create a disadvantageous film. Some exemplary source gases are WF 6 , other metal fluoride gases, carbon-containing gases, and organometallic gases.

도 7D는 GCIB 캡핑 단계 이후의 배선 설계(800)를 구성하는 프로세스에서의 단계(800D)를 보여준다. 제1 구리 배선층(802)의 상면이 캡핑층(813)으로 캡핑되고, 차단층(812)이 캡핑 주입 단계로부터 생성된 주입된 층(818)을 갖는다. 확대한 인서트(820)는 노출된 차단층(812)에서의 캡핑층(813) 및 주입된 층(818)을 보다 상세하게 보여준다. 상기 구조는 인터-레벨 유전체층(808)의 상면(809)을 오버레잉하는 차단층(812) 및 주입된 층(818)을 제거하기 위해서 준비된다. 이제 에칭 프로세스(바람직하게는 아래에 기재된 바와 같은 GCIB 프로세스를 사용함)를 수행하여, 주입된 구리 캡핑층을 제거하지 않고도 인터-레벨 유전체층(808)의 상면(809)을 오버레잉하는 차단층(812) 및 주입된 층(818)을 제거할 수 있다(효과적인 캡핑층이 잔류한다면 일부분 또는 구리 캡핑층을 제거할 수 있다).7D shows step 800D in the process of constructing the wiring design 800 after the GCIB capping step. The top surface of the first copper wiring layer 802 is capped with a capping layer 813, and the blocking layer 812 has an implanted layer 818 produced from the capping implantation step. The enlarged insert 820 shows the capping layer 813 and the injected layer 818 in the exposed blocking layer 812 in more detail. The structure is prepared to remove the barrier layer 812 and the implanted layer 818 overlying the top surface 809 of the inter-level dielectric layer 808. A blocking layer 812 is now performed to perform an etching process (preferably using a GCIB process as described below) to overlay the top surface 809 of the inter-level dielectric layer 808 without removing the implanted copper capping layer. ) And the implanted layer 818 may be removed (a partial or copper capping layer may be removed if an effective capping layer remains).

도 7E는 GCIB 에칭 단계 이후의 배선 설계(800)를 구성하는 프로세스에서의 단계(800E)를 보여준다. 제1 구리 배선층(802)의 상면은 캡핑층(813)으로 캡핑되 어 있으며, 차단층(812)은 에칭되어, 제1 인터-레벨 유전체층(808)의 상면(809)이 노출되어 있다. 상기 구조는 유전체 차단막을 형성하기 위해서 준비된다. 이제, 차단막(622) 증착에 대해서 전술한 바와 같은 증착 프로세스(바람직하게는 GCIB)를 사용하여, 캡핑층(813) 상에 및 제1 인터-레벨 유전체층(808)의 상면(809) 상에 유전체 확산 차단막(814)(도 7F)을 임의로 형성할 수 있다. 7E shows step 800E in the process of constructing the wiring design 800 after the GCIB etching step. The top surface of the first copper wiring layer 802 is capped by a capping layer 813, and the blocking layer 812 is etched to expose the top surface 809 of the first inter-level dielectric layer 808. The structure is prepared to form a dielectric barrier film. Now, on the capping layer 813 and on the top surface 809 of the first inter-level dielectric layer 808, using a deposition process (preferably GCIB) as described above for the deposition of the barrier film 622. The diffusion barrier 814 (FIG. 7F) can be formed arbitrarily.

도 7F는 유전체 확산 차단막(814)의 임의 형성 후의 배선 설계(800)를 구성하는 프로세스에서의 단계(800E)를 보여준다. 7F shows step 800E in the process of constructing the wiring design 800 after any formation of the dielectric diffusion barrier 814.

도 7G는 (유전체 차단막을 포함하는) GCIB 캡핑된 제1 인터커넥트 레벨 상의 제2 인터커넥트 레벨의 배선 설계(800)를 구성하는 프로세스에서의 단계(800G)를 보여준다. 이 단계에서는, 차단막(814) 상에 제2 인터커넥트 레벨이 형성되어 있다. 제2 인터커넥트 레벨은 차단막(814) 상에 증착되어 있는 제2 인터-레벨 유전체(810)로 이루어져 있다. 제2 인터-레벨 유전체(810) 내에는 트렌치 및 비아가 형성되어 있으며 차단층(812)으로 라이닝되어 있다. 통상의 기법을 사용하여 트렌치 및 비아 내에 구리가 증착되어 있다. 차단층(812)은 초기에는 인터-레벨 유전체층(810)의 상면을 피복한다. 과도한 구리는 차단층(812)의 재료 상에서 정지하는 통상의 CMP에 의해서 제거된다. 부가적으로, 차단층 재료보다 상당히 높은 속도로 구리를 우선적으로 제거하도록 선택된 통상의 CMP 프로세스 조건을 사용함으로써, 예를 들면, 차단 재료에 비해 구리를 선택적으로 제거하는 매우 선택적인 슬러리를 사용함으로써, 구리는, 도시한 바와 같이, 차단층(812)의 상면 아래에 약간 들어가 있다. 표면은 통상의 프로세스를 사용하여 세정된다. 제2 구리 배선 층(804) 및 차단층(812)의 상면에는 잔류성 오염물질(817)이 있는 것으로 도시되어 있다. (있다면) 제2 인터커넥트 레벨에서 및 (있다면) 후속하는 상위 인터커넥트 레벨에서, 배선 설계(800) 내의 제1 인터커넥트 레벨용으로 상기한 바와 같이 GCIB 세정, GCIB 주입 캡핑, GCIB 에칭 및 GCIB 증착 단계를 적용하여, (예를 들면) 캡핑막(815) 및 임의의 차단막(816)을 형성할 수 있다. 따라서, 경우에 따라, 도 7A의 두 개의 인터커넥트 레벨 구조 또는 멀티-레벨 인터커넥트 구조를 구성할 수 있다.FIG. 7G shows step 800G in the process of configuring the wiring design 800 of the second interconnect level on the GCIB capped first interconnect level (including the dielectric barrier). In this step, a second interconnect level is formed on the blocking film 814. The second interconnect level consists of a second inter-level dielectric 810 deposited on the blocking film 814. Trenchs and vias are formed in the second inter-level dielectric 810 and lined with a blocking layer 812. Copper is deposited in trenches and vias using conventional techniques. The blocking layer 812 initially covers the top surface of the inter-level dielectric layer 810. Excess copper is removed by conventional CMP that stops on the material of barrier layer 812. In addition, by using conventional CMP process conditions selected to preferentially remove copper at significantly higher rates than the barrier layer material, for example, by using a highly selective slurry that selectively removes copper over the barrier material, As shown in the figure, copper is slightly below the upper surface of the blocking layer 812. The surface is cleaned using conventional processes. The top surfaces of the second copper interconnect layer 804 and the blocking layer 812 are shown as having residual contaminants 817. At the second interconnect level (if any) and at the subsequent higher interconnect level (if any), apply GCIB cleaning, GCIB injection capping, GCIB etching, and GCIB deposition steps as described above for the first interconnect level in wiring design 800. Thus, the capping film 815 and the optional blocking film 816 can be formed (for example). Thus, in some cases, the two interconnect level structures or the multi-level interconnect structure of FIG. 7A may be configured.

각각의 인터커넥트 레벨에서, 바람직한 에칭 단계는 세정하고자 하는 표면을 SF6, CF4, C4F8 또는 NF3을 포함하지만 이에 제한되지 않는 불소 원소를 함유하는 소스 가스(들)로부터 형성된 GCIB 클러스터 이온으로 조사하는 것이다. 이들 가스는 순수 가스를 사용하거나 N2와 혼합시키거나 또는, 예를 들면, Ar 또는 Xe와 같은 불활성 기체와 혼합함으로써 에칭용으로 가스-클러스터 이온을 형성하는데 사용될 수 있다. 바람직하게는 약 10kV 내지 약 50kV 범위의 빔 가속 전위(VAcc)를 사용하고, 약 200sccm 내지 약 3000sccm 범위로 유동하는 노즐 가스를 사용할 수 있다. 예를 들면, 구리를 거의 또는 전혀 에칭하지 않으면서 차단층 재료를 에칭하기 위한 바람직한 프로세스는 유속 800sccm에서 N2 중의 10% NF3의 소스 가스 혼합물을 사용한다. 본 발명의 제4 양태에서, GCIB 에칭 단계의 효과가, GCIB 구리 캡핑 주입 단계에 의해 구리 표면에 사전에 형성된 캡핑층을 통해 침투하지 않는 것이 바람직하 다. 따라서, 차단 재료 에칭 GCIB를 가속화시키는 데 사용되는 빔 가속 전위(VAcc)를 구리 캡핑 주입 GCIB를 가속화시키는 데 사용되는 것보다 낮게 선택하는 것이 또한 바람직하다. At each interconnect level, a preferred etch step involves GCIB cluster ions formed from source gas (s) containing elemental fluorine including but not limited to SF 6 , CF 4 , C 4 F 8 or NF 3 to be cleaned. To investigate. These gases can be used to form gas-cluster ions for etching by using pure gas or mixing with N 2 , or by mixing with an inert gas such as, for example, Ar or Xe. Preferably, a beam acceleration potential (V Acc ) in the range of about 10 kV to about 50 kV, and a nozzle gas flowing in the range of about 200 sccm to about 3000 sccm may be used. For example, a preferred process for etching the barrier layer material with little or no etching of copper uses a source gas mixture of 10% NF 3 in N 2 at a flow rate of 800 sccm. In a fourth aspect of the invention, it is preferred that the effect of the GCIB etching step does not penetrate through the capping layer previously formed on the copper surface by the GCIB copper capping implantation step. Therefore, it is also desirable to select a lower beam acceleration potential (V Acc ) used to accelerate the barrier material etch GCIB than that used to accelerate the copper capping implanted GCIB.

상기한 본 발명의 네 가지 양태 각각은 GCIB 가공의 사용을 필요로 하는 단계 또는 GCIB 가공의 사용이 임의선택적인 단계를 포함한다. 본 발명의 양태의 GCIB 가공 단계는 임의로, 각각의 요구되는 단계의 연속 적용에 의해 본 발명을 실현하기 위해 몇몇 경우에 종래의 (비-GCIB) 가공 단계와 조합하여 수행할 수 있다. 물론, 다른 프로세스 단계를 제공하기 위한 기타의 표준 독립형 기기(이에 제한되지 않지만, 예를 들면, 증착을 위한 PECVD 기기 및 세정을 위한 플라즈마 가공 기기)와 조합하여 도 3에 도시된 바와 같은 GCIB 가공 시스템을 사용하여 본 발명을 실시하는 것이 실용적이다(몇몇 상황에서는 바람직하다). 그러나, 요구되는 제조 용적에 따라, 기타의 가공 기기가 바람직할 수 있다. 몇가지 이유로, 하나의 기기로 동시에 다수의 연속 단계들을 작동시키는 것이 바람직할 수 있다. 이러한 한 가지 이유는 작업처리량이다 - 가공될 반도체 웨이퍼는 웨이퍼가 기기에서 기기로 전달되어야 하는 것보다 몇 배 더 빨리 제조 프로세스를 통해 이동한다. 하나의 기기로 다수 단계를 수행하는 또 다른 잇점은 보다 양호한 집적회로 성능을 초래하는 보다 높은 품질 가공이다. 예를 들면, 구리 배선이 산화되면, 배선의 저항이 증가하고 배선의 신뢰도가 저하된다. 따라서, 유전체 확산 차단막의 세정, 캡핑 및 형성 모두는 단계들 사이에 웨이퍼를 대기에 노출시키지 않으면서 단일 진공 시 스템 속에서 제위치에서 수행되는 것이 바람직하다. 또한, 하나의 기기에서 다수의 단계들을 수행함으로써, 단계들 사이에 대기에 노출시키지 않으면서(감압 대기 또는 진공으로 작동시킴), 오염을 피할 수 있어, 프로세스에서 추가의 세정 단계에 대한 필요를 감소시킬 수 있다. Each of the four aspects of the present invention described above includes steps requiring the use of GCIB processing or steps in which the use of GCIB processing is optional. The GCIB processing steps of embodiments of the present invention may optionally be performed in some cases in combination with conventional (non-GCIB) processing steps to realize the present invention by successive application of each required step. Of course, the GCIB processing system as shown in FIG. 3 in combination with other standard stand-alone devices (including but not limited to PECVD equipment for deposition and plasma processing equipment for cleaning) to provide other process steps. It is practical to practice the present invention using (preferably in some situations). However, depending on the volume of manufacture required, other processing equipment may be desirable. For several reasons, it may be desirable to operate multiple successive steps simultaneously with one device. One reason for this is throughput-the semiconductor wafer to be processed moves through the manufacturing process several times faster than the wafer must be transferred from the device to the device. Another advantage of performing multiple steps with one device is higher quality processing resulting in better integrated circuit performance. For example, when copper wiring is oxidized, the resistance of the wiring increases and the reliability of the wiring decreases. Thus, cleaning, capping and forming all of the dielectric diffusion barrier is preferably performed in place in a single vacuum system without exposing the wafer to the atmosphere between steps. In addition, by performing multiple steps in one instrument, contamination can be avoided without exposing to the atmosphere between the steps (operating with reduced pressure or vacuum), thus reducing the need for additional cleaning steps in the process. You can.

당해 제4 양태 방법의 독특한 잇점은 구리 캡핑 단계 및 인터-레벨 유전체의 상면으로부터 확산 차단를 제거하는 단계를 수행하는 순서로부터 초래된다. 상기한 바와 같은 종래의 선행 기술 가공 시퀀스에서 및 본 발명의 두 개의 제1 양태에서, 각각의 인터커넥션 층에 대해, 인터커넥션 층에서의 구리에 대한 캡핑 프로세스를 수행하기 전에 차단층 재료가 인터-레벨 유전체의 상면으로부터 제거된다. 본 발명의 제3 양태에서, 각각의 인터커넥션 층에 대해, 인터커넥션 층에서의 구리에 대한 캡핑 프로세스를 수행함과 동시에 차단층 재료가 인터-레벨 유전체의 상면으로부터 제거된다. 종래의 선행 기술 가공 시퀀스 모두에서 및 본 발명의 두 개의 제1 양태에서, 구리 캡핑 프로세스는 바람직하지 않은 방식으로 인터-레벨 유전체 상면과 상호작용하지 않는 캡핑 프로세스의 사용에 구속되는데, 그 이유는 인터-레벨 유전체 상면을 차폐하는 바람직하지 않은 추가의 마스킹 단계가 없으면, 이것이 구리 캡핑 프로세스의 효과에 노출되기 때문이다. 본 발명의 제3 양태의 경우에, 구리 캡핑 프로세스는 구리 캡핑층을 형성함과 동시에 차단층 재료를 에칭시킬 수 있는 GCIB의 사용에 구속되며, 추가로 차단층 재료가 완전히 에칭되는 경우, 에칭/캡핑 프로세스의 말기에 인터-레벨 유전체층의 단시간의 조사로부터 초래되는 인터-레벨 유전체층의 상면에서의 바람직하지 않은 효과 또는 약간의 오염 가능성 이 있다. 본 발명의 제4 양태의 경우에, 인터-레벨 유전체의 상면 상의 차단층 재료와 구리 캡핑 프로세스 전반에 걸쳐 인터-레벨 유전체의 상면을 완전히 마스킹하며, 인터-레벨 유전체에 대한 가능한 바람직하지 않은 효과와 무관하게 구리 캡핑 성능을 최적화하기 위해 GCIB 구리 캡핑에 사용되는 GCIB 구성요소를 완전히 자유롭게 선택할 수 있다. A unique advantage of this fourth aspect method results from the order of performing the copper capping step and removing the diffusion barrier from the top surface of the inter-level dielectric. In the prior art processing sequence as described above and in the two first aspects of the present invention, for each interconnection layer, the barrier layer material is interconnected prior to performing the capping process for copper in the interconnection layer. It is removed from the top surface of the level dielectric. In a third aspect of the invention, for each interconnection layer, a barrier layer material is removed from the top surface of the inter-level dielectric while performing a capping process for copper in the interconnection layer. In both prior art processing sequences and in the two first aspects of the present invention, the copper capping process is constrained to the use of a capping process that does not interact with the inter-level dielectric top surface in an undesirable manner, because Without the undesirable additional masking step of shielding the level dielectric top surface, this is exposed to the effect of the copper capping process. In the case of the third aspect of the present invention, the copper capping process is constrained to the use of GCIB capable of etching the barrier layer material simultaneously with forming the copper capping layer, and further, if the barrier layer material is completely etched, the etching / At the end of the capping process there is a possibility of undesirable effects or slight contamination on the top surface of the inter-level dielectric layer resulting from short-term irradiation of the inter-level dielectric layer. In the case of the fourth aspect of the present invention, the masking layer material on the top surface of the inter-level dielectric and the top surface of the inter-level dielectric throughout the copper capping process are completely masked and possible undesirable effects on the inter-level dielectric and Regardless, the GCIB component used for GCIB copper capping is completely free to optimize copper capping performance.

따라서, 본 발명을 사용하는 고용적 제조시, 도 8A에 도시된 바와 같은 클러스터 기기를 사용하는 것이 바람직하다. 도 8A는 클러스터 기기(900A)의 다이아그램을 보여준다. 운반 체임버(902)는 어느 위치에서 다른 위치로 가공물을 운반하기 위한 가공물 운반 장치(904), 바람직하게는 웨이퍼 전달 로봇 등을 함유한다. 로드/언로드 고정장치(load/unload lock)(906)는 가공물을 클러스터 기기 안팎으로 전달하기 위한 대기-대-진공 잠금을 제공한다. 로드/언로드 고정장치(906)는 가공물을 클러스터 기기 안팎으로 전달하도록 작동할 수 있는 셔틀 또는 밸브(908, 910)를 갖는다. 로드/언로드 고정장치(906)는 진공(감압 대기)과 대기압 사이에서 순환할 수 있어 가공물(도시되어 있지 않음)을 대기로부터 클러스터 기기의 진공 대기로 전달하는 것을 촉진시킬 수 있다. 가공물은 개별적으로 또는 다수의 가공물을 함유하는 카세트 또는 포드(pod)로 로드/언로드 고정장치(906)를 통해 전달될 수 있다. 가공물을 클러스터 기기에 배치하고 가공물을 클러스터 기기로부터 제거하는 둘 다를 위해 단일 로드/언로드 고정장치(906)가 도시되어 있지만, 당해 기술분야의 숙련가들은 클러스터 기기의 다양한 표준 설계와 일치하는 바와 같이 별도의 로드 및 언로드 고정장치가 또한 사용될 수 있음을 이해할 것이다. Therefore, in high volume manufacturing using the present invention, it is preferable to use a cluster device as shown in Fig. 8A. 8A shows a diagram of a cluster device 900A. The conveying chamber 902 contains a workpiece conveying device 904, preferably a wafer transfer robot, or the like, for conveying a workpiece from one position to another. A load / unload lock 906 provides a standby-to-vacuum lock for transferring the workpiece into and out of the cluster device. The load / unload fixture 906 has shuttles or valves 908, 910 that can be operable to deliver the workpiece into and out of the cluster device. The load / unload fixture 906 may circulate between vacuum (decompressed atmosphere) and atmospheric pressure to facilitate transfer of the workpiece (not shown) from the atmosphere to the vacuum atmosphere of the cluster device. The workpieces can be delivered through the load / unload fixture 906 individually or in a cassette or pod containing multiple workpieces. Although a single load / unload fixture 906 is shown for both placing the workpiece in the cluster instrument and removing the workpiece from the cluster instrument, those skilled in the art will appreciate that the artisan will have a separate It will be appreciated that load and unload fixtures may also be used.

클러스터 기기(900A)는 다수의 가공 체임버(예를 들면, 912, 916, 920, 924, 928로 도시되어 있지만 이에 제한되지 않음)를 갖는다. 각각의 가공 체임버는 셔틀 또는 밸브(각각 914, 918, 922, 926, 930)를 통해 운반 체임버(902)와 통신한다. 각각의 가공 체임버는 상이한(또는 동일한) 유형의 가공물 가공을 위한 도구로서 구성될 수 있으며, 클러스터 기기는 5개(도시된 바와 같이) 또는 그 이상의 부착된 가공 체임버를 가질 수 있다. 전형적으로, 운반 체임버(902) 및 가공 체임버 모두는 프로세스 단계들 사이에서 가공물의 대기 노출없이 가공물에 대해 다수의 프로세스를 수행하는 것을 촉진시키기 위해 진공 조건에서 작동한다. 도 3에 도시된 GCIB 가공 시스템의 기능적 가공 성능은 클러스터 기기 가공 체임버가 GCIB 가공 단계를 클러스터 기기에 삽입할 수 있도록 구성될 수 있다. 클러스터 기기 프로세싱 모듈로서 작용하기에 적합한 GCIB 가공 시스템이 제조되고 있으며, 미국 매사추세츠주 빌레리카에 소재하는 에피온 코포레이션(Epion Corporation)에서 시판되고 있다. The cluster device 900A has a number of processing chambers (e.g., but not limited to 912, 916, 920, 924, 928). Each processing chamber communicates with the transport chamber 902 via a shuttle or valve (914, 918, 922, 926, 930, respectively). Each processing chamber can be configured as a tool for processing different (or same) types of workpieces, and the cluster machine can have five (as shown) or more attached processing chambers. Typically, both the delivery chamber 902 and the processing chamber operate under vacuum conditions to facilitate performing multiple processes on the workpiece without exposing the workpiece to the atmosphere between process steps. The functional machining performance of the GCIB machining system shown in FIG. 3 may be configured such that the cluster machine machining chamber can insert the GCIB machining step into the cluster machine. A GCIB processing system suitable for acting as a cluster instrument processing module has been manufactured and is commercially available from Epiion Corporation of Billerica, Massachusetts.

도 8B는 프로세싱 모듈 A, 프로세싱 모듈 B, 프로세싱 모듈 C, 프로세싱 모듈 D 및 프로세싱 모듈 E(각각 도 8A의 가공 체임버(912, 196, 920, 924, 928)에 상응함)라고 하는 5개 가공 체임버가 장착된 클러스터 기기(900B)를 보여준다. 이들 프로세싱 모듈(가공 체임버) 중의 하나 이상은 GCIB 가공 시스템으로서 구성될 수 있다. 이들 프로세싱 모듈 중의 나머지는, 예를 들면, 플라즈마 세정 시스템, PECVD 증착 시스템 등과 같지만 이에 제한되지 않는 기타의 가공 시스템으로서 구성될 수 있다. 가공물 운반 장치(904)는 각종 가공 체임버(912, 196, 920, 924, 928) 및 운반 체임버(902) 및 로드/언로드 고정장치(906) 사이에서 웨이퍼를 이동시킨다. 클러스터 기기가 하나 이상의 플라즈마 세정 시스템 모듈로 구성되는 경우, 플라즈마 세정 시스템 모듈은 동일한 클러스터 기기에서 수행되는 GCIB 구리 캡핑 작업 이전에 통상의 기법을 사용하여 가공물(웨이퍼)의 세정을 수행하도록 개조될 수 있다. 하나 이상의 PECVD 증착 시스템 모듈로 구성되는 경우, PECVD 증착 시스템 모듈은 동일한 클러스터 기기에서 수행되는 GCIB 구리 캡핑 작업을 사용하여 사전에 캡핑되어진 캡핑된 구리 상에 유전체막을 증착시키도록 개조될 수 있다. 클러스터 기기는 다수의 GCIB 가공 체임버로 구성될 수 있다. 이러한 GCIB 가공 체임버는 GCIB 구리 캡핑 프로세스, GCIB 표면 세정 프로세스 및/또는 GCIB 증착 프로세스(예를 들면, 동일한 클러스터 기기에서 GCIB 프로세스에 의해 캡핑된 구리 상에서의 유전체 확산 차단막의 증착을 포함한 유전체막의 증착)를 수행하도록 개조될 수 있다. 8B shows five processing chambers called Processing Module A, Processing Module B, Processing Module C, Processing Module D, and Processing Module E (corresponding to processing chambers 912, 196, 920, 924, 928, respectively, in FIG. 8A). Shows a cluster device 900B mounted. One or more of these processing modules (process chambers) may be configured as a GCIB processing system. The remainder of these processing modules may be configured as other processing systems such as, but not limited to, plasma cleaning systems, PECVD deposition systems, and the like. The workpiece transport device 904 moves the wafer between the various process chambers 912, 196, 920, 924, 928 and the transport chamber 902 and the load / unload fixture 906. If the cluster device consists of one or more plasma cleaning system modules, the plasma cleaning system module may be adapted to perform cleaning of the workpiece (wafer) using conventional techniques prior to GCIB copper capping operations performed in the same cluster device. . When composed of one or more PECVD deposition system modules, the PECVD deposition system modules can be adapted to deposit dielectric films on pre-capped capped copper using GCIB copper capping operations performed in the same cluster device. The cluster device may be composed of multiple GCIB processing chambers. Such a GCIB processing chamber may comprise a GCIB copper capping process, a GCIB surface cleaning process, and / or a GCIB deposition process (e.g., deposition of a dielectric film including deposition of a dielectric diffusion barrier on copper capped by the GCIB process in the same cluster device). Can be adapted to perform.

상기한 본 발명의 네 가지 양태는 도 9의 표에 도시된 각종 실시예에 열거된 단계들 및 임의의 단계들을 포함한다. 본 발명의 네 가지 양태에 대해, 도 9의 표는 통상의 가공 및 GCIB 가공을 사용한 가공 단계의 몇가지 가능한 예시적인 조합 및 다양한 단계들의 조합을 효율적으로 수행하기 위한 클러스터 기기 구성(바람직한 구성 포함)을 보여준다. The four aspects of the present invention described above include the steps and any steps listed in the various embodiments shown in the table of FIG. For the four aspects of the invention, the table of FIG. 9 shows cluster instrument configurations (including preferred configurations) for efficiently performing several possible exemplary combinations of processing steps and combinations of various steps using conventional processing and GCIB processing. Shows.

도 9의 표에 도시된 클러스터 기기 구성이 최대 4개의 프로세싱 모듈을 보여주지만, 도 9의 표에 따라 요구되는 것보다 더 많은 프로세싱 모듈을 지지할 수 있는 클러스터 기기가, 몇몇 경우에 있어서, 추가의 프로세싱 모듈을 사용하여 보다 느린 프로세스를 이중으로 수행하여 작업 부하를 이중 모듈 사이에 분담시켜 작업 처리량을 최적화함으로써 및/또는 본 발명의 일부는 아니지만 본 발며의 각종 양태의 단계들의 순서 전후에 자연스럽게 일어나며 전반적인 집적회로 제조 프로세스의 부가적인 부분으로서 요구되는 추가의 프로세스 단계를 부가함으로써 이익을 얻을 수 있음은 당해 기술분야의 숙련가들에게 자명할 것이다. Although the cluster device configuration shown in the table of FIG. 9 shows up to four processing modules, there are, in some cases, additional cluster devices capable of supporting more processing modules than required according to the table of FIG. 9. The processing module is used to perform slower processes in duplicate to distribute the workload between the dual modules to optimize throughput and / or to occur naturally before or after the sequence of steps of the various aspects of the present invention, although not part of the present invention. It will be apparent to those skilled in the art that the benefit of adding the additional process steps required as an additional part of the integrated circuit fabrication process can be benefited.

다양한 양태에 관하여 본 발명을 설명하였지만, 본 발명은 본 발명의 취지 내에서 광범위한 추가의 양태 및 또 다른 양태도 가능함을 인지해야 한다. 예를 들면, 당해 기술분야의 숙련가들에게는, 본 발명이 이중 다마신 집적 설계에만 제한되지 않으며, 다른 구리 인터커넥트 설계에도 동등하게 적용 가능하다는 것이 명백할 것이다. 또한, 본 발명은 (예를 들면, Si3N4, SiC, SiCN, BN, CuF2, TiO2, CuCO3, B, Ti, 질화규소, 탄화규소, 질화규소탄소, 질화붕소, 불화구리, 이산화티타늄, 탄산구리, 붕소, 티타늄 및 붕규산염 유리와 같은) 다양한 화합물을 포함하는 주입 및 증착된 막 또는 층의 측면에서 설명하였지만, 당해 기술분야의 숙련가들이라면, 본 발명의 실시에서 형성된 많은 막 및 층이 변환되고, 또한 가장 단순한 형태에서조차 화학식 또는 화학명에 의해서 내포되는 정밀 화학량론을 가지는 것이 아니라 오히려 화학량론적인 값에 근사하고 있을 뿐이며, 유사한 응용에서 사용되는 이러한 막에서 통상적인 바와 같이 수소 및/또는 다른 불순물을 추가적으로 포 함할 수도 있음을 이해할 것이다.While the invention has been described in terms of various aspects, it should be appreciated that the invention is capable of a wide variety of additional and further aspects within the spirit of the invention. For example, it will be apparent to those skilled in the art that the present invention is not limited to dual damascene integrated designs, but is equally applicable to other copper interconnect designs. In addition, the present invention (for example, Si 3 N 4 , SiC, SiCN, BN, CuF 2 , TiO 2 , CuCO 3 , B, Ti, silicon nitride, silicon carbide, silicon nitride, boron nitride, copper fluoride, titanium dioxide Although described in terms of implanted and deposited films or layers comprising various compounds (such as copper carbonate, boron, titanium and borosilicate glass), those skilled in the art will appreciate that many films and layers formed in the practice of the present invention It does not have a precise stoichiometry that is converted and also implied by a chemical formula or chemical name, even in its simplest form, but rather approximates to a stoichiometric value and, as is common in such membranes used in similar applications, hydrogen and / or other It will be appreciated that additional impurities may be included.

Claims (55)

하나 이상의 구리 인터커넥트 표면(interconnect surface) 및 유전체 재료를 피복하는 차단층 재료의 하나 이상의 표면을 포함하는 구조 상에 캡핑 구조를 형성하는 방법으로서, A method of forming a capping structure on a structure comprising at least one copper interconnect surface and at least one surface of a barrier layer material covering the dielectric material, the method comprising: 감압 체임버 내에 상기 구조를 배치하는 단계, Placing the structure in a reduced pressure chamber, 감압 체임버 내에 가속 캡핑 GCIB를 형성하는 단계 및 Forming an accelerated capping GCIB in the reduced pressure chamber; and 하나 이상의 구리 인터커넥트 표면 중의 하나 이상 및 유전체 재료를 피복하는 차단층 재료의 하나 이상의 표면 중의 하나 이상에 가속 캡핑 GCIB를 지향시켜, 가속 캡핑 GCIB가 지향되는 하나 이상의 구리 인터커넥트 표면에 하나 이상의 캡핑 구조를 형성하는 단계를 포함하는 방법. Directing the accelerated capping GCIB to at least one of the at least one copper interconnect surface and at least one of the at least one surface of the barrier layer material covering the dielectric material, thereby forming at least one capping structure on the at least one copper interconnect surface to which the accelerated capping GCIB is directed. Method comprising the steps of: 제1항에 있어서, 차단층 재료가 제1 두께를 가지며, 지향 단계가 추가로 주입층을 유전체 재료를 피복하는 차단층 재료의 하나 이상의 표면 중의 하나 이상에 주입하며, 주입된 층이 제1 두께보다는 작은 제2 두께를 갖는 방법. The method of claim 1, wherein the barrier layer material has a first thickness, and wherein the directing step further injects the injection layer into at least one of the one or more surfaces of the barrier layer material covering the dielectric material, wherein the injected layer is of the first thickness. Rather than having a second thickness. 제2항에 있어서, 주입된 층, 및 유전체 재료를 피복하는 차단층 재료를 에칭시키는 단계를 추가로 포함하는 방법. The method of claim 2, further comprising etching the implanted layer and the barrier layer material covering the dielectric material. 제3항에 있어서, 에칭 단계가, 감압 체임버 내에 가속 에칭 GCIB를 형성하는 단계 및 가속 에칭 GCIB를 유전체 재료를 피복하는 차단층 재료의 하나 이상의 표면에 지향시키는 단계를 추가로 포함하는 방법. The method of claim 3, wherein the etching further comprises forming an accelerated etch GCIB in the reduced pressure chamber and directing the accelerated etch GCIB to one or more surfaces of the barrier layer material covering the dielectric material. 제1항에 있어서, 캡핑 GCIB 형성 및 지향 단계 이전에, The method of claim 1, prior to the capping GCIB formation and directing step, 감압 체임버 내에 가속 세정 GCIB를 형성하는 단계 및 Forming an accelerated cleaning GCIB in a reduced pressure chamber, and 하나 이상의 구리 인터커넥트 표면 중의 하나 이상 및 유전체 재료를 피복하는 차단층 재료의 하나 이상의 표면 중의 하나 이상에 가속 세정 GCIB를 지향시켜, 가속 세정 GCIB가 지향되는 하나 이상의 표면을 세정하는 단계를 추가로 포함하는 방법. Directing the accelerated cleaning GCIB to at least one of the at least one copper interconnect surface and at least one of the at least one surface of the barrier layer material covering the dielectric material, thereby cleaning the at least one surface to which the accelerated clean GCIB is directed. Way. 제5항에 있어서, 가속 세정 GCIB를 형성하는 단계가, Ar, N2, NH3 및 H2로 이루어진 그룹으로부터 선택된 하나 이상의 가스의 분자들로부터 가스-클러스터 이온을 발생시킴을 추가로 포함하는 방법. The method of claim 5, wherein forming the accelerated clean GCIB further comprises generating gas-cluster ions from molecules of one or more gases selected from the group consisting of Ar, N 2 , NH 3, and H 2 . . 제5항에 있어서, 가속 세정 GCIB를 형성하는 단계가, 세정 GCIB 가스 클러스터 이온을 약 3 내지 약 50kV의 가속 전위로 가속시킴을 추가로 포함하는 방법. The method of claim 5, wherein forming an accelerated clean GCIB further comprises accelerating the clean GCIB gas cluster ions to an acceleration potential of about 3 to about 50 kV. 제5항에 있어서, 가속 세정 GCIB를 지향시키는 단계가, 약 5×1013 내지 약 5×1016 가스-클러스터 이온/㎠ 범위의 조사량을 하나 이상의 구리 인터커넥트 표면 중의 하나 이상으로 전달시키는 방법. The method of claim 5, wherein directing the accelerated clean GCIB delivers a dosage in the range of about 5 × 10 13 to about 5 × 10 16 gas-cluster ions / cm 2 to one or more of the one or more copper interconnect surfaces. 제1항에 있어서, 유전체 재료가 인터-레벨 유전체층의 일부를 포함하는 방법. The method of claim 1 wherein the dielectric material comprises a portion of an inter-level dielectric layer. 제1항에 있어서, 가속 캡핑 GCIB를 형성하는 단계가, Ar, Xe, CH4, SiH4, NH3, N2, CO2, GeH4, B2H2, B2H6, TiCl4 및 TDEAT로 이루어진 그룹 중의 하나 이상의 분자를 포함하는 가스 클러스터 이온을 발생시킴을 추가로 포함하는 방법. The method of claim 1, wherein the step of forming the accelerated capping GCIB comprises Ar, Xe, CH 4 , SiH 4 , NH 3 , N 2 , CO 2 , GeH 4 , B 2 H 2 , B 2 H 6 , TiCl 4 and And generating gas cluster ions comprising one or more molecules of the group consisting of TDEAT. 제1항에 있어서, 가속 캡핑 GCIB를 형성하는 단계가, 발생된 가스 클러스터 이온을 약 3 내지 약 50kV의 가속 전위로 가속시킴을 추가로 포함하는 방법. The method of claim 1, wherein forming an accelerated capping GCIB further comprises accelerating the generated gas cluster ions to an acceleration potential of about 3 to about 50 kV. 제1항에 있어서, 가속 캡핑 GCIB를 지향시키는 단계가, 약 1×1014 내지 약 1×1017 가스-클러스터 이온/㎠ 범위의 조사량을 하나 이상의 구리 인터커넥트 표면 중의 하나 이상 및 유전체 재료를 피복하는 차단층 재료의 하나 이상의 표면 중의 하나 이상으로 전달시키는 방법. The method of claim 1, wherein directing the accelerated capping GCIB comprises applying a dosage in the range of about 1 × 10 14 to about 1 × 10 17 gas-cluster ions / cm 2 to cover at least one of the one or more copper interconnect surfaces and the dielectric material. Transferring to one or more of the one or more surfaces of the barrier layer material. 제1항에 있어서, 형성된 하나 이상의 캡핑 구조를 오버레잉하는 하나 이상의 절연층을 형성하는 단계를 추가로 포함하는 방법. The method of claim 1, further comprising forming at least one insulating layer overlying the formed at least one capping structure. 제13항에 있어서, 하나 이상의 절연층을 형성하는 단계가 PECVD 증착법을 사용하는 방법. The method of claim 13, wherein forming at least one insulating layer uses PECVD deposition. 제13항에 있어서, 형성된 하나 이상의 절연층이 탄화규소, 질화규소 및 질화규소탄소로 이루어진 그룹으로부터 선택된 하나의 재료로 이루어지는 방법.The method of claim 13, wherein the at least one insulating layer formed is of one material selected from the group consisting of silicon carbide, silicon nitride, and silicon nitride carbon. 제13항에 있어서, 하나 이상의 캡핑 구조를 오버레잉하는 하나 이상의 절연층을 형성하는 단계가, The method of claim 13, wherein forming at least one insulating layer overlying at least one capping structure 감압 체임버 내에 가속 증착 GCIB를 형성하는 단계 및 Forming an accelerated deposition GCIB in a reduced pressure chamber; and 하나 이상의 구리 인터커넥트 표면에 가속 증착 GCIB를 지향시켜, 하나 이상의 절연층을 증착시키는 단계를 추가로 포함하는 방법. Directing the accelerated deposition GCIB to one or more copper interconnect surfaces to deposit one or more insulating layers. 제13항에 있어서, 하나 이상의 캡핑 구조를 오버레잉하는 하나 이상의 절연층이 유전체 확산 차단막인 방법.The method of claim 13, wherein the at least one insulating layer overlying the at least one capping structure is a dielectric diffusion barrier. 하나 이상의 웨이퍼를 클러스터 기기 내로 및/또는 클로스터 기기 바깥으로 이동하기 위한 하나 이상의 고정장치(lock), One or more locks for moving one or more wafers into and / or out of the cluster device, 하나 이상의 운반 체임버, One or more carrying chambers, 하나 이상의 GCIB 가공 체임버, One or more GCIB processing chambers, 하나 이상의 세정 체임버 및 One or more cleaning chambers and 하나 이상의 웨이퍼를 체임버에서 체임버로 이송시키는 데 적합한 하나 이상의 웨이퍼 운반 장치를 포함하는, 감압 대기에서 하나 이상의 웨이퍼를 가공하기 위한 클러스터 기기.A cluster device for processing one or more wafers in a reduced pressure atmosphere, the apparatus comprising at least one wafer transport device suitable for transferring one or more wafers from the chamber to the chamber. 제18항에 있어서, 하나 이상의 GCIB 가공 체임버가 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합하고, 추가로 하나 이상의 세정 체임버가 구리 캡핑 프로세스 이전에 세정을 수행하는 데 적합한 클러스터 기기. The cluster device of claim 18, wherein the one or more GCIB processing chambers are suitable for performing a copper capping process on at least a portion of the one or more wafers, and further wherein the one or more cleaning chambers are suitable for performing cleaning prior to the copper capping process. 제19항에 있어서, 하나 이상의 세정 체임버가 플라즈마 세정 체임버인 클러스터 기기.20. The cluster device of claim 19, wherein the at least one cleaning chamber is a plasma cleaning chamber. 제19항에 있어서, 하나 이상의 GCIB 가공 체임버가 하나 이상의 웨이퍼의 적어도 일부에 유전체 확산 차단막을 형성하는 데 적합한 클러스터 기기.20. The cluster device of claim 19, wherein the one or more GCIB processing chambers are suitable for forming a dielectric diffusion barrier in at least a portion of the one or more wafers. 하나 이상의 웨이퍼를 클러스터 기기 내로 및/또는 클로스터 기기 바깥으로 이동하기 위한 하나 이상의 고정장치, One or more fixtures for moving one or more wafers into and / or out of the cluster device, 하나 이상의 운반 체임버, One or more carrying chambers, 하나 이상의 GCIB 가공 체임버, One or more GCIB processing chambers, 하나 이상의 증착 체임버 및 One or more deposition chambers and 하나 이상의 웨이퍼를 체임버에서 체임버로 이송시키는 데 적합한 하나 이상의 웨이퍼 운반 장치를 포함하는, 감압 대기에서 하나 이상의 웨이퍼를 가공하기 위한 클러스터 기기. A cluster device for processing one or more wafers in a reduced pressure atmosphere, the apparatus comprising at least one wafer transport device suitable for transferring one or more wafers from the chamber to the chamber. 제22항에 있어서, 하나 이상의 GCIB 가공 체임버가 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합하고, 추가로 하나 이상의 증착 체임버가 하나 이상의 웨이퍼의 적어도 일부 위의 캡핑된 구리 위에 유전체 확산 차단막을 형성하는 데 적합한 클러스터 기기. The method of claim 22, wherein the one or more GCIB processing chambers are suitable for performing a copper capping process on at least a portion of the one or more wafers, and further wherein the one or more deposition chambers are dielectric diffused over the capped copper over at least a portion of the one or more wafers. Cluster device suitable for forming the barrier. 제22항에 있어서, 하나 이상의 증착 체임버가 PECVD 증착 체임버인 클러스터 기기. The cluster device of claim 22, wherein the at least one deposition chamber is a PECVD deposition chamber. 제22항에 있어서, 하나 이상의 GCIB 가공 체임버가 구리 캡핑 프로세스 이전에 세정을 수행하는 데 적합한 클러스터 기기. The cluster device of claim 22, wherein the one or more GCIB processing chambers are suitable for performing cleaning prior to the copper capping process. 하나 이상의 웨이퍼를 클러스터 기기 내로 및/또는 클로스터 기기 바깥으로 이동하기 위한 하나 이상의 고정장치, One or more fixtures for moving one or more wafers into and / or out of the cluster device, 하나 이상의 운반 체임버, One or more carrying chambers, 하나 이상의 GCIB 가공 체임버, One or more GCIB processing chambers, 하나 이상의 증착 체임버, One or more deposition chambers, 하나 이상의 세정 체임버 및 One or more cleaning chambers and 하나 이상의 웨이퍼를 체임버에서 체임버로 이송시키는 데 적합한 하나 이상의 웨이퍼 운반 장치를 포함하는, 감압 대기에서 하나 이상의 웨이퍼를 가공하기 위한 클러스터 기기.A cluster device for processing one or more wafers in a reduced pressure atmosphere, the apparatus comprising at least one wafer transport device suitable for transferring one or more wafers from the chamber to the chamber. 제26항에 있어서, 하나 이상의 GCIB 가공 체임버가 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합하고, 추가로 하나 이상의 세정 체임버가 구리 캡핑 프로세스 이전에 세정을 수행하는 데 적합한 클러스터 기기.27. The cluster apparatus of claim 26, wherein the one or more GCIB processing chambers are suitable for performing a copper capping process on at least a portion of the one or more wafers, and further wherein the one or more cleaning chambers are suitable for performing cleaning prior to the copper capping process. 제26항에 있어서, 하나 이상의 GCIB 가공 체임버가 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합하고, 추가로 하나 이상의 증착 체임버가 캡핑된 구리 위에 유전체 확산 차단막을 형성하는 데 적합한 클러스터 기기. 27. The cluster apparatus of claim 26, wherein the one or more GCIB processing chambers are suitable for performing a copper capping process on at least a portion of the one or more wafers, and further wherein the one or more deposition chambers are suitable for forming a dielectric diffusion barrier over the capped copper. . 제26항에 있어서, 하나 이상의 증착 체임버가 PECVD 증착 체임버인 클러스터 기기. 27. The cluster device of claim 26, wherein the at least one deposition chamber is a PECVD deposition chamber. 제26항에 있어서, 하나 이상의 세정 체임버가 플라즈마 세정 체임버인 클러스터 기기. 27. The cluster device of claim 26, wherein the at least one cleaning chamber is a plasma cleaning chamber. 제26항에 있어서, 하나 이상의 GCIB 가공 체임버가 유전체 확산 차단막을 형성하는 데 적합한 클러스터 기기. 27. The cluster device of claim 26, wherein the one or more GCIB processed chambers are suitable for forming a dielectric diffusion barrier. 제26항에 있어서, 하나 이상의 GCIB 가공 체임버가 구리 캡핑 프로세스 이전에 하나 이상의 웨이퍼의 적어도 일부를 세정하는 데 적합한 클러스터 기기.27. The cluster device of claim 26, wherein the one or more GCIB processing chambers are suitable for cleaning at least a portion of the one or more wafers prior to the copper capping process. 하나 이상의 웨이퍼를 클러스터 기기 내로 및/또는 클로스터 기기 바깥으로 이동하기 위한 하나 이상의 고정장치, One or more fixtures for moving one or more wafers into and / or out of the cluster device, 다수의 GCIB 가공 체임버 및 Many GCIB processing chambers and 하나 이상의 웨이퍼를 체임버에서 체임버로 이송시키는 데 적합한 하나 이상의 웨이퍼 운반 장치를 포함하는, 감압 대기에서 하나 이상의 웨이퍼를 가공하기 위한 클러스터 기기.A cluster device for processing one or more wafers in a reduced pressure atmosphere, the apparatus comprising at least one wafer transport device suitable for transferring one or more wafers from the chamber to the chamber. 제33항에 있어서, 하나 이상의 GCIB 가공 체임버가 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합하고, 추가로 하나 이상의 GCIB 가공 체임버가 캡핑된 구리 위에 유전체 확산 차단막을 형성하는 데 적합한 클러스터 기기. 34. The cluster of claim 33, wherein the one or more GCIB processing chambers are suitable for performing a copper capping process on at least a portion of the one or more wafers, and further wherein the one or more GCIB processing chambers are suitable for forming a dielectric diffusion barrier over the capped copper. device. 제33항에 있어서, 하나 이상의 GCIB 가공 체임버가 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합하고, 추가로 하나 이상의 GCIB 가공 체임버가 구리 캡핑 프로세스 이전에 세정 프로세스를 수행하는 데 적합한 클러스터 기기.34. The cluster of claim 33, wherein the one or more GCIB processing chambers are suitable for performing a copper capping process on at least a portion of the one or more wafers, and further wherein the one or more GCIB processing chambers are suitable for performing a cleaning process prior to the copper capping process. device. 제33항에 있어서, 하나 이상의 GCIB 가공 체임버가 하나 이상의 웨이퍼의 적어도 일부에서 구리 캡핑 프로세스를 수행하는 데 적합하고, 추가로 하나 이상의 GCIB 가공 체임버가 구리 캡핑 프로세스 이전에 세정을 수행하는 데 적합하며, 추가로 하나 이상의 GCIB 가공 체임버가 캡핑된 구리 위에 유전체 확산 차단막을 형성하는 데 적합한 클러스터 기기.The method of claim 33, wherein the one or more GCIB processing chambers are suitable for performing a copper capping process on at least a portion of the one or more wafers, and further wherein the one or more GCIB processing chambers are suitable for performing cleaning prior to the copper capping process, Additionally, cluster devices in which at least one GCIB processed chamber is suitable for forming a dielectric diffusion barrier over the capped copper. 하나 이상의 구리 인터커넥트 표면 및 하나 이상의 유전체 표면을 포함하는 구조 위에 캡핑 구조를 형성하는 방법으로서, A method of forming a capping structure over a structure comprising at least one copper interconnect surface and at least one dielectric surface, the method comprising: 감압 체임버 내에 상기 구조를 배치하는 단계, Placing the structure in a reduced pressure chamber, 감압 체임버 내에 가속 캡핑 GCIB를 형성하는 단계 및 Forming an accelerated capping GCIB in the reduced pressure chamber; and 하나 이상의 구리 인터커넥트 표면 및 하나 이상의 유전체 표면 중의 하나 이상에 가속 캡핑 GCIB를 지향시켜, 가속 캡핑 GCIB가 지향되는 하나 이상의 표면에 하나 이상의 캡핑 구조를 형성하는 단계를 포함하는 방법. Directing the accelerated capping GCIB to at least one of the at least one copper interconnect surface and the at least one dielectric surface to form at least one capping structure at at least one surface to which the accelerated capping GCIB is directed. 제37항에 있어서, 가속 캡핑 GCIB를 형성하는 단계가, 구리 표면으로 주입될 때 전기 절연성 재료를 형성하고 유전체 표면으로 주입될 때 전기 절연성 재료를 형성하는 원소로부터 가스-클러스터 이온을 발생시킴을 추가로 포함하고, 형성된 하나 이상의 캡핑 구조가 전기 절연성 캡핑 구조인 방법. 38. The method of claim 37, wherein forming the accelerated capping GCIB further comprises generating gas-cluster ions from the element forming the electrically insulating material when injected into the copper surface and forming the electrically insulating material when injected into the dielectric surface. Wherein the at least one capping structure formed is an electrically insulating capping structure. 제37항에 있어서, 가속 캡핑 GCIB를 형성하는 단계가, 구리 표면으로 주입될 때 전기 전도성 재료를 형성하고 유전체 표면으로 주입될 때 전기 절연성 재료를 형성하는 원소로부터 가스-클러스터 이온을 발생시킴을 추가로 포함하고, 형성된 하나 이상의 캡핑 구조가, 구리 인터커넥트 부분의 조사된 영역 위의 전기 전도성 캡핑 구조 및 유전체 부분의 조사된 영역 위의 전기 절연성 캡핑 구조 중의 하나 이상을 포함하는 방법. 38. The method of claim 37, wherein forming an accelerated capping GCIB further comprises generating gas-cluster ions from an element that forms an electrically conductive material when injected into the copper surface and forms an electrically insulating material when injected into the dielectric surface. Wherein the at least one capping structure formed comprises at least one of an electrically conductive capping structure over the irradiated area of the copper interconnect portion and an electrically insulating capping structure over the irradiated area of the dielectric portion. 제37항에 있어서, 가속 캡핑 GCIB를 형성하는 단계가 희가스 또는 희가스의 혼합물로부터 가스 클러스터 이온을 발생시킴을 추가로 포함하고, 형성된 하나 이상의 캡핑 구조가 구리 인터커넥트 부분의 조사된 영역 위에 적어도 전기 전도성 캡핑 구조를 포함하는 방법. 38. The method of claim 37, wherein forming the accelerated capping GCIB further comprises generating gas cluster ions from the rare gas or the mixture of rare gases, wherein the formed one or more capping structures are at least electrically conductive capping over the irradiated area of the copper interconnect portion. How to include a structure. 제37항에 있어서, 가속 캡핑 GCIB를 형성하는 단계가 Ar 또는 Xe 또는 Ar과 Xe의 혼합물로부터 가스 클러스터 이온을 발생시킴을 추가로 포함하고, 형성된 하나 이상의 캡핑 구조가 구리 인터커넥트 부분의 조사된 영역 위에 적어도 전기 전 도성 캡핑 구조를 포함하는 방법. 38. The method of claim 37, wherein forming an accelerated capping GCIB further comprises generating gas cluster ions from Ar or Xe or a mixture of Ar and Xe, wherein the formed one or more capping structures are formed over the irradiated region of the copper interconnect portion. At least an electrically conductive capping structure. 하나 이상의 구리 인터커넥트 표면 및 차단층 재료로 피복된 하나 이상의 유전체층 영역을 포함하는 집적 회로 인터커텍션 층 위에 구리 캡핑 구조를 형성하는 방법으로서, A method of forming a copper capping structure over an integrated circuit interconnection layer comprising at least one copper interconnect surface and at least one dielectric layer region coated with a barrier layer material. 하나 이상의 구리 인터커넥트 표면에 하나 이상의 캡핑 구조를 형성하는 단계 및 Forming at least one capping structure on at least one copper interconnect surface, and 하나 이상의 구리 인터커넥트 표면에 하나 이상의 캡핑 구조를 형성한 후, 하나 이상의 유전체층 영역 중의 하나 이상을 피복하는 차단층 재료를 제거하는 단계를 포함하는 방법. Forming one or more capping structures on the one or more copper interconnect surfaces, and then removing the barrier layer material covering one or more of the one or more dielectric layer regions. 제42항에 있어서, 형성 단계가 가속 캡핑 GCIB를 형성하는 단계 및 가속 캡핑 GCIB를 하나 이상의 구리 인터커넥트 표면의 하나 이상에 지향시키는 단계를 추가로 포함하는 방법. 43. The method of claim 42, wherein forming further comprises forming an accelerated capping GCIB and directing the accelerated capping GCIB to one or more of the one or more copper interconnect surfaces. 제42항에 있어서, 제거 단계가 가속 에칭 GCIB를 형성하는 단계 및 가속 에칭 GCIB를 차단층 재료에 지향시키는 단계를 포함하는 방법. 43. The method of claim 42, wherein removing comprises forming an accelerated etch GCIB and directing the accelerated etch GCIB to the barrier layer material. 하나 이상의 구리 인터커넥트 표면 및 차단층 재료로 피복된 하나 이상의 유전체층 영역을 포함하는 집적 회로 인터커텍션 층 위에 구리 캡핑 구조를 형성하는 방법으로서, A method of forming a copper capping structure over an integrated circuit interconnection layer comprising at least one copper interconnect surface and at least one dielectric layer region coated with a barrier layer material. 제1 빔 가속 전위를 사용하여 가속 캡핑 GCIB를 형성하는 단계, Forming an acceleration capping GCIB using the first beam acceleration potential, 가속 캡핑 GCIB를 하나 이상의 구리 인터커넥트 표면의 하나 이상에 지향시켜 하나 이상의 구리 인터커넥트 표면에 하나 이상의 캡핑 구조를 형성하는 단계, Directing the accelerated capping GCIB to one or more of the one or more copper interconnect surfaces to form one or more capping structures on the one or more copper interconnect surfaces, 제1 빔 가속 전위보다 낮은 제2 빔 가속 전위를 사용하여 가속 에칭 GCIB를 형성하는 단계 및 Forming an accelerated etching GCIB using a second beam acceleration potential that is lower than the first beam acceleration potential, and 하나 이상의 캡핑 구조 및 차단층 재료에 가속 에칭 GCIB를 지향시켜 차단층 재료를 제거하는 단계를 포함하는 방법. Directing the accelerated etching GCIB to the at least one capping structure and the barrier layer material to remove the barrier layer material. 하나 이상의 구리 인터커넥트 표면 및 차단층 재료로 피복된 하나 이상의 유전체층 영역을 포함하는 집적 회로 인터커텍션 층 위에 구리 캡핑 구조를 형성하는 방법으로서, A method of forming a copper capping structure over an integrated circuit interconnection layer comprising at least one copper interconnect surface and at least one dielectric layer region coated with a barrier layer material. 가속 캡핑 GCIB를 형성하는 단계, Forming an accelerated capping GCIB, 가속 캡핑 GCIB를 하나 이상의 구리 인터커넥트 표면의 하나 이상에 지향시켜 하나 이상의 구리 인터커넥트 표면에 하나 이상의 캡핑 구조를 형성하는 단계, Directing the accelerated capping GCIB to one or more of the one or more copper interconnect surfaces to form one or more capping structures on the one or more copper interconnect surfaces, 가속 에칭 GCIB를 형성하는 단계 및 Forming an accelerated etch GCIB, and 하나 이상의 캡핑 구조 및 차단층 재료에 가속 에칭 GCIB를 지향시켜, 하나 이상의 캡핑 구조를 전부 제거하지 않고도 하나 이상의 유전체층 영역 중의 하나 이상을 피복하는 차단층 재료를 제거하는 단계를 포함하는 방법. Directing the accelerated etching GCIB to the one or more capping structures and the barrier layer material to remove the barrier layer material covering one or more of the one or more dielectric layer regions without removing all of the one or more capping structures. 하나 이상의 캡핑된 구리 인터커넥트 표면 및 하나 이상의 유전체층 영역을 포함하는, 제42항의 방법에 의해 제조된 집적 회로 인터커넥션 층.43. An integrated circuit interconnection layer made by the method of claim 42, comprising at least one capped copper interconnect surface and at least one dielectric layer region. 하나 이상의 캡핑된 구리 인터커넥트 표면 및 하나 이상의 유전체층 영역을 포함하는, 제45항의 방법에 의해 제조된 집적 회로 인터커넥션 층.An integrated circuit interconnection layer made by the method of claim 45 comprising at least one capped copper interconnect surface and at least one dielectric layer region. 클러스터 기기 시스템에서 감압 대기를 유지하면서 클러스터 기기 시스템에서 반도체 웨이퍼를 가공하는 방법으로서, A method of processing a semiconductor wafer in a cluster device system while maintaining a reduced pressure atmosphere in the cluster device system, 클러스터 기기의 제1 GCIB 가공 체임버에서 GCIB 프로세스를 사용하여 반도체 웨이퍼 상의 유전체 재료 위의 구리 인터커넥트 표면 및 차단층 재료 표면에 캡핑층을 형성하는 단계, Forming a capping layer on the copper interconnect surface and the barrier layer material surface over the dielectric material on the semiconductor wafer using a GCIB process in the first GCIB processing chamber of the cluster device, 클러스터 기기의 감압 대기 내에서 반도체 웨이퍼를 클러스터 기기의 제1 GCIB 가공 체임버에서 제2 GCIB 가공 체임버로 운반하는 단계 및 Conveying the semiconductor wafer from the first GCIB processing chamber of the cluster device to the second GCIB processing chamber in a reduced pressure atmosphere of the cluster device; and 제2 GCIB 가공 체임버에서 GCIB 에칭 프로세스를 사용하여 유전체층으로부터 차단층 재료를 제거하는 단계를 포함하는 방법.Removing the barrier layer material from the dielectric layer using a GCIB etching process in a second GCIB processing chamber. 제49항에 있어서, 형성 단계 이전에, The method of claim 49, wherein prior to the forming step, 세정 프로세스를 사용하여 클러스터 기기의 제3 가공 체임버에서 구리 인터커넥트 표면 및 차단층 재료 표면을 세정하는 단계 및 Cleaning the copper interconnect surface and the barrier layer material surface in a third processing chamber of the cluster machine using a cleaning process; and 클러스터 기기의 감압 대기 내에서 반도체 웨이퍼를 클러스터 기기의 제3 가 공 체임버에서 클러스터 기기의 제1 GCIB 가공 체임버로 운반하는 단계를 추가로 포함하는 방법.And transferring the semiconductor wafer from the third processing chamber of the cluster device to the first GCIB processing chamber of the cluster device in the decompressed atmosphere of the cluster device. 제50항에 있어서, 클러스터 기기의 제3 가공 체임버가 GCIB 가공 체임버이고, 세정 프로세스가 GCIB 세정 프로세스를 포함하는 방법.51. The method of claim 50, wherein the third processing chamber of the cluster device is a GCIB processing chamber and the cleaning process comprises a GCIB cleaning process. 클러스터 기기 시스템에서 감압 대기를 유지하면서 클러스터 기기 시스템에서 반도체 웨이퍼를 가공하는 방법으로서, A method of processing a semiconductor wafer in a cluster device system while maintaining a reduced pressure atmosphere in the cluster device system, 클러스터 기기의 제1 GCIB 가공 체임버에서 GCIB 프로세스를 사용하여 반도체 웨이퍼 상의 구리 인터커넥트 표면 및 유전체 재료 위에 캡핑층을 형성하는 단계,Forming a capping layer over the copper interconnect surface and the dielectric material on the semiconductor wafer using a GCIB process in a first GCIB processing chamber of the cluster device, 클러스터 기기의 감압 대기 내에서 반도체 웨이퍼를 클러스터 기기의 제1 GCIB 가공 체임버에서 제2 가공 체임버로 운반하는 단계 및 Transferring the semiconductor wafer from the first GCIB processing chamber of the cluster device to the second processing chamber in the decompression atmosphere of the cluster device; and 유전체 막-형성 프로세스를 사용하여 클러스터 기기의 제2 가공 체임버에서 캡핑층 상에 유전체 확산 차단막을 형성하는 단계를 포함하는 방법.Forming a dielectric diffusion barrier on the capping layer in the second processing chamber of the cluster device using the dielectric film-forming process. 제52항에 있어서, 형성 단계 이전에, The method of claim 52, wherein prior to the forming step, 세정 프로세스를 사용하여 클러스터 기기의 제3 가공 체임버에서 구리 인터커넥트 표면 및 차단층 재료 표면을 세정하는 단계 및 Cleaning the copper interconnect surface and the barrier layer material surface in a third processing chamber of the cluster machine using a cleaning process; and 클러스터 기기의 감압 대기 내에서 반도체 웨이퍼를 클러스터 기기의 제3 가 공 체임버에서 클러스터 기기의 제1 GCIB 가공 체임버로 운반하는 단계를 추가로 포함하는 방법. And transferring the semiconductor wafer from the third processing chamber of the cluster device to the first GCIB processing chamber of the cluster device in the decompressed atmosphere of the cluster device. 제53항에 있어서, 클러스터 기기의 제3 가공 체임버가 GCIB 가공 체임버이고, 세정 프로세스가 GCIB 세정 프로세스를 포함하는 방법. 54. The method of claim 53, wherein the third processing chamber of the cluster device is a GCIB processing chamber and the cleaning process comprises a GCIB cleaning process. 제52항에 있어서, 클러스터 기기의 제2 가공 체임버가 GCIB 가공 체임버이고, 유전체 막-형성 프로세스가 GCIB 주입 프로세스를 포함하는 방법. 53. The method of claim 52, wherein the second processing chamber of the cluster device is a GCIB processing chamber and the dielectric film-forming process comprises a GCIB implantation process.
KR1020087021595A 2006-02-06 2007-02-06 Copper interconnect wiring and method and apparatus for forming thereof KR20080098514A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US76566406P 2006-02-06 2006-02-06
US60/765,664 2006-02-06

Publications (1)

Publication Number Publication Date
KR20080098514A true KR20080098514A (en) 2008-11-10

Family

ID=38345922

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087021595A KR20080098514A (en) 2006-02-06 2007-02-06 Copper interconnect wiring and method and apparatus for forming thereof

Country Status (4)

Country Link
JP (2) JP2009531835A (en)
KR (1) KR20080098514A (en)
CN (1) CN101416291B (en)
WO (1) WO2007092856A2 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7799683B2 (en) 2004-11-08 2010-09-21 Tel Epion, Inc. Copper interconnect wiring and method and apparatus for forming thereof
WO2014010751A1 (en) * 2012-07-11 2014-01-16 Tokyo Electron Limited Etching apparatus and etching method technical field
WO2017019014A1 (en) * 2015-07-27 2017-02-02 Halliburton Energy Services, Inc. Distributed electromotive force sensing
US10312141B2 (en) * 2016-08-16 2019-06-04 Northrop Grumman Systems Corporation Preclean methodology for superconductor interconnect fabrication
US10763419B2 (en) 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4559096A (en) * 1984-06-25 1985-12-17 The United States Of America As Represented By The United States Department Of Energy Method of precisely modifying predetermined surface layers of a workpiece by cluster ion impact therewith
US6110828A (en) * 1996-12-30 2000-08-29 Applied Materials, Inc. In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization
JP2002319551A (en) * 2001-04-23 2002-10-31 Nec Corp Semiconductor device and its manufacturing method
WO2003048407A1 (en) * 2001-10-11 2003-06-12 Epion Corporation Gcib processing to improve interconnection vias and improved interconnection via
JP2003142579A (en) * 2001-11-07 2003-05-16 Hitachi Ltd Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
WO2007092856A2 (en) 2007-08-16
JP2009278125A (en) 2009-11-26
WO2007092856A3 (en) 2007-11-29
JP2009531835A (en) 2009-09-03
CN101416291A (en) 2009-04-22
CN101416291B (en) 2010-12-08

Similar Documents

Publication Publication Date Title
KR101184529B1 (en) Copper interconnect wiring and method of forming thereof
US7799683B2 (en) Copper interconnect wiring and method and apparatus for forming thereof
US20070184656A1 (en) GCIB Cluster Tool Apparatus and Method of Operation
US7776743B2 (en) Method of forming semiconductor devices containing metal cap layers
US6812147B2 (en) GCIB processing to improve interconnection vias and improved interconnection via
US7754588B2 (en) Method to improve a copper/dielectric interface in semiconductor devices
JP5539883B2 (en) Method for improving electrical leakage characteristics and suppressing electromigration in semiconductor devices
US20090130861A1 (en) Dual damascene integration structures and method of forming improved dual damascene integration structures
US7871929B2 (en) Method of forming semiconductor devices containing metal cap layers
EP1565933A2 (en) Gcib processing of integrated circuit interconnect structures
KR20080098514A (en) Copper interconnect wiring and method and apparatus for forming thereof
US8192805B2 (en) Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
CN112151442A (en) Method for covering structure on copper interconnection wiring layer
TWI423389B (en) Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid