JP2009123886A - Forming method of multilayer wiring - Google Patents

Forming method of multilayer wiring Download PDF

Info

Publication number
JP2009123886A
JP2009123886A JP2007295838A JP2007295838A JP2009123886A JP 2009123886 A JP2009123886 A JP 2009123886A JP 2007295838 A JP2007295838 A JP 2007295838A JP 2007295838 A JP2007295838 A JP 2007295838A JP 2009123886 A JP2009123886 A JP 2009123886A
Authority
JP
Japan
Prior art keywords
insulating film
wiring
film
forming
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007295838A
Other languages
Japanese (ja)
Other versions
JP5349789B2 (en
Inventor
Munehiro Tada
宗弘 多田
Yoshihiro Hayashi
喜宏 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP2007295838A priority Critical patent/JP5349789B2/en
Publication of JP2009123886A publication Critical patent/JP2009123886A/en
Application granted granted Critical
Publication of JP5349789B2 publication Critical patent/JP5349789B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a forming method of a multilayer wiring having high adhesiveness and reliability in inter-wiring insulation while effective low inter-wiring capacity is maintained. <P>SOLUTION: The forming method of multilayer wiring includes a first process (Fig.1[1]) in which an inter-via layer insulating film 43 and an inter-wiring layer insulating film 44 containing siloxane structure are formed on a metal wiring 41a, a second process (Fig.1[2]-Fig.2 (not shown)) in which a dual damascene groove 48, being a recess reaching the metal wiring 41a, is formed at parts of the inter-via layer insulating film 43 and inter-wiring layer insulating film 44, and a third process (Fig.3[1]) in which the inter-via layer insulating film 43 and inter-wiring layer insulating film 44 as well as the metal wiring 41a exposed in the dual damascene groove 48 are applied with a hydrogen plasma treatment, so that a modified layer 49 is formed on the surfaces of the inter-via layer insulating film 43 and inter-wiring layer insulating film 44 while the surface of the metal wiring 41a is reduced. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、多層配線の形成方法に関し、例えばCuを主成分とするダマシン配線の形成に用いられる多層配線の形成方法に関する。   The present invention relates to a method for forming a multilayer wiring, for example, a method for forming a multilayer wiring used for forming a damascene wiring mainly composed of Cu.

シリコンを基板とする集積回路(以下「LSI(Large Scale Integrated circuit)」という。)において、従来、導電材料にはAl(アルミニウム)又はAl合金が広く用いられてきた。そして、LSIの製造方法の微細化の進行に伴い、配線における配線抵抗の低減化及び高信頼化のために、導電材料にCu(銅)が使用されるようになってきた。ただし、Cuには、シリコン酸化膜中に容易に拡散する性質がある。そこで、Cuの拡散を防止するために、Cu配線の側面及び底面には導電性バリアメタル膜が用いられ、Cu配線の上面には絶縁性バリア膜が用いられている。   In an integrated circuit using silicon as a substrate (hereinafter referred to as “LSI (Large Scale Integrated circuit)”), Al (aluminum) or an Al alloy has been widely used as a conductive material. With the progress of miniaturization of LSI manufacturing methods, Cu (copper) has been used as a conductive material in order to reduce wiring resistance and increase reliability in wiring. However, Cu has a property of easily diffusing into the silicon oxide film. Therefore, in order to prevent diffusion of Cu, a conductive barrier metal film is used on the side surface and the bottom surface of the Cu wiring, and an insulating barrier film is used on the upper surface of the Cu wiring.

近年のLSIの微細化の進展に伴って、配線寸法の微細化が更に進み、配線間容量の増大が問題となってきており、層間絶縁膜へ低誘電率膜の導入が進められている。これは、半導体素子に多層配線を用いることで高速かつ低電力で接続するために、微細化だけでなく、層間絶縁膜の低誘電率化が有効であり、これら双方を両立することが求められていたためである。   With the progress of miniaturization of LSIs in recent years, the miniaturization of wiring dimensions has further progressed, and the increase in inter-wiring capacitance has become a problem, and the introduction of low dielectric constant films into the interlayer insulating film has been promoted. This is because not only miniaturization but also low dielectric constant of the interlayer insulating film is effective in order to connect at high speed and low power by using multi-layer wiring in the semiconductor element, and both of these are required to be compatible. Because it was.

配線間の実効的な容量を低減するためには、層間絶縁膜(この場合シリコン酸化膜(比誘電率k=4.2))の低誘電率化が必要とされていた。低誘電率膜は、例えばHSQ(ハイドロゲンシルセスキオキサン(Hydrogen Silsesquioxane))膜、CDO(カーボンドープトオキサイド(Carbon Doped Oxide))、有機膜などである。そして、これらの低誘電率膜は回転塗布法や気相法などにより形成される。   In order to reduce the effective capacitance between wirings, it has been necessary to lower the dielectric constant of an interlayer insulating film (in this case, a silicon oxide film (relative dielectric constant k = 4.2)). Examples of the low dielectric constant film include an HSQ (Hydrogen Silsesquioxane) film, a CDO (Carbon Doped Oxide), and an organic film. These low dielectric constant films are formed by a spin coating method or a vapor phase method.

特許文献1では、有機シロキサン膜に対して、NF3プラズマ処理を行うことで改質層を形成し、密着性を向上する技術が記載されている。特許文献2では、有機シロキサン膜に対して、還元性処理によって改質層を形成し、有機シロキサン膜を保護する技術が記載されている。特許文献3では環状有機シロキサン原料を用いて多孔質絶縁膜を形成する技術が記載されている。 Patent Document 1 describes a technique for improving the adhesion by forming a modified layer by performing NF 3 plasma treatment on an organosiloxane film. Patent Document 2 describes a technique for forming a modified layer by reducing treatment on an organic siloxane film to protect the organic siloxane film. Patent Document 3 describes a technique for forming a porous insulating film using a cyclic organosiloxane raw material.

特開2003−309173号公報JP 2003-309173 A 特開2006−24641号公報JP 2006-24641 A 特表2002−526916号公報JP-T-2002-526916

有機シロキサン膜の集積化技術としては、有機シロキサン膜に改質層を形成し、プロセス耐性を向上する手法が用いられる。しかしながら、改質層は、炭素が脱離して形成されるため、比誘電率が高くなって配線間の容量が上昇してしまう問題を有していた。したがって、改質層を利用する場合には、改質層の比誘電率を低く、かつ改質層を薄く形成することが望まれていた。   As an integration technique of the organic siloxane film, a method of forming a modified layer on the organic siloxane film and improving process resistance is used. However, since the modified layer is formed by desorption of carbon, there is a problem that the relative dielectric constant increases and the capacitance between the wirings increases. Therefore, when the modified layer is used, it has been desired to form the modified layer thinly while reducing the relative dielectric constant of the modified layer.

特許文献1に記載の技術では、有機シロキサン膜表面をプラズマ処理することで改質層を形成し、特にNF3プラズマで行う技術が記載されている。表面をNF3プラズマ処理することで密着性を向上することができるが、逆に膜内部に取り込まれたフッ素によって耐熱性が劣化する問題を有していた。形成される改質層は安定である必要がある。 In the technique described in Patent Document 1, a technique is described in which a modified layer is formed by performing plasma treatment on the surface of an organic siloxane film, and in particular, NF 3 plasma is used. Adhesion can be improved by treating the surface with NF 3 plasma, but there is a problem that heat resistance deteriorates due to fluorine taken into the film. The formed modified layer needs to be stable.

特許文献2に記載の技術では、有機シロキサン膜をプラズマ処理することで改質層を形成するが、比誘電率が2.5以下となるような有機シロキサン膜では改質層が厚く形成されてしまい、結果的に比誘電率が上昇し、配線間の容量が増加する問題を有していた。   In the technique described in Patent Document 2, the modified layer is formed by plasma treatment of the organic siloxane film, but the modified layer is formed thick in the organic siloxane film having a relative dielectric constant of 2.5 or less. As a result, there has been a problem that the relative dielectric constant increases and the capacitance between the wirings increases.

一方、このように比誘電率2.5以下を実現することのできる低誘電率膜としては、特許文献3に記載のように、環状型の有機シロキサン原料を用いて低誘電率絶縁膜を形成することができる。しかしながら、低配線間容量を達成するべく配線層間絶縁膜に適用した場合、配線間リーク電流が増加してしまうという問題を有していた。すなわち、配線間の容量は低減することができるものの、配線間のリーク電流によるチップ全体の消費電力を上昇させてしまうとともに、配線間の絶縁信頼性を劣化させるため、実用上大きな課題となっていた。   On the other hand, as a low dielectric constant film capable of realizing a relative dielectric constant of 2.5 or less as described above, a low dielectric constant insulating film is formed using a cyclic organosiloxane raw material as described in Patent Document 3. can do. However, when applied to a wiring interlayer insulating film in order to achieve a low wiring capacity, there has been a problem that a leakage current between wirings increases. That is, although the capacitance between the wirings can be reduced, the power consumption of the entire chip is increased due to the leakage current between the wirings, and the insulation reliability between the wirings is deteriorated. It was.

このように、低配線間容量、高密着性及び高配線信頼性を全て実現できる多層配線が望まれていた。そこで、本発明は、実効的な低配線間容量を維持しつつ、高密着性かつ高い配線間絶縁信頼性を有する多層配線の形成方法を提供するものである。   As described above, there has been a demand for a multilayer wiring that can realize all of the low wiring capacitance, high adhesion, and high wiring reliability. Accordingly, the present invention provides a method for forming a multilayer wiring having high adhesion and high insulation reliability between wirings while maintaining effective low wiring capacity.

本発明に係る多層配線の形成方法は、シロキサン構造を含む絶縁膜を金属配線上に形成する第一の工程と、前記絶縁膜の一部に前記金属配線に達する凹部を形成する第二の工程と、前記絶縁膜と前記凹部内で露出した前記金属配線とに水素プラズマ処理を施すことにより、当該絶縁膜の表面に改質層を形成するとともに当該金属配線の表面を還元する第三の工程と、を含むことを特徴とする。   The multilayer wiring forming method according to the present invention includes a first step of forming an insulating film containing a siloxane structure on a metal wiring, and a second step of forming a recess reaching the metal wiring in a part of the insulating film. And a third step of forming a modified layer on the surface of the insulating film and reducing the surface of the metal wiring by performing hydrogen plasma treatment on the insulating film and the metal wiring exposed in the recess It is characterized by including these.

本発明によれば、絶縁膜と露出した金属配線とに水素プラズマ処理を施して絶縁膜の表面に改質層を形成するとともに金属配線の表面を還元することにより、絶縁膜の低誘電率化及び絶縁性の高信頼化と金属配線接続の高信頼化とを同時に達成し、かつ、高密着性を有することで、ひいては配線の性能を向上させ、高速かつ低消費電力なLSIを高信頼性で形成することが可能となる。   According to the present invention, the insulating film and the exposed metal wiring are subjected to hydrogen plasma treatment to form a modified layer on the surface of the insulating film and reduce the surface of the metal wiring, thereby reducing the dielectric constant of the insulating film. In addition, high reliability of insulation and high reliability of metal wiring connection are achieved at the same time, and by having high adhesion, the performance of wiring is improved, and high speed and low power consumption LSI is highly reliable. Can be formed.

配線間の容量を低く維持し、かつ密着性と絶縁特性に優れる改質層を形成するためには、できだけ改質層を薄く形成する必要がある。そのためには改質処理方法のアプローチのみではなく、改質される有機シロキサン膜の構造・組成・材料からのアプローチが必要であり、双方を勘案したプロセスフロー設計を行う必要があった。   In order to form a modified layer that maintains a low capacitance between wirings and is excellent in adhesion and insulation properties, it is necessary to form the modified layer as thin as possible. For that purpose, not only the approach of the modification treatment method but also the approach from the structure, composition and material of the organosiloxane film to be modified is necessary, and it is necessary to design the process flow in consideration of both.

本発明者らが環状有機シロキサン原料を用いた多層配線の形成方法に関して検討を行った結果、絶縁膜の信頼性と銅配線接続信頼性の双方を満たす好適な改質層の形成方法を見出した。   As a result of investigations on a method for forming a multilayer wiring using a cyclic organosiloxane raw material, the present inventors have found a suitable modified layer forming method that satisfies both the reliability of an insulating film and the reliability of copper wiring connection. .

すなわち、少なくとも環状有機シロキサンと不活性キャリアガスとを反応室に供給し、プラズマ気相成長法によって得られる絶縁膜であって、少なくとも1層以上の前記絶縁膜が少なくともシリコン、酸素、炭素、からなる環状シロキサン構造を含む絶縁膜において、金属配線と接する、前記環状シロキサン構造を含む絶縁膜部に、絶縁膜内部よりも炭素原子量が少なく、酸素原子量が多い改質層を水素プラズマ処理によって形成することで、低配線間容量を維持したまま配線間のリーク電流を低減することができるようになる。   That is, it is an insulating film obtained by plasma vapor deposition by supplying at least a cyclic organosiloxane and an inert carrier gas to a reaction chamber, and the insulating film of at least one layer is made of at least silicon, oxygen, carbon, In the insulating film including the cyclic siloxane structure, a modified layer having a smaller amount of carbon atoms and a larger amount of oxygen atoms than the inside of the insulating film is formed by hydrogen plasma treatment in the insulating film portion including the cyclic siloxane structure in contact with the metal wiring. As a result, the leakage current between the wirings can be reduced while maintaining the low wiring capacity.

更に、デュアルダマシン溝に対して前記改質処理を行うことで、ビア底に露出した銅の還元処理と、絶縁膜表面の改質を同時に行うことができるようになる。   Furthermore, by performing the modification process on the dual damascene trench, the reduction process of copper exposed at the via bottom and the modification of the insulating film surface can be performed simultaneously.

このような絶縁膜の信頼性の改善とビアCu接続の信頼性との双方を同時に満たす製造方法は、本発明による水素プラズ処理による改質層が見出されるまでは、予想し得なかった効果である。   The manufacturing method that satisfies both the improvement of the reliability of the insulating film and the reliability of the via Cu connection at the same time has an unexpected effect until a modified layer by the hydrogen plasma treatment according to the present invention is found. is there.

すなわち、デュアルダマシン溝におけるビアホール底が開口され、ビア底に銅が露出した状態で本発明による水素プラズマ処理を行うことが有効である。更に、本発明による水素プラズマ処理を行うことで、前記環状シロキサン構造を含む絶縁膜表面に、絶縁膜内部よりも炭素原子量が少なく、酸素原子量が多い改質層を形成することで、前記環状シロキサン構造を含む絶縁膜と金属配線との密着性を向上することができるようになる。   That is, it is effective to perform the hydrogen plasma treatment according to the present invention in a state where the via hole bottom in the dual damascene trench is opened and copper is exposed at the via bottom. Furthermore, by performing the hydrogen plasma treatment according to the present invention, a modified layer having a smaller amount of carbon atoms and a larger amount of oxygen atoms than the inside of the insulating film is formed on the surface of the insulating film including the cyclic siloxane structure. The adhesion between the insulating film including the structure and the metal wiring can be improved.

特に、金属配線の主成分としては銅が用いられるが、銅の周囲を覆うバリアメタルと前記環状シロキサン構造を含む絶縁膜との密着性が向上する。特に、バリアメタルとしては高融点金属の窒素化合物、例えば、TaN、TiN、WN、TiWN、などを用いる場合に、前記環状シロキサン構造を含む絶縁膜表面の炭素量を減少させることで飛躍的に密着性が向上する。   In particular, copper is used as the main component of the metal wiring, but the adhesion between the barrier metal covering the copper periphery and the insulating film including the cyclic siloxane structure is improved. In particular, when a refractory metal nitrogen compound such as TaN, TiN, WN, TiWN, or the like is used as the barrier metal, the adhesion is dramatically improved by reducing the amount of carbon on the surface of the insulating film including the cyclic siloxane structure. Improves.

これは、好適な環状有機シロキサンを含む絶縁膜に好適な改質処理を行うことで、環状構造に起因した高密度なシロキサンユニットを連続的に結合させ、高密度な改質層が形成されること、及びこの改質層が密着性と絶縁特性に優れる層を形成するために、水素プラズマ処理が好適であることとを、本発明者らが見出したことによるものである。   This is because a suitable reforming treatment is performed on an insulating film containing a suitable cyclic organosiloxane, whereby high-density siloxane units resulting from the cyclic structure are continuously bonded to form a high-density modified layer. This is because the present inventors have found that hydrogen plasma treatment is suitable for forming a layer in which the modified layer is excellent in adhesion and insulating properties.

すなわち、好適なシロキサン構造を含む絶縁膜に対して、好適な改質処理によって脱炭素を行い、高密度な改質層を薄く形成し、膜内部への改質が進まないようにすることが、本発明の特徴である。   In other words, an insulating film containing a suitable siloxane structure is decarbonized by a suitable modification treatment to form a thin high-density modified layer so that the modification into the film does not proceed. This is a feature of the present invention.

特に、溝下面の改質については金属配線との密着性を維持する上で不可欠な要素となる。この場合、前記水素プラズマ処理は、基板バイアスを印加して行うことで溝側壁に比べて溝下面の改質を促進することが可能となり、密着性と低配線容量化の両立を行うことができるようになる。   In particular, the modification of the lower surface of the groove is an indispensable element for maintaining the adhesion to the metal wiring. In this case, the hydrogen plasma treatment can be performed by applying a substrate bias to promote the modification of the lower surface of the groove as compared with the groove side wall, and can achieve both adhesion and low wiring capacity. It becomes like this.

少なくとも1層以上の前記絶縁膜が少なくともシリコン、酸素、炭素、からなる環状シロキサン構造を含む絶縁膜において、シリコン原子数よりも、炭素原子数の多い膜組成が好ましいのは、軽元素である炭素が増えることで絶縁膜自体の比誘電率が低いことに加えて、改質処理による急激な炭素の引き抜きを抑制しつつ緻密化反応が進むため、ナノレベル厚の高密度な改質層が形成できるようになることを、発明者らが見出したためである。   In an insulating film including a cyclic siloxane structure in which at least one layer of the insulating film is composed of at least silicon, oxygen, and carbon, a film composition having a larger number of carbon atoms than the number of silicon atoms is preferably light element carbon. In addition to the low dielectric constant of the insulating film itself due to the increase in the density, the densification reaction proceeds while suppressing rapid carbon extraction due to the reforming process, thus forming a high-density reformed layer with a nano-level thickness. This is because the inventors have found that they can do this.

特に不飽和炭化水素基と炭素原子数が3以上の炭化水素基との双方を含んでいる膜であることで、不飽和炭化水素基の強い結合エネルギにより脱炭素速度を低下させ、かつ炭素数の多い炭化水素基によって膜内部の炭化水素成分を多く保つことができるようになる。   In particular, it is a film containing both an unsaturated hydrocarbon group and a hydrocarbon group having 3 or more carbon atoms, so that the decarbonization rate is reduced by the strong binding energy of the unsaturated hydrocarbon group, and the number of carbon atoms A large amount of hydrocarbon groups can keep a large amount of hydrocarbon components inside the film.

また、ランダム型シロキサン構造に比べて、環状シロキサン構造において膜の比誘電率が低いにも関わらず、高密度な改質層が形成できるのは、員数の少ない環状構造ほどO−Si−Oの結合角度が小さいため、高密度なSiO構造が形成されやすいためである。   In addition, compared with the random type siloxane structure, the cyclic siloxane structure has a low relative dielectric constant of the film, but a high-density modified layer can be formed because the cyclic structure having a smaller number of members is formed of O-Si-O. This is because the bonding angle is small and a high-density SiO structure is easily formed.

例えば、石英(6員環;2.65g/cm3)に対して、コーサイト(4員環;2.92g/cm3)が高密度であることが例として挙げられる。したがって、あらかじめ骨格として、高密度なO−Si−O構造を形成しやすい、環状シロキサンが膜内部に含まれていることにより、炭素を置換した酸素が環状ユニットを連続的に結合させ、容易に高密度かつ薄い改質層が形成できる。 For example, the density of cocite (4-membered ring; 2.92 g / cm 3 ) is higher than that of quartz (6-membered ring; 2.65 g / cm 3 ). Therefore, the cyclic siloxane that is easy to form a high-density O—Si—O structure as a skeleton in advance is included in the film, so that oxygen substituted with carbon continuously binds the cyclic units and easily A high density and thin modified layer can be formed.

また、金属配線及び接続プラグの周囲を囲むように改質層を形成するためには、金属配線及び接続プラグと接する配線層間絶縁膜、ハードマスク膜、ビア層間絶縁膜のいずれもが少なくともシリコン、酸素、炭素からなるシロキサン構造を含む絶縁膜とし、かついずれも炭素数3以上の側鎖とビニル基の双方を含む原料から形成した絶縁膜構造とする。   Further, in order to form the modified layer so as to surround the metal wiring and the connection plug, all of the wiring interlayer insulating film, the hard mask film, and the via interlayer insulating film in contact with the metal wiring and the connection plug are at least silicon, The insulating film includes a siloxane structure composed of oxygen and carbon, and both have an insulating film structure formed from a raw material including both a side chain having 3 or more carbon atoms and a vinyl group.

例えば、原料分圧をプラズマ励起中に変化させることで、低分圧条件にてビア層間絶縁膜を形成し、高分圧条件にて配線層間絶縁膜を形成し、低圧条件にて比較的に密着性と膜強度に優れるハードマスク膜とビア層間絶縁膜を形成することができる。   For example, by changing the raw material partial pressure during plasma excitation, a via interlayer insulating film is formed under a low partial pressure condition, a wiring interlayer insulating film is formed under a high partial pressure condition, and a relatively low pressure condition is achieved. A hard mask film and a via interlayer insulating film excellent in adhesion and film strength can be formed.

または、炭素数3以上の側鎖とビニル基の双方を含むシロキサン原料を2種類以上用いて比率を変化させて成膜することで、ビア層間絶縁膜はC/Si比が1.4程度で比誘電率2.7、配線層間絶縁膜はC/Si比が2.9程度で比誘電率2.4、ハードマスク膜はC/Si比1.2で比誘電率3.0としたSiOCH膜をそれぞれ形成することで、いずれの絶縁膜にも本発明による改質層を形成することができるようになる。この場合、改質層は配線の上面を除いて、配線を囲むように形成され、より密着性の改善ができるようになる。   Alternatively, the via interlayer insulating film has a C / Si ratio of about 1.4 by using two or more types of siloxane raw materials containing both a side chain having 3 or more carbon atoms and a vinyl group to change the ratio. SiOCH having a relative dielectric constant of 2.7, a wiring interlayer insulating film having a C / Si ratio of about 2.9 and a relative dielectric constant of 2.4, and a hard mask film having a C / Si ratio of 1.2 and a relative dielectric constant of 3.0 By forming each film, the modified layer according to the present invention can be formed on any insulating film. In this case, the modified layer is formed so as to surround the wiring except for the upper surface of the wiring, so that the adhesion can be further improved.

一般に絶縁膜内の炭素量が多いと金属配線との密着性は低下する傾向にあるが、本発明における絶縁膜を用い、更に水素プラズマ処理を用いることで、絶縁膜の低誘電率化、リーク電流の低減、密着性の向上を達成することができるようになる。   In general, when the amount of carbon in the insulating film is large, the adhesion to the metal wiring tends to decrease. However, by using the insulating film in the present invention and further using the hydrogen plasma treatment, the dielectric constant of the insulating film is reduced and the leakage is reduced. A reduction in current and an improvement in adhesion can be achieved.

前記シロキサン構造が、絶縁膜内部の単位体積あたりの炭素原子数が酸素原子数よりも多いことを特徴とする。また、炭素原子の結合状態としては、少なくとも炭素数3個以上を有する炭化水素基と不飽和炭化水素基の双方を含むことを特徴とする。   The siloxane structure is characterized in that the number of carbon atoms per unit volume inside the insulating film is larger than the number of oxygen atoms. In addition, the bonded state of carbon atoms includes both a hydrocarbon group having at least 3 carbon atoms and an unsaturated hydrocarbon group.

前記シロキサン構造が、酸素原子とシリコン原子とからなる環状シロキサン構造を含むことが好ましい。前記環状シロキサンが3員環構造であり、前記環状シロキサン構造を含む絶縁膜の密度が1.2g/cm3以下であることを特徴とする。 The siloxane structure preferably includes a cyclic siloxane structure composed of oxygen atoms and silicon atoms. The cyclic siloxane has a three-membered ring structure, and the density of the insulating film including the cyclic siloxane structure is 1.2 g / cm 3 or less.

このような絶縁膜から形成される、好適な改質層としては、少なくとも1層以上の前記絶縁膜が少なくともシリコン、酸素、炭素、からなるシロキサン構造を含む絶縁膜である多層配線において、前記環状シロキサン構造を含む絶縁膜と金属配線との界面の少なくとも一部に、環状シロキサン構造を含む絶縁膜内部よりも炭素原子量が少なく、酸素原子量が多い改質層が形成されていることを特徴とする。   As a suitable modified layer formed from such an insulating film, in the multilayer wiring in which at least one of the insulating films is an insulating film including a siloxane structure composed of at least silicon, oxygen, and carbon, the annular layer A modified layer having a smaller amount of carbon atoms and a larger amount of oxygen atoms than the inside of the insulating film including a cyclic siloxane structure is formed at least at a part of the interface between the insulating film including the siloxane structure and the metal wiring. .

前記界面部分が、金属配線との界面であることを特徴とする。前記改質層は炭素原子数よりも酸素原子数が多いことを特徴とする。前記改質層は炭素原子数よりも酸素原子数と窒素原子数の和数が多いことを特徴とする。前記改質層の厚さは20nm以下であることを特徴とする。前記改質層の密度が2.0g/cm3以上であることを特徴とする。 The interface portion is an interface with a metal wiring. The modified layer has more oxygen atoms than carbon atoms. The modified layer is characterized in that the sum of the number of oxygen atoms and the number of nitrogen atoms is larger than the number of carbon atoms. The modified layer has a thickness of 20 nm or less. The modified layer has a density of 2.0 g / cm 3 or more.

前記絶縁膜が、配線部位のハードマスク膜と、配線部位の配線層間絶縁膜と、ビアプラグ部位のビア層間絶縁膜とからなる前記多層配線において、前記ハードマスク膜と、前記配線層間絶縁膜と、前記ビア層間絶縁膜とのいずれもが、シリコン、酸素、炭素、からなるシロキサン構造を含む絶縁膜であり、前記絶縁膜内部よりも炭素原子数が、配線層間絶縁膜>ビア層間絶縁膜>ハードマスク膜、の順に少ない多層配線において、前記ハードマスク膜、前記配線層間絶縁膜及び前記層間ビア絶縁膜のいずれにも、前記金属との界面に前記改質層が形成され、該改質層の組成が、それぞれ対応する前記絶縁膜内部よりも、単位体積あたりの炭素原子数が少なくかつ酸素原子数が多いことを特徴とする。   In the multilayer wiring, the insulating film is composed of a hard mask film in a wiring part, a wiring interlayer insulating film in a wiring part, and a via interlayer insulating film in a via plug part, the hard mask film, the wiring interlayer insulating film, Each of the via interlayer insulating films is an insulating film including a siloxane structure made of silicon, oxygen, and carbon, and the number of carbon atoms is larger than that in the insulating film. The wiring interlayer insulating film> via interlayer insulating film> hard In the multilayer wiring in the order of the mask film, the modified layer is formed at the interface with the metal in any of the hard mask film, the wiring interlayer insulating film, and the interlayer via insulating film, The composition is characterized in that the number of carbon atoms per unit volume and the number of oxygen atoms are larger than in the corresponding insulating films.

このような好適な改質層の形成方法としては、前記絶縁膜上にハードマスク膜を形成する工程と、前記ハードマスク膜上にフォトレジストを塗布し溝パターニングする工程と、前記レジストをマスクにドライエッチングによって前記ハードマスク膜内に溝を形成する工程と、第一の酸素アッシングによって前記フォトレジストを除去させる工程と、前記ハードマスク膜をマスクにドライエッチングによって前記絶縁膜内に溝を形成する工程と、前記溝に対して改質処理を行うことにより改質層を形成する工程と、を有することを特徴とする。   As a suitable modified layer forming method, there are a step of forming a hard mask film on the insulating film, a step of applying a photoresist on the hard mask film and patterning a groove, and using the resist as a mask. Forming a groove in the hard mask film by dry etching; removing the photoresist by first oxygen ashing; and forming a groove in the insulating film by dry etching using the hard mask film as a mask. And a step of forming a modified layer by performing a modification treatment on the groove.

前記改質処理が脱炭素化処理であることを特徴とする。前記脱炭素処理が水素プラズマであることを特徴とする。前記改質処理を行う水素プラズマは、水素とAr、又は水素とHeの混合ガスであることを特徴とする。前記改質処理を行うプラズマは、基板バイアスを印加して行うことを特徴とする。前記処理を水素雰囲気中で行うことを特徴とする。前記改質処理を水素アニールにより行うことを特徴とする。前記ドライエッチングのエッチングガスが、少なくともAr、O2、CF4の混合ガスであることを特徴とする。 The reforming treatment is a decarbonization treatment. The decarbonization treatment is hydrogen plasma. The hydrogen plasma for performing the reforming treatment is a mixed gas of hydrogen and Ar or hydrogen and He. The plasma for performing the modification treatment is performed by applying a substrate bias. The treatment is performed in a hydrogen atmosphere. The modification treatment is performed by hydrogen annealing. An etching gas for the dry etching is a mixed gas of at least Ar, O 2 , and CF 4 .

本願発明を詳細に説明する前に、本願における用語の意味を説明する。   Before describing the present invention in detail, the meaning of terms in the present application will be described.

低誘電率絶縁膜とは、例えば配線材を絶縁分離する膜(層間絶縁膜)であり、半導体素子を接続する多層配線間の容量を低減するため、シリコン酸化膜(比誘電率4.2)よりも比誘電率の低い材料を指す。特に、多孔質絶縁膜としては、例えば、シリコン酸化膜を多孔化して、比誘電率を小さくした材料や、HSQ(ハイドロゲンシルセスキオキサン(Hydrogen Silsesquioxane))膜、又はSiOCH、SiOC(例えば、Black DiamondTM、CORALTM、AuroraTM)などを多孔化して、比誘電率を小さくした材料などがある。これらの膜の更なる低誘電率化を進めるため、環状シロキサン原料を用いた成膜技術などが検討されつつあるところである。 The low dielectric constant insulating film is, for example, a film (interlayer insulating film) that insulates and separates wiring materials, and a silicon oxide film (relative dielectric constant 4.2) in order to reduce capacitance between multilayer wirings that connect semiconductor elements. Refers to a material having a lower relative dielectric constant. In particular, as the porous insulating film, for example, a silicon oxide film is made porous to reduce the relative dielectric constant, an HSQ (Hydrogen Silsesquioxane) film, or SiOCH, SiOC (for example, Black) (Diamond , CORAL , Aurora ) or the like is made porous to reduce the relative dielectric constant. In order to further reduce the dielectric constant of these films, a film forming technique using a cyclic siloxane raw material is being studied.

環状シロキサンとは、Si−Oを1ユニットとした数えた環状のユニットとした場合、例えば3員環とは(SiO)3からなる6角形分子構造を意味する。4員環とは(SiO)4からなる8角形分子構造を意味する。環状有機シロキサンとは、前記環状シロキサン構造の側鎖に、炭化水素基を有する分子構造を意味する。炭化水素基の例としては、例えばメチル基、エチル基、プロピル基、イソプロピル基、ビニル基、などがある。このような環状シロキサン原料は、多孔質な絶縁膜を形成するのに有効であるが、本発明においては、必ずしも多孔質膜に限定するわけではない。なお、絶縁膜の組成は、単位体積あたりに含まれる原子数の比とする。 When the cyclic siloxane is a cyclic unit in which Si—O is counted as one unit, for example, the three-membered ring means a hexagonal molecular structure composed of (SiO) 3 . A 4-membered ring means an octagonal molecular structure composed of (SiO) 4 . The cyclic organic siloxane means a molecular structure having a hydrocarbon group in the side chain of the cyclic siloxane structure. Examples of the hydrocarbon group include a methyl group, an ethyl group, a propyl group, an isopropyl group, and a vinyl group. Such a cyclic siloxane raw material is effective for forming a porous insulating film, but is not necessarily limited to a porous film in the present invention. Note that the composition of the insulating film is a ratio of the number of atoms contained per unit volume.

プラズマ気相成長法とは、例えば、気体状の原料を減圧下の反応室に連続的に供給し、プラズマエネルギによって、分子を励起状態にし、気相反応、又は基板表面反応などによって基板上に連続膜を形成する手法である。原料分子にあらかじめ、ビニル基のような反応性の高い側鎖を導入することでモノマーの反応性を向上させる場合を、プラズマ重合法と呼ぶ場合もある。   In the plasma vapor deposition method, for example, a gaseous raw material is continuously supplied to a reaction chamber under reduced pressure, molecules are excited by plasma energy, and the substrate is subjected to a gas phase reaction or a substrate surface reaction. This is a technique for forming a continuous film. The case where the reactivity of the monomer is improved by introducing a highly reactive side chain such as a vinyl group into the raw material molecule in advance is sometimes called a plasma polymerization method.

ダマシン配線とは、あらかじめ形成された層間絶縁膜の溝に、金属配線を埋め込み、溝内以外の余剰な金属を、例えばCMP(Chemical Mechanical Polishing)などにより除去することで形成される埋め込み配線をさす。Cuによりダマシン配線を形成する場合には、Cu配線の側面及び外周をバリアメタルで覆い、Cu配線の上面を絶縁性バリア膜で覆う配線構造が一般に用いられる。ダマシン配線には、接続孔に金属のコンタクトプラグを形成した後に配線溝を形成する「シングルダマシン配線法」と、接続孔及び配線溝を形成した後に金属を一度に埋め込む「デュアルダマシン配線法」とがある。   Damascene wiring refers to a buried wiring formed by embedding a metal wiring in a groove of a previously formed interlayer insulating film and removing excess metal other than in the groove by, for example, CMP (Chemical Mechanical Polishing). . When forming damascene wiring with Cu, a wiring structure is generally used in which the side and outer periphery of the Cu wiring are covered with a barrier metal, and the upper surface of the Cu wiring is covered with an insulating barrier film. For damascene wiring, there is a “single damascene wiring method” in which a metal contact plug is formed in a connection hole and then a wiring groove is formed, and a “dual damascene wiring method” in which metal is embedded at a time after forming a connection hole and a wiring groove. There is.

金属配線とは、例えばCuを主成分とする。金属配線の信頼性を向上させるため、Cu以外の金属元素がCuからなる部材に含まれていても良く、Cu以外の金属元素がCuの上面や側面などに形成されていても良い。   The metal wiring mainly contains Cu, for example. In order to improve the reliability of the metal wiring, a metal element other than Cu may be included in the member made of Cu, or a metal element other than Cu may be formed on the upper surface or side surface of Cu.

CMP法とは、多層配線形成プロセス中に生じるウェハ表面の凹凸を、研磨液をウェハ表面に流しながら回転させた研磨パッドに接触させて研磨することによって平坦化する方法である。ダマシン法による配線形成においては、特に、配線溝又はビアホールに対し金属を埋設した後に、余剰の金属部分を除去し、平坦な配線表面を得るために用いる。   The CMP method is a method of flattening the unevenness of the wafer surface that occurs during the multilayer wiring formation process by polishing the wafer by bringing it into contact with a polishing pad that is rotated while flowing a polishing liquid over the wafer surface. In the wiring formation by the damascene method, in particular, after a metal is buried in the wiring groove or via hole, it is used for removing a surplus metal portion and obtaining a flat wiring surface.

バリアメタルとは、配線を構成する金属元素が層間絶縁膜や下層へ拡散することを防止するために、配線の側面及び底面を被覆する、バリア性を有する導電性膜を示す。例えば、配線がCuを主成分とする金属元素からなる場合には、タンタル(Ta)、窒化タンタル(TaN)、窒化チタン(TiN)、タイタン(WTi)、炭窒化タングステン(WCN)のような高融点金属やその窒化物等、又はそれらの積層膜が使用される。   The barrier metal refers to a conductive film having a barrier property that covers the side and bottom surfaces of the wiring in order to prevent the metal elements constituting the wiring from diffusing into the interlayer insulating film or the lower layer. For example, when the wiring is made of a metal element containing Cu as a main component, high wiring such as tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), titan (WTi), tungsten carbonitride (WCN) A melting point metal, a nitride thereof, or a laminated film thereof is used.

絶縁性バリア膜とは、Cu配線の上面に形成され、Cuの酸化や絶縁膜中へのCuの拡散を防ぐ機能、及び加工時にエッチングストップ層としての役割を有する。例えば、SiC膜、SiCN膜、SiN膜などが用いられている。   The insulating barrier film is formed on the upper surface of the Cu wiring and has a function of preventing Cu oxidation and Cu diffusion into the insulating film and a role as an etching stop layer during processing. For example, a SiC film, a SiCN film, a SiN film, or the like is used.

半導体基板とは、半導体装置が構成された基板であり、特に単結晶シリコン基板上に作られたものだけでなく、SOI(Silicon on Insulator)基板やTFT(Thin film transistor)液晶製造用基板などの基板も含む。   A semiconductor substrate is a substrate on which a semiconductor device is configured. In particular, not only a substrate made on a single crystal silicon substrate but also an SOI (Silicon on Insulator) substrate, a TFT (Thin film transistor) liquid crystal manufacturing substrate, etc. Also includes a substrate.

ハードマスクとは、層間絶縁膜の低誘電率化による強度低下により、直接CMPを行うのが困難な場合に、層間絶縁膜上に積層し、保護する役割の絶縁膜をさす。   A hard mask refers to an insulating film that serves as a protective layer to be laminated on an interlayer insulating film when it is difficult to perform direct CMP due to a decrease in strength due to the lower dielectric constant of the interlayer insulating film.

パッシベーション膜とは、半導体素子の最上層に形成され、外部からの水分などから半導体素子を保護する役割を有する。本発明では、プラズマCVD法で形成したシリコン酸窒素化膜(SiON)や、ポリイミド膜などが用いられる。   The passivation film is formed in the uppermost layer of the semiconductor element and has a role of protecting the semiconductor element from moisture from the outside. In the present invention, a silicon oxynitride film (SiON) formed by a plasma CVD method, a polyimide film, or the like is used.

PVD法とは、通常のスパッタリング法でもよいが、埋め込み特性の向上や、膜質の向上や、膜厚のウェハ面内均一性を図る上では、例えばロングスロースパッタリング法やコリメートスパッタリング法、イオナイズドスパッタリング法、などの指向性の高いスパッタリング法を用いることもできる。合金をスパッタする場合には、あらかじめ金属ターゲット内に主成分以外の金属を固溶限以下で含有させることで、成膜された金属膜を合金膜とすることができる。本発明中では、主にダマシンCu配線を形成する際のCuシード層や、バリアメタル膜を形成する際に使用することができる。   The PVD method may be a normal sputtering method. However, in order to improve the embedding characteristics, the film quality, and the uniformity of the film thickness within the wafer surface, for example, the long throw sputtering method, the collimated sputtering method, the ionized sputtering, etc. A sputtering method with high directivity such as a method can also be used. When sputtering an alloy, a metal film other than the main component is previously contained in the metal target at a solid solubility limit or less, so that the formed metal film can be used as an alloy film. In the present invention, it can be used mainly when forming a Cu seed layer or a barrier metal film when forming a damascene Cu wiring.

TDDB(Time Dependent Dielectric Breakdown)寿命とは、絶縁破壊に至るまでの時間を加速試験によって予測する手法である。例えば、配線間のTDDB寿命を測定する場合には、櫛形のTEG(Test Element Group)を用い、所定の温度(例えば125℃)の測定条件で配線間に1〜4MV/cm程度の比較的高い電界を印加し、配線間に流れるリーク電流をモニタする。電界印加開始時間から絶縁破壊までの時間を計測することで、TDDB寿命の優劣を比較することができる。   The TDDB (Time Dependent Dielectric Breakdown) life is a technique for predicting the time until dielectric breakdown by an accelerated test. For example, when measuring the TDDB life between wirings, a comb-shaped TEG (Test Element Group) is used, and the wiring is relatively high at about 1 to 4 MV / cm between the wirings under a measurement condition of a predetermined temperature (for example, 125 ° C.). An electric field is applied and the leakage current flowing between the wirings is monitored. By measuring the time from the electric field application start time to the dielectric breakdown, the superiority and inferiority of the TDDB life can be compared.

m−ELT(modified Edge Liftoff Test)試験とは、試料にエポキシ層を塗布し、120℃程度で硬化処理を行った後、試料を冷却する。冷却により生じたエポキシ層の残留の応力によって、試料の各層の端面に引き剥がし力が加わり、剥離箇所を画像処理で検出しその時の温度を記録する。剥離時の温度からエポキシ層の残留応力値がわかるので、剥離時に放出されるエネルギがエポキシ層に保存された弾性エネルギにほぼ等しいと仮定し、テスト薄膜に加えられる応力強度(剥離強度)を計算する手法である。値が大きいほど密着性に優れると判断できる。   In the m-ELT (modified Edge Liftoff Test) test, an epoxy layer is applied to a sample, and after curing at about 120 ° C., the sample is cooled. A peeling force is applied to the end face of each layer of the sample by the residual stress of the epoxy layer generated by cooling, and the peeled portion is detected by image processing and the temperature at that time is recorded. Since the residual stress value of the epoxy layer can be determined from the temperature at the time of peeling, the stress intensity (peeling strength) applied to the test thin film is calculated assuming that the energy released during peeling is approximately equal to the elastic energy stored in the epoxy layer. It is a technique to do. It can be judged that the larger the value, the better the adhesion.

(第一実施形態)
本発明においては、層間絶縁膜として好適な低誘電率絶縁膜を、少なくとも環状有機シロキサン原料を反応室に供給し、プラズマ気相成長法によって絶縁膜を形成する環状シロキサン構造を含む絶縁膜に対して、好適な改質層を形成することで、配線間容量の低減と、絶縁信頼性確保を両立することができる。本発明にて形成した配線構造として、半導体素子が形成された半導体基板上の多層配線に用いた場合の配線構造について、詳しく説明する。
(First embodiment)
In the present invention, a low dielectric constant insulating film suitable as an interlayer insulating film is provided for an insulating film having a cyclic siloxane structure in which at least a cyclic organosiloxane raw material is supplied to a reaction chamber and an insulating film is formed by plasma vapor deposition. Thus, by forming a suitable modified layer, it is possible to achieve both a reduction in inter-wiring capacitance and ensuring insulation reliability. As a wiring structure formed according to the present invention, a wiring structure when used for multilayer wiring on a semiconductor substrate on which a semiconductor element is formed will be described in detail.

概要を説明すると、本実施形態の多層配線の形成方法は、ともにシロキサン構造を含むビア層間絶縁膜43及び配線層間絶縁膜44を金属配線41a上に形成する第一の工程(図1[1])と、ビア層間絶縁膜43及び配線層間絶縁膜44の一部に金属配線41aに達する凹部としてのデュアルダマシン溝48を形成する第二の工程(図1[2]〜図2[2])と、ビア層間絶縁膜43及び配線層間絶縁膜44とデュアルダマシン溝48内で露出した金属配線41aとに水素プラズマ処理を施すことにより、ビア層間絶縁膜43及び配線層間絶縁膜44の表面に改質層49を形成するとともに金属配線金属配線41aの表面を還元する第三の工程(図3[1])と、を含むことを特徴とする。以下に、各工程について詳しく説明する。   The outline of the multilayer wiring forming method of the present embodiment is the first step of forming the via interlayer insulating film 43 and the wiring interlayer insulating film 44 each including a siloxane structure on the metal wiring 41a (FIG. 1 [1]). And a second step of forming a dual damascene groove 48 as a recess reaching the metal wiring 41a in part of the via interlayer insulating film 43 and the wiring interlayer insulating film 44 (FIG. 1 [2] to FIG. 2 [2]). Then, by performing hydrogen plasma treatment on the via interlayer insulating film 43 and the wiring interlayer insulating film 44 and the metal wiring 41a exposed in the dual damascene trench 48, the surface of the via interlayer insulating film 43 and the wiring interlayer insulating film 44 is modified. And a third step (FIG. 3 [1]) for reducing the surface of the metal wiring 41 a while forming the quality layer 49. Below, each process is demonstrated in detail.

図1[1]に示すように、半導体素子が形成された半導体基板50上にバリアメタル膜40a、金属配線41a、絶縁性バリア膜42が積層されており、その上に、ビア層間絶縁膜43、配線層間絶縁膜44、ハードマスク膜45a,45bが形成されている。請求項1に記載の(第一の)絶縁膜は配線層間絶縁膜44であり、(第二の)絶縁膜はビア層間絶縁膜43である。ここで、ビア層間絶縁膜43と配線層間絶縁膜44とを分けて示したが、同一の材料から構成しても良い。   As shown in FIG. 1 [1], a barrier metal film 40 a, a metal wiring 41 a, and an insulating barrier film 42 are laminated on a semiconductor substrate 50 on which a semiconductor element is formed. A wiring interlayer insulating film 44 and hard mask films 45a and 45b are formed. The (first) insulating film according to claim 1 is a wiring interlayer insulating film 44, and the (second) insulating film is a via interlayer insulating film 43. Here, although the via interlayer insulating film 43 and the wiring interlayer insulating film 44 are shown separately, they may be made of the same material.

配線層間絶縁膜44は、3員環又は4員環からなる環状有機シロキサン構造の原料を用い、He、Ar、Ne、Xe、Rnなどからなる不活性キャリアガスを用いて反応室に供給し、高周波電力を引加することで成膜を行うことができる。   The wiring interlayer insulating film 44 uses a raw material of a cyclic organosiloxane structure composed of a three-membered ring or a four-membered ring, and supplies it to the reaction chamber using an inert carrier gas composed of He, Ar, Ne, Xe, Rn, etc. Film formation can be performed by applying high-frequency power.

例えば、ビア層間絶縁膜43及び配線層間絶縁膜44は、少なくともシリコン、酸素、炭素、水素からなる環状有機シロキサン原料を用い、本発明に係る方法にて形成した低誘電率絶縁膜である。そのような原料としては、例えば[化3]又は[化4]で示される環状有機シロキサンであることが好ましい。これらの原料は構造中に不飽和炭化水素基を含むため、成膜プラズマ中での炭素の側鎖炭素の脱離を抑制し、絶縁膜内に多くの炭素を取り込むことができるようになる。   For example, the via interlayer insulating film 43 and the wiring interlayer insulating film 44 are low dielectric constant insulating films formed by a method according to the present invention using a cyclic organosiloxane raw material composed of at least silicon, oxygen, carbon, and hydrogen. As such a raw material, for example, a cyclic organosiloxane represented by [Chemical Formula 3] or [Chemical Formula 4] is preferable. Since these raw materials contain an unsaturated hydrocarbon group in the structure, desorption of the side chain carbon of carbon in the deposition plasma can be suppressed, and a large amount of carbon can be taken into the insulating film.

Figure 2009123886
Figure 2009123886

Figure 2009123886
Figure 2009123886

それらの膜厚は50〜200nm程度が良い。原料分圧をプラズマ励起中に変化させることで、密着性に優れる層などを挿入しても良い。   Their film thickness is preferably about 50 to 200 nm. A layer having excellent adhesion may be inserted by changing the raw material partial pressure during plasma excitation.

ビア層間絶縁膜43は、成膜条件や混合ガス原料を追加したり、入れ替えたりすることで、配線層間絶縁膜44よりも炭素成分の少ないSiOCH層としても良い。ハードマスク膜45aは、配線層間絶縁膜44の成膜条件を変更したり、混合ガス原料を追加したり、入れ替えたりすることで、配線層間絶縁膜44よりも炭素成分の少ないSiOCH層としてもよい。   The via interlayer insulating film 43 may be a SiOCH layer having a carbon component smaller than that of the wiring interlayer insulating film 44 by adding or replacing film forming conditions and mixed gas materials. The hard mask film 45a may be a SiOCH layer having a carbon component less than that of the wiring interlayer insulating film 44 by changing the film formation conditions of the wiring interlayer insulating film 44, adding a mixed gas material, or replacing them. .

例えば、配線層間絶縁膜44としては、[化3]からなる原料を気化し、プラズマ気相成長法を用いて形成した環状シロキサンを含む絶縁膜を用い、ビア層間絶縁膜43及びハードマスク膜45aとしては、[化5]からなる原料を気化し、プラズマ気相成長法を用いて形成したシロキサンを含む絶縁膜を選択し、これらを連続成長させることもできる。   For example, as the wiring interlayer insulating film 44, an insulating film containing cyclic siloxane formed by vaporizing a raw material made of [Chemical Formula 3] and using a plasma vapor deposition method is used, and a via interlayer insulating film 43 and a hard mask film 45a are used. As an alternative, it is possible to vaporize the raw material consisting of [Chemical Formula 5], select an insulating film containing siloxane formed by plasma vapor deposition, and continuously grow these.

Figure 2009123886
Figure 2009123886

このような不飽和炭化水素と炭素分子量3以上のアルキル基を有する原料を用いて形成した絶縁膜を用いることで、水素プラズマ処理によって絶縁膜と金属との界面に好適な改質層を形成することが容易となる。   By using an insulating film formed using such an unsaturated hydrocarbon and a raw material having an alkyl group having a carbon molecular weight of 3 or more, a modified layer suitable for the interface between the insulating film and the metal is formed by hydrogen plasma treatment. It becomes easy.

ハードマスク膜45bは、シリコン酸化膜とする。必要に応じてハードマスク45bを削除しても良い。   The hard mask film 45b is a silicon oxide film. The hard mask 45b may be deleted as necessary.

金属配線41aは、Cuを主成分とし、金属配線の信頼性を向上させるため、Cu以外の金属元素がCuからなる部材に含まれていても良く、Cu以外の金属元素がCuの上面や側面などに形成されていても良い。金属配線41aとしては、Cuターゲットを用いたスパッタ法や、CVD法、又は、それらの方法で形成したCu膜を電極として用いた電解めっき法などにより形成することができる。また、主成分以外の金属元素としては、アルミニウム、錫、チタン、タングステン、銀、ジルコニウム、インジウム、及びマグネシウムの中から少なくとも一つを選択し、添加することも有効である。また、Cu以外の金属、例えば、タングステン(W)や、CoWPなどの化合物を密着層として、又はCu配線と絶縁性バリア膜の間に挿入することも有効である。   The metal wiring 41a is mainly composed of Cu, and in order to improve the reliability of the metal wiring, a metal element other than Cu may be included in the member made of Cu. It may be formed. The metal wiring 41a can be formed by a sputtering method using a Cu target, a CVD method, or an electrolytic plating method using a Cu film formed by these methods as an electrode. It is also effective to select and add at least one of aluminum, tin, titanium, tungsten, silver, zirconium, indium, and magnesium as the metal element other than the main component. It is also effective to insert a metal other than Cu, for example, a compound such as tungsten (W) or CoWP as an adhesion layer or between the Cu wiring and the insulating barrier film.

バリアメタル膜40aは、スパッタ法やCVD法や、ALCVD(Atomic Layer Chemical Vapor Deposition)法などを用いて形成することができる。例えば、タンタル(Ta)、窒化タンタル(TaN)、窒化チタン(TiN)、タイタン(WTi)、窒化タイタン(WTiN)、炭窒化タングステン(WCN)のような高融点金属やその窒化物等、又はそれらの積層膜が使用される。特に、Ta/TaN(=上層/下層)の積層膜を用いることが好ましい。   The barrier metal film 40a can be formed using a sputtering method, a CVD method, an ALCVD (Atomic Layer Chemical Vapor Deposition) method, or the like. For example, refractory metals such as tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), titan (WTi), titan nitride (WTiN), tungsten carbonitride (WCN), nitrides thereof, or the like The laminated film is used. In particular, it is preferable to use a laminated film of Ta / TaN (= upper layer / lower layer).

絶縁性バリア膜42は、SiN、SiCN、SiC膜などからなり、その膜厚が20〜50nmであり、プラズマCVD法により形成される。   The insulating barrier film 42 is made of SiN, SiCN, SiC film, etc., and has a film thickness of 20 to 50 nm, and is formed by a plasma CVD method.

ハードマスク膜45a,45bは、SiO2、SiON、SiC、SiCN、などを用いることができ、膜厚は50〜200nm程度とすることが好ましい。 Hard mask layer 45a, 45b is, SiO 2, SiON, can be used SiC, SiCN, or the like, the film thickness is preferably about 50 to 200 nm.

ここでは、3員環状有機シロキサン[化3]を用いて形成した配線層間絶縁膜44を例に説明する。以上のビア層間絶縁膜43及び配線層間絶縁膜44を形成する工程が、特許請求の範囲における第一の工程に相当する。   Here, a wiring interlayer insulating film 44 formed using three-membered cyclic organosiloxane [Chemical Formula 3] will be described as an example. The step of forming the via interlayer insulating film 43 and the wiring interlayer insulating film 44 corresponds to the first step in the claims.

続いて、図1[2]に示すように、フォトレジストを用いたパターニングとドライエッチングによって、ビアホール46を形成する。そして、その上に、有機膜51、低温酸化膜52、ARC(Antireflective Coatings)53、フォトレジスト54を順次積層し、フォトレジスト54をパターニングする。   Subsequently, as shown in FIG. 1 [2], a via hole 46 is formed by patterning using a photoresist and dry etching. Then, an organic film 51, a low-temperature oxide film 52, an ARC (Antireflective Coatings) 53, and a photoresist 54 are sequentially laminated thereon, and the photoresist 54 is patterned.

続いて、図2[1]に示すように、フォトレジスト54をマスクにしてドライエッチングを施すことによって、ハードマスク45a,45b内に配線溝であるハードマスク溝47を転写形成する。このとき、フォトレジスト54の灰化プロセスにはO2プラズマアッシングを用いて、効率的かつ完全にフォトレジスト54を除去することができる。その時点では配線層間絶縁膜44はほとんどエッチングされていないため、後に形成される配線溝の側壁はO2プラズマに暴露されていない。 Subsequently, as shown in FIG. 2 [1], by performing dry etching using the photoresist 54 as a mask, a hard mask groove 47 as a wiring groove is transferred and formed in the hard masks 45a and 45b. At this time, the photoresist 54 can be efficiently and completely removed using O 2 plasma ashing for the ashing process of the photoresist 54. At that time, since the wiring interlayer insulating film 44 is hardly etched, the side wall of the wiring groove to be formed later is not exposed to O 2 plasma.

続いて、図2[2]に示すように、ハードマスク膜45a,45bをマスクにしてドライエッチングを施すことによって、配線層間絶縁膜44内に配線溝であるデュアルダマシン溝48を形成する。   Subsequently, as shown in FIG. 2 [2], by performing dry etching using the hard mask films 45 a and 45 b as a mask, a dual damascene groove 48, which is a wiring groove, is formed in the wiring interlayer insulating film 44.

このときの配線層間絶縁膜44のドライエッチング方法に関して詳しく説明する。例えば、テトラフルオロカーボン(CF4)とアルゴン(Ar)、窒素(N2)とを任意の割合で混合したものをエッチングガスとして用い、平行平板型のドライエッチング装置を用いてエッチングを行うことができる。 The dry etching method of the wiring interlayer insulating film 44 at this time will be described in detail. For example, a mixture of tetrafluorocarbon (CF 4 ), argon (Ar), and nitrogen (N 2 ) in an arbitrary ratio can be used as an etching gas, and etching can be performed using a parallel plate type dry etching apparatus. .

具体的には、平行平板型の8インチ用エッチング装置を用い、ガス流量比Ar/N2/CF4/O2=300/100/25/6sccmにて、基板間距離(GAP)=35mm、圧力50mTorr(6.65Pa)、上部電極周波数60MHz、上部電極パワー1000W、下部電極周波数13.56MHz、下部電極パワー100Wにて行うことができる。 Specifically, using a parallel plate type 8 inch etching apparatus, with a gas flow rate ratio Ar / N 2 / CF 4 / O 2 = 300/100/25/6 sccm, the distance between substrates (GAP) = 35 mm, It can be performed at a pressure of 50 mTorr (6.65 Pa), an upper electrode frequency of 60 MHz, an upper electrode power of 1000 W, a lower electrode frequency of 13.56 MHz, and a lower electrode power of 100 W.

このとき、ハードマスク45bをマスクにエッチングを行うことによって、フォトレジスト54をマスクに加工する場合と比べて溝のライン・エッジ・ラフネスを改善できる利点がある。以上の図1[2]〜図2[2]の工程が特許請求の範囲における第二の工程に相当する。   At this time, by etching using the hard mask 45b as a mask, there is an advantage that the line / edge / roughness of the groove can be improved as compared with the case where the photoresist 54 is processed using the mask. The process of FIG. 1 [2]-FIG. 2 [2] above corresponds to the second process in the claims.

続いて、図3[1]に示すように、エッチング後の側壁の改質処理を行うことで改質層49を形成する。この時点では既に溝パターニング用のフォトレジスト54は完全に除去されているため、改質に好ましい条件で改質処理を行うことができる。   Subsequently, as shown in FIG. 3 [1], a modified layer 49 is formed by performing a modification process on the side wall after etching. At this point, since the photoresist 54 for groove patterning has already been completely removed, the modification process can be performed under conditions preferable for modification.

このとき、好ましい改質処理条件としては、H2プラズマ処理を行うのが良い。処理時間はプラズマ条件にもよるが、5〜30秒程度にすることが好ましい。過剰なプラズマ処理は、溝側壁及び溝底の脱炭化を促進するため好ましくない。 At this time, it is preferable to perform H 2 plasma treatment as a preferable modification treatment condition. The treatment time depends on the plasma conditions, but is preferably about 5 to 30 seconds. Excessive plasma treatment is not preferable because it promotes decarburization of the groove sidewall and groove bottom.

具体的には、H2プラズマ照射は、平行平板型のin−situエッチング装置を用い、基板間距離(GAP)=30mm、圧力10mTorr(1.33Pa)、上部電極周波数60MHz、上部電極パワー600W、下部電極周波数13.56MHz、下部電極パワー100Wにて水素ガスのみで5秒間処理を行うことができる。 Specifically, the H 2 plasma irradiation uses a parallel plate type in-situ etching apparatus, a distance between substrates (GAP) = 30 mm, a pressure of 10 mTorr (1.33 Pa), an upper electrode frequency of 60 MHz, an upper electrode power of 600 W, The treatment can be performed with only hydrogen gas at a lower electrode frequency of 13.56 MHz and a lower electrode power of 100 W for 5 seconds.

このとき、H2プラズマ照射を行うガス系は、H2/Ar、H2/Heなどの混合ガスを用いても良い。 At this time, a mixed gas such as H 2 / Ar, H 2 / He may be used as a gas system for performing the H 2 plasma irradiation.

具体的には、プラズマ照射は、平行平板型のin−situアッシング装置を用い、ガス流量比はH2/He=200/300sccm、基板間距離(GAP)=40mm、圧力20mTorr(2.66Pa)、上部電極周波数60MHz、上部電極パワー500W、下部電極周波数13.56MHz、下部電極パワー100Wにて処理を行うことができる。 Specifically, the plasma irradiation uses a parallel plate type in-situ ashing apparatus, the gas flow rate ratio is H 2 / He = 200/300 sccm, the distance between substrates (GAP) = 40 mm, and the pressure is 20 mTorr (2.66 Pa). The treatment can be performed at an upper electrode frequency of 60 MHz, an upper electrode power of 500 W, a lower electrode frequency of 13.56 MHz, and a lower electrode power of 100 W.

なお、H2プラズマ処理を行う装置はin−situエッチング装置に限定するものではなく、バリアメタルを成膜するスパッタチャンバ等に併設されたチャンバで行うことも可能である。 Note that the apparatus for performing the H 2 plasma treatment is not limited to the in-situ etching apparatus, but can be performed in a chamber provided in addition to a sputtering chamber for forming a barrier metal film.

このとき、典型的な例として配線層間絶縁膜44の側壁と溝下面に改質層49が形成されるが、それ以外の部分に改質層が形成されていても良い。例えば、溝底のビア層間絶縁膜43や、ビアホール46の側壁などにも改質層49が形成されていても良い。   At this time, as a typical example, the modified layer 49 is formed on the side wall of the wiring interlayer insulating film 44 and the lower surface of the groove. However, the modified layer may be formed on other portions. For example, the modified layer 49 may also be formed on the via interlayer insulating film 43 at the bottom of the trench, the sidewall of the via hole 46, and the like.

例えば、ビア層間絶縁膜43及び配線層間絶縁膜44に環状シロキサンを含む絶縁膜を用いていた場合には、溝側壁、溝下面、ビア側壁、の改質層49は密度が高く20nm以下にて形成される。一方、ビア層間絶縁膜43にそれ以外のSiOCH絶縁膜を用いた場合には、ビア側壁及び溝下面には30〜50nmの改質層49が形成される場合がある。   For example, when an insulating film containing cyclic siloxane is used for the via interlayer insulating film 43 and the wiring interlayer insulating film 44, the modified layer 49 of the groove sidewall, the groove lower surface, and the via sidewall has a high density of 20 nm or less. It is formed. On the other hand, when another SiOCH insulating film is used for the via interlayer insulating film 43, a modified layer 49 of 30 to 50 nm may be formed on the via sidewall and the groove lower surface.

このようにして、金属配線41bのとの接続界面に改質層49が形成されることで、金属配線41bと配線層間絶縁膜44又はビア層間絶縁膜43との密着性を向上することができるようになる。これは、改質層に対するバリアメタルの密着性が、改質層無しの構造に比べて向上するためである。これは、改質層の組成において、酸素数が炭素数よりも多いために、バリアメタルとの密着が向上したためである。   In this way, by forming the modified layer 49 at the connection interface with the metal wiring 41b, the adhesion between the metal wiring 41b and the wiring interlayer insulating film 44 or the via interlayer insulating film 43 can be improved. It becomes like this. This is because the adhesion of the barrier metal to the modified layer is improved compared to the structure without the modified layer. This is because in the composition of the modified layer, the number of oxygens is larger than the number of carbons, so that the adhesion with the barrier metal is improved.

このとき、ビア下のCuが露出した状態で改質処理を行うため、水素プラズマ処理を行うことで、ビア下の酸化Cuの還元と、絶縁膜表面(溝側壁、溝下面、ビア側面)の脱炭素による改質を同時に行うことができるようになる。以上の図3[1]の工程が特許請求の範囲における第三の工程に相当する。   At this time, since the reforming process is performed in a state where the Cu under the via is exposed, the reduction of Cu oxide under the via and the insulating film surface (the groove side wall, the groove lower surface, the via side surface) are performed by performing the hydrogen plasma process. The reforming by decarbonization can be performed at the same time. The process shown in FIG. 3 [1] corresponds to the third process in the claims.

最後に、図3[2]に示すように、デュアルダマシン溝48内に、バリアメタル膜40b及び金属配線41bを埋め込み、CMP法によって余剰の配線を除去し、デュアルダマシン配線が形成される。   Finally, as shown in FIG. 3 [2], the barrier metal film 40b and the metal wiring 41b are embedded in the dual damascene trench 48, and the surplus wiring is removed by CMP to form dual damascene wiring.

このようにして作製した多層配線の配線間リーク電流を、すなわちライン/スペース=100nm/100nm、対向長5cmのTEGにおける室温での配線間リーク電流を測定したところ、改質層無しと比較して約3桁、配線間のリーク電流が低減できていることを確認した。   The leakage current between wirings of the multilayer wiring thus produced, that is, the leakage current between wirings at room temperature in a TEG having a line / space = 100 nm / 100 nm and a facing length of 5 cm, was compared with that without a modified layer. It was confirmed that the leakage current between the wirings was reduced by about 3 digits.

すなわち、酸素数よりも炭素数の多い環状型SiOCH膜においては、リーク電流の低減が必須であり、本発明による好適な改質層の形成によって、配線性能を維持したまま、絶縁信頼性を確保できるようになる。   That is, in the cyclic SiOCH film having more carbon atoms than the oxygen number, it is essential to reduce the leakage current, and by forming a suitable modified layer according to the present invention, insulation reliability is ensured while maintaining wiring performance. become able to.

このようにして作製した多層配線の配線間の組成分析をTEM−EELSにて行った結果、水素プラズマ処理によって改質層を形成した場合には絶縁膜表面(溝側壁、溝下面、ビア側面)に15nm以下の改質層が形成されていることを確認した。改質層の組成は炭素に比べて酸素が多いことがわかる。   As a result of performing composition analysis between the wirings of the multilayer wiring thus produced by TEM-EELS, when the modified layer is formed by hydrogen plasma treatment, the insulating film surface (groove side wall, groove lower surface, via side surface) It was confirmed that a modified layer of 15 nm or less was formed. It can be seen that the composition of the modified layer is more oxygen than carbon.

シリコン基板上に配線層間絶縁膜を形成し、擬似的に水素プラズマ処理を行い、表面に形成された改質層の評価をXRRを用いて行ったところ、改質層の密度が2.0g/cm3以上であることを確認した。 When a wiring interlayer insulating film is formed on a silicon substrate, a pseudo hydrogen plasma treatment is performed, and the modified layer formed on the surface is evaluated using XRR, the density of the modified layer is 2.0 g / It was confirmed that it was cm 3 or more.

このとき、配線層間絶縁膜内部は、少なくともシリコン、酸素、炭素、からなる環状シロキサン構造を含む絶縁膜であり、TEM−EELSの結果から、前記絶縁膜の炭素原子量が酸素原子量の2倍以上であることがわかる。15nm以下の改質層において炭素量と酸素量が逆転していることが本発明の特徴である。   At this time, the inside of the wiring interlayer insulating film is an insulating film including a cyclic siloxane structure composed of at least silicon, oxygen, and carbon. From the result of TEM-EELS, the carbon atom weight of the insulating film is more than twice the oxygen atom weight. I know that there is. It is a feature of the present invention that the carbon content and the oxygen content are reversed in the modified layer of 15 nm or less.

したがって、本発明に係る製造方法を用いることにより、層間絶縁膜の低誘電率化と高絶縁信頼化を同時に達成し、かつ、高密着性を有することで、ひいては配線の性能を向上させ、高速かつ低消費電力のLSIを高信頼性で形成することが可能となる。   Therefore, by using the manufacturing method according to the present invention, a low dielectric constant and a high insulation reliability of the interlayer insulating film can be achieved at the same time, and by having high adhesion, the wiring performance can be improved and high speed can be achieved. In addition, a low power consumption LSI can be formed with high reliability.

(第二実施形態)
図4に示すように、半導体基板11上に半導体素子としてMOSFET10が形成され、TiN膜32を介してシリコン酸化膜12a及び接続プラグとしてタングステン33が形成されている。その上には、第一実施形態と同様に、バリアメタル膜としてTa/TaN膜31a〜31e、金属配線としてCuAl膜30a〜30e、絶縁性バリア膜としてSiCN膜28a〜28e、配線層間絶縁膜として環状型SiOCH膜29a〜29e、改質層49a〜49eなどが形成され、多層配線構造となっている。更にその上には、Ta/TaN膜31f、CuAl膜30f、SiCN膜28f,28g、シリコン酸化膜12b,13、シリコン酸窒化膜34、Ti/TiN膜35a,35b、AlCu膜36などが形成されている。
(Second embodiment)
As shown in FIG. 4, MOSFET 10 is formed as a semiconductor element on semiconductor substrate 11, silicon oxide film 12 a is formed through TiN film 32, and tungsten 33 is formed as a connection plug. Furthermore, as in the first embodiment, Ta / TaN films 31a to 31e as barrier metal films, CuAl films 30a to 30e as metal wirings, SiCN films 28a to 28e as insulating barrier films, and wiring interlayer insulating films Annular SiOCH films 29a to 29e, modified layers 49a to 49e, and the like are formed to form a multilayer wiring structure. Further thereon, Ta / TaN film 31f, CuAl film 30f, SiCN films 28f and 28g, silicon oxide films 12b and 13, silicon oxynitride film 34, Ti / TiN films 35a and 35b, AlCu film 36, and the like are formed. ing.

CuAl膜30a〜30eと接する環状型SiOCH膜29a〜29eに、環状型SiOCH膜29a〜29eよりも炭素原子量が少なく酸素原子量が多い改質層49a〜49eが形成されている。改質層49a〜49eの厚さは10〜20nm程度に制御されている。   On the cyclic SiOCH films 29a to 29e in contact with the CuAl films 30a to 30e, modified layers 49a to 49e having a smaller amount of carbon atoms and a larger amount of oxygen atoms than the cyclic SiOCH films 29a to 29e are formed. The thickness of the modified layers 49a to 49e is controlled to about 10 to 20 nm.

ここで、金属配線材は、Cuを主成分とし、金属配線材の信頼性を向上させるため、Cu以外の金属元素がCuからなる部材に含まれていても良く、Cu以外の金属元素がCuの上面や側面などに形成されていても良い。PVD法により成膜した厚さ40nmのCu層をシード層として、電解めっき法によりCuを形成した。PVD法によって形成されCu層には、内部に1.2atm%以下のAlを含む。絶縁性バリア膜としてのSiCN膜28a〜28gは、膜厚が30nmであり、プラズマCVD法により形成した。   Here, the metal wiring material is mainly composed of Cu, and in order to improve the reliability of the metal wiring material, a metal element other than Cu may be included in the member made of Cu, and the metal element other than Cu may be Cu. It may be formed on the upper surface, side surface, or the like. Cu was formed by electrolytic plating using a Cu layer having a thickness of 40 nm formed by PVD as a seed layer. The Cu layer formed by the PVD method contains 1.2 atm% or less of Al inside. The SiCN films 28a to 28g as the insulating barrier films have a film thickness of 30 nm and are formed by a plasma CVD method.

配線層間絶縁膜としての環状型SiOCH膜29a〜29eは、環状有機シロキサン原料[化3]を用いて、プラズマ気相成長法により形成したものであり、比誘電率が2.4であり、厚さがビア層間部分も含めて250nmである。このような層間絶縁膜としての環状型SiOCH膜29a〜29eから構成されるデュアルダマシン溝内に、金属配線としてのCuAl膜30a〜30e及びバリアメタル膜としてのTa/TaN膜31a〜31eが埋め込まれている。Ta/TaN膜31a〜31fは、PVD法で形成したTa(15nm)/TaN(5nm)(=上層/下層)の積層膜を用いた。   The cyclic SiOCH films 29a to 29e as the wiring interlayer insulating films are formed by the plasma vapor deposition method using the cyclic organosiloxane raw material [Chemical Formula 3], and have a relative dielectric constant of 2.4 and a thickness of Is 250 nm including the via layer portion. CuAl films 30a-30e as metal wirings and Ta / TaN films 31a-31e as barrier metal films are embedded in dual damascene trenches composed of annular SiOCH films 29a-29e as interlayer insulating films. ing. As the Ta / TaN films 31a to 31f, Ta (15 nm) / TaN (5 nm) (= upper layer / lower layer) laminated films formed by the PVD method were used.

金属配線材としては、1.2atm%のAlを含むCuターゲットを用いてPVD法によりシード層を形成した後、めっき法によりCuを形成した。各配線層の高さは、CuAl膜30a〜30eを170nm、CuAl膜30fを300nmとした。また、Cu−CMP時に、配線層間絶縁膜の表面を保護するために、ハードマスク膜などを挿入した構造としても良い。ハードマスク膜としては、シリコン酸化膜、シリコン炭化膜、シリコン炭素窒素膜、などであり、配線層間絶縁膜よりも比誘電率が高く、機械強度に優れることが好ましい。そのため、比誘電率3.0程度のSiOCH膜などを用いて良い。   As the metal wiring material, a seed layer was formed by a PVD method using a Cu target containing 1.2 atm% Al, and then Cu was formed by a plating method. The height of each wiring layer was set to 170 nm for the CuAl films 30a to 30e and 300 nm for the CuAl film 30f. In addition, a hard mask film or the like may be inserted in order to protect the surface of the wiring interlayer insulating film during Cu-CMP. The hard mask film is a silicon oxide film, a silicon carbide film, a silicon carbon nitrogen film, or the like, and preferably has a higher relative dielectric constant and higher mechanical strength than the wiring interlayer insulating film. Therefore, a SiOCH film having a relative dielectric constant of about 3.0 may be used.

上層の配線にはAlを用いており、PVD法によってTi/TiN35a、Al−Cu36、Ti/TiN35bを形成した。各金属膜の厚さは、Ti/TiN35aが0.3μm程度、Al−Cu36が1.5μm、Ti/TiN35bが0.3μmとした。このとき、溝状ビアホール内には金属を連続的に埋め込んだ。その上層はパッシベーション膜としてのシリコン酸窒化膜34によって覆った。   Al was used for the upper layer wiring, and Ti / TiN35a, Al-Cu36, and Ti / TiN35b were formed by the PVD method. The thickness of each metal film was about 0.3 μm for Ti / TiN35a, 1.5 μm for Al—Cu36, and 0.3 μm for Ti / TiN35b. At this time, a metal was continuously embedded in the grooved via hole. The upper layer was covered with a silicon oxynitride film 34 as a passivation film.

以上の配線構造を用いると、改質層の導入によって、配線間の容量と絶縁信頼性の両立することができるようになる。また、配線間隔70nmの櫛形のTEGを用いて配線間のTDDB試験を行ったところ、125℃にて2.5MV/cm電界を印加したところ、絶縁寿命は120時間以上となり、十分なTDDB耐性を有することを確認した。   When the above wiring structure is used, the introduction of the modified layer makes it possible to achieve both capacitance between wirings and insulation reliability. Moreover, when a TDDB test between wirings was performed using a comb-shaped TEG with a wiring spacing of 70 nm, when an electric field of 2.5 MV / cm was applied at 125 ° C., the insulation life was 120 hours or more, and sufficient TDDB resistance was obtained. Confirmed to have.

また、このようなデバイスを形成後、ウェハをダイシングし、チップを切り出したのち、セラミックパッケージ上にマウント、樹脂封止を行った。チップサイズは25mm□とし、−65℃〜150℃の温度サイクル試験を1000サイクルまで行ったところ、本発明による改質層によって密着性が向上し、50チップ中、一つも剥がれが観察されなかったのに対して、改質層を形成しない条件では、密着性に劣るためチップの角から若干の剥がれが生じるサンプルが2チップ発生することを確認した。   In addition, after forming such a device, the wafer was diced, a chip was cut out, and then mounted on a ceramic package and sealed with a resin. When the chip size was 25 mm □ and the temperature cycle test from −65 ° C. to 150 ° C. was performed up to 1000 cycles, the modified layer according to the present invention improved the adhesion, and no peeling was observed in 50 chips. On the other hand, it was confirmed that under the conditions where the modified layer was not formed, two samples were generated in which some peeling occurred from the corners of the chip because of poor adhesion.

なお、本実施形態はデュアルダマシン構造に関して詳しく説明したが、シングルダマシン配線に関しても同様に適用できる。また、本発明は、改質層の形成によって、低配線間容量と高絶縁信頼性を両立し、かつ密着性の向上が必要な多層配線の配線構造とその製造方法に関するものであれば、あらゆるものに適用することが可能であり、その利用の可能性において何ら限定するものではない。更に、幾つかの好適な実施の形態に関連付けして本発明を説明したが、これら実施の形態は単に実例を挙げて発明を説明するためのものであって、限定することを意味するものではないことが理解できる。   Although this embodiment has been described in detail with respect to the dual damascene structure, it can be similarly applied to single damascene wiring. In addition, the present invention relates to a multilayer wiring structure and a method of manufacturing the multilayer wiring that require both improved capacitance between the wiring and high insulation reliability by forming the modified layer, and any manufacturing method thereof. The present invention can be applied to a thing, and the use possibility is not limited at all. Further, while the present invention has been described in connection with some preferred embodiments, these embodiments are merely illustrative of the invention and are not meant to be limiting. I can understand.

例えば本発明者によってなされた発明の背景となった利用分野であるCMOS回路を有する半導体製造装置技術に関して詳しく説明したが、本発明はそれに限定されるものではなく、例えば、DRAM(Dynamic Random Access Memory)、SRAM(Static Random Access Memory)、フラッシュメモリ、FRAM(Ferro Electric Random Access Memory)、MRAM(Magnetic Random Access Memory)、抵抗変化型メモリ等のようなメモリ回路を有する半導体製品、マイクロプロセッサなどの論理回路を有する半導体製品、又はそれらを同時に掲載した混載型の半導体製品にも適用することができる。また、本発明は、少なくとも一部に埋め込み型合金配線構造を有する半導体装置、電子回路装置、光回路装置、量子回路装置、マイクロマシンなどにも適用することができる。   For example, the semiconductor manufacturing apparatus technology having a CMOS circuit, which is a field of use as the background of the invention made by the present inventor, has been described in detail. However, the present invention is not limited thereto, for example, DRAM (Dynamic Random Access Memory) ), SRAM (Static Random Access Memory), flash memory, FRAM (Ferro Electric Random Access Memory), MRAM (Magnetic Random Access Memory), semiconductor products having memory circuits such as resistance change memory, logic such as microprocessors The present invention can also be applied to a semiconductor product having a circuit, or a mixed-type semiconductor product in which they are listed simultaneously. The present invention can also be applied to a semiconductor device, an electronic circuit device, an optical circuit device, a quantum circuit device, a micromachine, or the like having an embedded alloy wiring structure at least partially.

また、でき上がりからも本発明による改質層の形成を確認することができる。具体的には、配線層間膜はTEM−EELS測定により、金属配線周囲の配線層間絶縁膜を分析することで改質層の組成を確認することができる。ビア層間絶縁膜と配線層間絶縁膜との界面を分析することで確認することができる。   In addition, the formation of the modified layer according to the present invention can also be confirmed from the completion. Specifically, for the wiring interlayer film, the composition of the modified layer can be confirmed by analyzing the wiring interlayer insulating film around the metal wiring by TEM-EELS measurement. This can be confirmed by analyzing the interface between the via interlayer insulating film and the wiring interlayer insulating film.

また、半導体製造装置内部に記憶された、マイクロコンピュータの制御プログラムを確認することでも、本発明による改質層の形成を確認することができる。例えば、環状型SiOCH膜のエッチング中にレジスト灰化シーケンスとは別に水素プラズマ処理シーケンスが含まれるように組まれたプログラムを用いているか、又はそのプログラムが記憶されているかどうかで、特定を行うことができる。又は、配線形成工程フローにて、エッチングでの溝加工後に改質させる工程が工程フローに記載されているかどうかで、特定を行うことができる。   The formation of the modified layer according to the present invention can also be confirmed by confirming a microcomputer control program stored in the semiconductor manufacturing apparatus. For example, identification is performed based on whether or not a program configured to include a hydrogen plasma processing sequence in addition to the resist ashing sequence is included in the etching of the annular SiOCH film, or whether the program is stored. Can do. Alternatively, in the wiring formation process flow, it is possible to specify whether or not the process to be modified after the groove processing by etching is described in the process flow.

本明細書を読んだ後であれば、当業者にとって等価な構成要素や技術による数多くの変更及び置換が容易であることが明白であるが、このような変更及び置換は、添付の請求項の真の範囲及び精神に該当するものであることは明白である。以上、上記各実施形態を参照して本発明を説明したが、本発明は上記各実施形態に限定されるものではない。本発明の構成や詳細については、当業者が理解し得るさまざまな変更を加えることができる。また、本発明には、上記各実施形態の構成の一部又は全部を相互に適宜組み合わせたものも含まれる。   After reading this specification, it will be apparent to persons skilled in the art that many changes and substitutions by equivalent components and techniques may be readily made, and such changes and substitutions are It is clear that it falls within the true scope and spirit. Although the present invention has been described with reference to the above embodiments, the present invention is not limited to the above embodiments. Various changes that can be understood by those skilled in the art can be made to the configuration and details of the present invention. Further, the present invention includes a combination of some or all of the configurations of the above-described embodiments as appropriate.

なお、本発明は、次のように表現することもできる。(1)半導体基板上の絶縁膜に配線溝及びビアホールが形成され、該配線溝及び該ビアホールに金属を充填させた配線及び接続プラグが複数積層され、前記絶縁膜の少なくとも一部が、第一の絶縁膜から構成された多層配線において、少なくとも1層以上の前記第一の絶縁膜が、シリコン、酸素、炭素からなるシロキサン構造を含む絶縁膜であり、前記第一の絶縁膜内部のシロキサン構造は炭素原子数がシリコン原子数よりも多く、前記第一の絶縁膜と前記金属との界面に、前記第一の絶縁膜内部よりも炭素原子数が少なく、かつ酸素原子数が多い改質層が形成されていることを特徴とする半導体装置の形成方法において、前記シロキサン構造を含む絶縁膜上にハードマスク膜を形成する工程と、前記ハードマスク膜上にフォトレジストを塗布し溝パターニングする工程と、前記フォトレジストをマスクにドライエッチングによって前記ハードマスク膜内に溝を形成する工程と、酸素アッシングによって前記フォトレジスト膜を除去する工程と、前記ハードマスク膜をマスクにドライエッチングによって前記シロキサン構造を含む絶縁膜内に溝を形成する工程と、前記溝の水素プラズマ処理によって改質処理を行う工程と、を有することを特徴とする多層配線の形成方法。(2)前記水素プラズマ処理が、水素とヘリウムの混合ガスを用いたプラズマ処理であることを特徴とする前記(1)に記載の多層配線の形成方法。(3)前記水素プラズマ処理が、水素とアルゴンの混合ガスを用いたプラズマ処理であることを特徴とする前記(1)に記載の多層配線の形成方法。(4)前記水素プラズマ処理は、基板バイアスを印加して行うことを特徴とする前記(1)〜(3)記載の多層配線の形成方法。(5)前記シロキサン構造を含む絶縁膜が、少なくとも前記[化3]又は[化4]の原料を用いたプラズマ重合法によって形成することを特徴とする前記(1)〜(4)に記載の多層配線の形成方法。   The present invention can also be expressed as follows. (1) A wiring groove and a via hole are formed in an insulating film on a semiconductor substrate, and a plurality of wirings and connection plugs in which the wiring groove and the via hole are filled with metal are stacked, and at least a part of the insulating film is a first In the multilayer wiring composed of the insulating film, at least one layer of the first insulating film is an insulating film including a siloxane structure made of silicon, oxygen, and carbon, and the siloxane structure inside the first insulating film Is a modified layer having a larger number of carbon atoms than the number of silicon atoms, and a smaller number of carbon atoms and a larger number of oxygen atoms at the interface between the first insulating film and the metal than in the first insulating film In the method of forming a semiconductor device, a step of forming a hard mask film over the insulating film including the siloxane structure, and applying a photoresist over the hard mask film. A groove patterning step, a step of forming a groove in the hard mask film by dry etching using the photoresist as a mask, a step of removing the photoresist film by oxygen ashing, and a step of drying using the hard mask film as a mask. A method for forming a multilayer wiring, comprising: a step of forming a groove in an insulating film containing the siloxane structure by etching; and a step of performing a modification treatment by hydrogen plasma treatment of the groove. (2) The method for forming a multilayer wiring according to (1), wherein the hydrogen plasma treatment is a plasma treatment using a mixed gas of hydrogen and helium. (3) The method for forming a multilayer wiring according to (1), wherein the hydrogen plasma treatment is a plasma treatment using a mixed gas of hydrogen and argon. (4) The method for forming a multilayer wiring according to (1) to (3), wherein the hydrogen plasma treatment is performed by applying a substrate bias. (5) The insulating film containing the siloxane structure is formed by a plasma polymerization method using at least the raw material of [Chemical Formula 3] or [Chemical Formula 4], as described in (1) to (4) above Formation method of multilayer wiring.

本発明の第一実施形態を示す断面図(その1)である。It is sectional drawing (the 1) which shows 1st embodiment of this invention. 本発明の第一実施形態を示す断面図(その2)である。It is sectional drawing (the 2) which shows 1st embodiment of this invention. 本発明の第一実施形態を示す断面図(その3)である。It is sectional drawing (the 3) which shows 1st embodiment of this invention. 本発明の第二実施形態を示す断面図である。It is sectional drawing which shows 2nd embodiment of this invention.

符号の説明Explanation of symbols

10 MOSFET
11、50 半導体基板
12a、12b、13 シリコン酸化膜
28a、28b、28c、28d、28e、28f、28g SiCN膜
29a、29b、29c、29d、29e 環状型SiOCH膜(絶縁膜)
30a、30b、30c、30d、30e、30f CuAl膜(金属配線)
31a、31b、31c、31d、31e、31f Ta/TaN膜
32 TiN膜
33 タングステン
34 シリコン酸窒化膜
35a、35b Ti/TiN膜
36 AlCu膜
40a、40b バリアメタル膜
41a、41b 金属配線
42 絶縁性バリア膜
43 ビア層間絶縁膜(絶縁膜)
44 配線層間絶縁膜(絶縁膜)
45a、45b ハードマスク膜
46 ビアホール
47 ハードマスク溝
48 デュアルダマシン溝
49、49a、49b、49c、49d、49e 改質層
51 有機膜
52 低温酸化膜
53 ARC
54 フォトレジスト
10 MOSFET
11, 50 Semiconductor substrate 12a, 12b, 13 Silicon oxide film 28a, 28b, 28c, 28d, 28e, 28f, 28g SiCN film 29a, 29b, 29c, 29d, 29e Annular SiOCH film (insulating film)
30a, 30b, 30c, 30d, 30e, 30f CuAl film (metal wiring)
31a, 31b, 31c, 31d, 31e, 31f Ta / TaN film 32 TiN film 33 Tungsten 34 Silicon oxynitride film 35a, 35b Ti / TiN film 36 AlCu film 40a, 40b Barrier metal film 41a, 41b Metal wiring 42 Insulating barrier Film 43 Via interlayer insulating film (insulating film)
44 Wiring interlayer insulating film (insulating film)
45a, 45b Hard mask film 46 Via hole 47 Hard mask groove 48 Dual damascene groove 49, 49a, 49b, 49c, 49d, 49e Modified layer 51 Organic film 52 Low temperature oxide film 53 ARC
54 photoresist

Claims (8)

シロキサン構造を含む絶縁膜を金属配線上に形成する第一の工程と、
前記絶縁膜の一部に前記金属配線に達する凹部を形成する第二の工程と、
前記絶縁膜と前記凹部内で露出した前記金属配線とに水素プラズマ処理を施すことにより、当該絶縁膜の表面に改質層を形成するとともに当該金属配線の表面を還元する第三の工程と、
を含むことを特徴とする多層配線の形成方法。
A first step of forming an insulating film containing a siloxane structure on the metal wiring;
A second step of forming a recess reaching the metal wiring in a part of the insulating film;
A third step of forming a modified layer on the surface of the insulating film and reducing the surface of the metal wiring by performing a hydrogen plasma treatment on the insulating film and the metal wiring exposed in the recess;
A method for forming a multilayer wiring, comprising:
前記第二の工程は、
前記絶縁膜上にハードマスク膜を形成する工程と、
前記ハードマスク膜上にフォトレジストを塗布し、このフォトレジストに溝のパターンを形成する工程と、
前記フォトレジストをマスクにしてドライエッチングによって前記ハードマスク膜に溝を形成する工程と、
前記ドライエッチングの後に酸素アッシングによって前記フォトレジストを除去する工程と、
前記フォトレジストを除去した後に前記ハードマスク膜をマスクにしてドライエッチングによって前記絶縁膜に前記凹部としての溝を形成する工程とを含む、
ことを特徴とする請求項1記載の多層配線の形成方法。
The second step includes
Forming a hard mask film on the insulating film;
Applying a photoresist on the hard mask film and forming a groove pattern in the photoresist;
Forming a groove in the hard mask film by dry etching using the photoresist as a mask;
Removing the photoresist by oxygen ashing after the dry etching;
Forming a groove as the recess in the insulating film by dry etching using the hard mask film as a mask after removing the photoresist.
The method of forming a multilayer wiring according to claim 1.
前記第三の工程では、前記水素プラズマ処理に水素とヘリウムとの混合ガスを用いる、
ことを特徴とする請求項1又は2記載の多層配線の形成方法。
In the third step, a mixed gas of hydrogen and helium is used for the hydrogen plasma treatment.
The method for forming a multilayer wiring according to claim 1 or 2, wherein:
前記第三の工程では、前記水素プラズマ処理に水素とアルゴンとの混合ガスを用いる、
ことを特徴とする請求項1又は2記載の多層配線の形成方法。
In the third step, a mixed gas of hydrogen and argon is used for the hydrogen plasma treatment.
The method for forming a multilayer wiring according to claim 1 or 2, wherein:
前記第三の工程では、前記絶縁膜及び前記金属配線が形成された基板にバイアス電圧を印加して前記水素プラズマ処理を施す、
ことを特徴とする請求項1乃至4のいずれか一項に記載の多層配線の形成方法。
In the third step, a bias voltage is applied to the substrate on which the insulating film and the metal wiring are formed, and the hydrogen plasma treatment is performed.
The method for forming a multilayer wiring according to any one of claims 1 to 4, wherein:
前記第一の工程では、
次の[化1]及び[化2]で示される原料の少なくとも一方を用いたプラズマ重合法によって、
Figure 2009123886
Figure 2009123886
前記絶縁膜を形成する、
ことを特徴とする請求項1乃至5のいずれか一項に記載の多層配線の形成方法。
In the first step,
By plasma polymerization using at least one of the raw materials represented by the following [Chemical Formula 1] and [Chemical Formula 2],
Figure 2009123886
Figure 2009123886
Forming the insulating film;
The method for forming a multilayer wiring according to any one of claims 1 to 5, wherein:
前記金属配線は銅又は銅合金から成る、
ことを特徴とする請求項1乃至6のいずれか一項に記載の多層配線の形成方法。
The metal wiring is made of copper or copper alloy,
The method for forming a multilayer wiring according to any one of claims 1 to 6.
前記シロキサン構造はシリコン、酸素及び炭素からなり、
前記絶縁膜内の前記シロキサン構造は炭素原子数がシリコン原子数よりも多く、
前記改質層は前記絶縁膜内よりも炭素原子数が少なく酸素原子数が多い、
ことを特徴とする請求項1乃至7のいずれか一項に記載の多層配線の形成方法。
The siloxane structure consists of silicon, oxygen and carbon,
The siloxane structure in the insulating film has more carbon atoms than silicon atoms,
The modified layer has fewer carbon atoms and more oxygen atoms than the insulating film,
The method for forming a multilayer wiring according to any one of claims 1 to 7, wherein:
JP2007295838A 2007-11-14 2007-11-14 Method for forming multilayer wiring Expired - Fee Related JP5349789B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007295838A JP5349789B2 (en) 2007-11-14 2007-11-14 Method for forming multilayer wiring

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007295838A JP5349789B2 (en) 2007-11-14 2007-11-14 Method for forming multilayer wiring

Publications (2)

Publication Number Publication Date
JP2009123886A true JP2009123886A (en) 2009-06-04
JP5349789B2 JP5349789B2 (en) 2013-11-20

Family

ID=40815731

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007295838A Expired - Fee Related JP5349789B2 (en) 2007-11-14 2007-11-14 Method for forming multilayer wiring

Country Status (1)

Country Link
JP (1) JP5349789B2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010283136A (en) * 2009-06-04 2010-12-16 Toshiba Corp Method of manufacturing semiconductor device
JP2011016219A (en) * 2009-06-18 2011-01-27 Imec Method of manufacturing mems device having low contact resistance, and device obtained by the same
EP2388820A2 (en) 2010-05-19 2011-11-23 Renesas Electronics Corporation Integration of memory cells comprising capacitors with logic circuits comprising interconnects
JP2012023245A (en) * 2010-07-15 2012-02-02 Renesas Electronics Corp Semiconductor device and manufacturing method therefor
CN102569174A (en) * 2010-12-30 2012-07-11 新加坡商格罗方德半导体私人有限公司 Integrated circuit system with ultra-low K dielectric and method of manufacture thereof
EP2565923A2 (en) 2011-09-02 2013-03-06 Renesas Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
JP2013529838A (en) * 2010-06-11 2013-07-22 東京エレクトロン株式会社 Method for selectively etching insulating laminates for metal interconnects
JP2021111757A (en) * 2020-01-15 2021-08-02 株式会社アルバック Formation method of metal wiring
JP2021111756A (en) * 2020-01-15 2021-08-02 株式会社アルバック Formation method of metal wiring and metal wiring structure
JP7343406B2 (en) 2020-01-15 2023-09-12 株式会社アルバック How to form metal wiring

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005166716A (en) * 2003-11-28 2005-06-23 Tokyo Electron Ltd Method of forming insulation film and insulation film formation system
JP2006019377A (en) * 2004-06-30 2006-01-19 Mitsui Chemicals Inc Insulating film raw material composition for cvd including cyclic siloxane and method for forming insulating film using the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005166716A (en) * 2003-11-28 2005-06-23 Tokyo Electron Ltd Method of forming insulation film and insulation film formation system
JP2006019377A (en) * 2004-06-30 2006-01-19 Mitsui Chemicals Inc Insulating film raw material composition for cvd including cyclic siloxane and method for forming insulating film using the same

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010283136A (en) * 2009-06-04 2010-12-16 Toshiba Corp Method of manufacturing semiconductor device
JP2011016219A (en) * 2009-06-18 2011-01-27 Imec Method of manufacturing mems device having low contact resistance, and device obtained by the same
US8648441B2 (en) 2010-05-19 2014-02-11 Renesas Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
EP2388820A2 (en) 2010-05-19 2011-11-23 Renesas Electronics Corporation Integration of memory cells comprising capacitors with logic circuits comprising interconnects
JP2017005268A (en) * 2010-06-11 2017-01-05 東京エレクトロン株式会社 Method of selectively etching insulating laminate for metal interconnect
JP2013529838A (en) * 2010-06-11 2013-07-22 東京エレクトロン株式会社 Method for selectively etching insulating laminates for metal interconnects
JP2012023245A (en) * 2010-07-15 2012-02-02 Renesas Electronics Corp Semiconductor device and manufacturing method therefor
US9337093B2 (en) 2010-07-15 2016-05-10 Renesas Electronics Corporation Method of manufacturing semiconductor device
CN102569174A (en) * 2010-12-30 2012-07-11 新加坡商格罗方德半导体私人有限公司 Integrated circuit system with ultra-low K dielectric and method of manufacture thereof
TWI458044B (en) * 2010-12-30 2014-10-21 Globalfoundries Sg Pte Ltd Integrated circuit system with ultra-low k dielectric and method of manufacture thereof
KR101333306B1 (en) * 2010-12-30 2013-11-27 글로벌파운드리즈 싱가포르 피티이. 엘티디. Integrated circuit system with ultra-low k dielectric and method of manufacture thereof
EP2565923A2 (en) 2011-09-02 2013-03-06 Renesas Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
JP2021111757A (en) * 2020-01-15 2021-08-02 株式会社アルバック Formation method of metal wiring
JP2021111756A (en) * 2020-01-15 2021-08-02 株式会社アルバック Formation method of metal wiring and metal wiring structure
JP7343406B2 (en) 2020-01-15 2023-09-12 株式会社アルバック How to form metal wiring
JP7343407B2 (en) 2020-01-15 2023-09-12 株式会社アルバック Metal wiring formation method and metal wiring structure
JP7388932B2 (en) 2020-01-15 2023-11-29 株式会社アルバック How to form metal wiring

Also Published As

Publication number Publication date
JP5349789B2 (en) 2013-11-20

Similar Documents

Publication Publication Date Title
JP5349789B2 (en) Method for forming multilayer wiring
JP5168142B2 (en) Semiconductor device
TWI222170B (en) Interconnect structures containing stress adjustment cap layer
JP5380838B2 (en) Manufacturing method of semiconductor device
US7923384B2 (en) Formation method of porous insulating film, manufacturing apparatus of semiconductor device, manufacturing method of semiconductor device, and semiconductor device
JP4656147B2 (en) Method for forming porous insulating film and semiconductor device
TWI374482B (en)
TWI260740B (en) Semiconductor device with low-resistance inlaid copper/barrier interconnects and method for manufacturing the same
JP4548280B2 (en) Manufacturing method of semiconductor device
JP2011238704A (en) Semiconductor device and method of manufacturing semiconductor device
US20160260667A1 (en) Semiconductor Devices Including Conductive Features with Capping Layers and Methods of Forming the Same
JP2001223269A (en) Semiconductor device and manufacturing method therefor
JP4578332B2 (en) Semiconductor device and manufacturing method thereof
JP2007324536A (en) Interlayer insulation film, manufacturing method thereof, and semiconductor device
JP5823359B2 (en) Manufacturing method of semiconductor device
JP5930416B2 (en) Wiring structure, semiconductor device provided with wiring structure, and method of manufacturing the semiconductor device
JP2006024641A (en) Semiconductor device and its manufacturing method
US20090191706A1 (en) Method for fabricating a semiconductor device
JP2010517307A (en) Dielectric cap layer
US8334204B2 (en) Semiconductor device and manufacturing method therefor
JP2009094123A (en) Method of manufacturing semiconductor apparatus
JP5213897B2 (en) Manufacturing method of semiconductor device
JP2012009617A (en) Semiconductor device manufacturing method, copper alloy for wiring, and semiconductor device
JP2006261514A (en) Semiconductor chip and its manufacturing method
TW594920B (en) Damascene structure having dielectric barrier and process of making the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101008

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130326

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130509

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20130704

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130704

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130806

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130821

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees