JP2009026866A - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
JP2009026866A
JP2009026866A JP2007186973A JP2007186973A JP2009026866A JP 2009026866 A JP2009026866 A JP 2009026866A JP 2007186973 A JP2007186973 A JP 2007186973A JP 2007186973 A JP2007186973 A JP 2007186973A JP 2009026866 A JP2009026866 A JP 2009026866A
Authority
JP
Japan
Prior art keywords
film
insulating film
wiring
sioc
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007186973A
Other languages
Japanese (ja)
Other versions
JP5200436B2 (en
Inventor
Yukio Takigawa
幸雄 瀧川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2007186973A priority Critical patent/JP5200436B2/en
Publication of JP2009026866A publication Critical patent/JP2009026866A/en
Application granted granted Critical
Publication of JP5200436B2 publication Critical patent/JP5200436B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To uniformly form a non-porous protection insulating film on a side wall of a wiring trench or a via hole in a semiconductor device and its manufacturing method. <P>SOLUTION: The semiconductor device has a semiconductor substrate, a first insulating film 3 including a hole, which is formed on the semiconductor substrate, a recess 4 formed in the first insulating film 3, a second insulating film 5 formed on a side wall of the recess 4 and a conductor 7 embedded in the recess 4 through the second insulating film 5. In an interface between the first insulating film 3 and the second insulating film 5, a ratio that a material constituting the second insulating film 5 is chemically coupled with a principal chain is made higher than a ratio that bond on a surface of the first insulating film 3 is terminated by a functional group. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は半導体装置及びその製造方法に関するものであり、特に、シングル・ダマシンまたはデュアル・ダマシン型の埋込ビア及び/又は埋込配線層を形成する際に金属障壁層とLow−k誘電体の間に高密度の薄膜保護絶縁膜を形成するための構成に特徴のある半導体装置及びその製造方法に関するものである。   The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly, to form a metal barrier layer and a low-k dielectric when forming a single damascene or dual damascene type buried via and / or buried wiring layer. The present invention relates to a semiconductor device characterized by a structure for forming a high-density thin-film protective insulating film therebetween and a manufacturing method thereof.

従来、半導体装置の電極材料、配線材料としては、アルミニウムが広く実用されてきたが、近年の半導体装置の微細化や処理の高速化の要求に伴い、電極や配線の形成をアルミニウムで対応することは困難になってきている。
そのため、アルミニウムの次世代材料として、エレクトロマイグレーションに強く、比抵抗がアルミニウムより小さな銅を利用する試みが進められている。
Conventionally, aluminum has been widely used as an electrode material and wiring material for semiconductor devices. However, in response to recent demands for miniaturization of semiconductor devices and higher processing speeds, the formation of electrodes and wiring should be handled with aluminum. Is getting harder.
Therefore, an attempt is being made to use copper, which is resistant to electromigration and has a specific resistance smaller than that of aluminum, as a next-generation material for aluminum.

電極材料や配線材料として銅を用いる場合、銅が選択エッチングの困難な材料であることから、電極や配線はダマシン法により埋込電極或いは埋込配線として形成されることになるが、この場合は、形成される電極や配線のアスペクト比を高くすることによって、半導体装置の微細化、高速化を実現することが可能になる。   When copper is used as the electrode material or wiring material, copper is a material that is difficult to selectively etch, so the electrodes and wiring are formed as embedded electrodes or embedded wiring by the damascene method. By increasing the aspect ratio of the electrodes and wirings to be formed, it becomes possible to realize miniaturization and higher speed of the semiconductor device.

一方、半導体装置の高速化のためには、配線・電極の低抵抗化とともに、寄生容量を低減するためには層間絶縁膜の低誘電率化が必要となり、低誘電率の層間絶縁膜としてポリアエーテル等の低誘電率の有機絶縁材料(例えば、ダウケミカル社登録商標SiLK)やポーラスシリカの採用が試みられている(例えば、特許文献1参照)。   On the other hand, in order to increase the speed of semiconductor devices, it is necessary to reduce the dielectric constant of the interlayer insulating film in order to reduce the parasitic capacitance as well as the resistance of the wiring and electrodes. Attempts have been made to employ low dielectric constant organic insulating materials such as ether (for example, Dow Chemical Company registered trademark SiLK) and porous silica (for example, see Patent Document 1).

しかし、このようなLow−k膜、特に、ポーラスシリカ等の多孔質絶縁膜を層間絶縁膜として用いて埋込配線層を形成する場合には、多孔質絶縁膜の空孔に起因するマイグレーション等を防止するために、多孔質絶縁膜に設けたトレンチやビアホールの側壁に無孔質保護絶縁膜を設けているので(例えば、特許文献2参照)、この様子を図12乃至図13を参照して説明する。   However, when a buried wiring layer is formed by using such a low-k film, particularly a porous insulating film such as porous silica, as an interlayer insulating film, migration caused by pores in the porous insulating film, etc. In order to prevent this, a non-porous protective insulating film is provided on the side wall of the trench or via hole provided in the porous insulating film (see, for example, Patent Document 2). I will explain.

図12参照
なお、ここでは、デュアル・ダマシン工程のみを説明する。
まず、シリコン基板に素子を形成したのち、素子に接続するWプラグを形成し、次いで、プラズマCVD法を用いてSiOCを堆積させたのち、Wプラグを露出するように配線用トレンチを形成し、次いで、バリア膜を介してCuを埋め込み、CMP法によって不要部を除去することによって下層埋込配線61を形成する。
See FIG.
Here, only the dual damascene process will be described.
First, after forming an element on a silicon substrate, a W plug connected to the element is formed, and then SiOC is deposited using a plasma CVD method, and then a wiring trench is formed so as to expose the W plug, Next, Cu is buried through the barrier film, and unnecessary portions are removed by a CMP method, thereby forming the lower buried wiring 61.

次いで、プラズマCVD法を用いて厚さが、例えば、50nmのSiCN膜62、厚さが、例えば、150nmのポーラスシリカからなるビア形成用絶縁膜63、厚さが、例えば、50nmのSiCN膜64、厚さが、例えば、250nmのポーラスシリカからなるトレンチ用絶縁膜65、及び、厚さが、例えば、50nmのSiCN膜66を順次堆積させる。   Next, the plasma CVD method is used to form a SiCN film 62 having a thickness of, for example, 50 nm, a via forming insulating film 63 made of porous silica having a thickness of, for example, 150 nm, and a SiCN film 64 having a thickness of, for example, 50 nm. Then, a trench insulating film 65 made of porous silica having a thickness of, for example, 250 nm, and a SiCN film 66 having a thickness of, for example, 50 nm are sequentially deposited.

次いで、レジストパターン67をマスクとしてフロロカーボン系のエッチングガスを用いたプラズマエッチングによって、SiCN膜64に達する凹部68を形成する。   Next, a recess 68 reaching the SiCN film 64 is formed by plasma etching using a fluorocarbon-based etching gas with the resist pattern 67 as a mask.

次いで、レジストパターン67を除去したのち、新たなレジストパターン69を設け、再び、フロロカーボン系のエッチングガスを用いたプラズマエッチングによって、トレンチ用絶縁膜65に配線用トレンチ70を形成するとともに、ビア形成用絶縁膜63に下層埋込配線61に達するビアホール71を形成する。   Next, after removing the resist pattern 67, a new resist pattern 69 is provided, and a wiring trench 70 is formed in the trench insulating film 65 again by plasma etching using a fluorocarbon-based etching gas, and also for via formation. A via hole 71 reaching the lower buried wiring 61 is formed in the insulating film 63.

次いで、レジストパターン69を除去したのち、プラズマCVD法を用いて全面に数nmの膜厚のSiOCからなる無孔質絶縁膜72を堆積する。   Next, after removing the resist pattern 69, a nonporous insulating film 72 made of SiOC having a thickness of several nm is deposited on the entire surface by plasma CVD.

図13参照
次いで、異方性エッチングを施すことによって、無孔質絶縁膜72をビアホール71及び配線用トレンチ70の側壁部のみに残存させることによって、無孔質保護絶縁膜73を形成する。
See FIG.
Next, by performing anisotropic etching, the nonporous insulating film 72 is left only on the side walls of the via hole 71 and the wiring trench 70, thereby forming the nonporous protective insulating film 73.

次いで、配線用トレンチ70及びビアホール71をTaNからなるバリア膜74を介してCuメッキ膜75で埋め込み、CMP法によって不要部を除去することによってCuビアプラグ77及びCu上層埋込配線78からなる埋込導体76を形成する。   Next, the wiring trench 70 and the via hole 71 are filled with a Cu plating film 75 through a barrier film 74 made of TaN, and unnecessary portions are removed by CMP to bury the wiring made of a Cu via plug 77 and a Cu upper layer buried wiring 78. A conductor 76 is formed.

以降は、必要とする多層配線層数に応じて層間絶縁膜の堆積工程、配線用溝及びビアホールの形成工程、及び、ビア及び埋込配線の形成工程を繰り返すことによって半導体装置が完成する。
特開2004−071705号公報 特開2005−236285号公報
Thereafter, the semiconductor device is completed by repeating an interlayer insulating film deposition process, a wiring trench and via hole forming process, and a via and buried wiring forming process according to the required number of multilayer wiring layers.
JP 2004-071705 A JP 2005-236285 A

しかし、配線の微細化やパターンの複雑化にともない、多孔質絶縁膜を用いた埋込配線構造において、側壁部における無孔質保護絶縁膜を均一に成膜することが困難になり、無孔質保護絶縁膜に欠陥が生じるという問題がある。   However, with the miniaturization of wiring and the complexity of patterns, it has become difficult to uniformly form a nonporous protective insulating film on the side wall in a buried wiring structure using a porous insulating film. There is a problem that defects occur in the quality protective insulating film.

そのため、この欠陥を通してバリアメタルや配線材料に用いられているCu等のメタル成分が多孔質絶縁膜に拡散し、抵抗上昇、配線間ショートの原因となる。   For this reason, a metal component such as Cu used for the barrier metal or the wiring material is diffused into the porous insulating film through this defect, causing an increase in resistance and a short circuit between the wirings.

したがって、本発明は、配線用トレンチ或いはビアホールの側壁に無孔質保護絶縁膜を均一に成膜することを目的とする。   Accordingly, an object of the present invention is to uniformly form a nonporous protective insulating film on the sidewalls of wiring trenches or via holes.

図1は本発明の原理的構成図であり、ここで図1を参照して、本発明における課題を解決するための手段を説明する。
図1参照
上記課題を解決するために、本発明は、半導体装置において、半導体基板と、半導体基板上に形成された空孔を含有する第1絶縁膜3と、第1絶縁膜3に形成された凹部4と、凹部4の側壁に形成された第2絶縁膜5と、第2絶縁膜5を介して凹部4に埋め込まれた導体7とを有し、第1絶縁膜3と第2絶縁膜5との界面において、第1絶縁膜3の表面のボンドが官能基で終端している比率より第2絶縁膜5を構成する材料の主鎖と化学的に結合している比率が高いことを特徴とする。
FIG. 1 is a diagram illustrating the basic configuration of the present invention. Means for solving the problems in the present invention will be described with reference to FIG.
In order to solve the above-described problem, the present invention is formed in a semiconductor device, a semiconductor substrate, a first insulating film 3 containing vacancies formed on the semiconductor substrate, and the first insulating film 3. And a second insulating film 5 formed on the side wall of the concave portion 4 and a conductor 7 embedded in the concave portion 4 through the second insulating film 5, and the first insulating film 3 and the second insulating film. The ratio of chemically bonding to the main chain of the material constituting the second insulating film 5 is higher than the ratio of bonding of the surface of the first insulating film 3 to the functional group at the interface with the film 5. It is characterized by.

通常の状態における層間絶縁膜2の表面は、層間絶縁膜2のプリカーサ等の製造原料起因或いは雰囲気起因の官能基、特に、メチル基で終端されており、この官能基が原因となって第1絶縁膜3と第2絶縁膜5との密着性が悪く、カヴァレッジが低下して側壁部における第2絶縁膜5の均一性が低下するので、この官能基を除去して第1絶縁膜3の表面のボンドが第2絶縁膜5を構成する材料の主鎖と化学的に結合させることによって、カヴァレッジが向上して側壁部における第2絶縁膜5の均一性を高めることができ、それによって、メタル成分の拡散を防止することができる。   The surface of the interlayer insulating film 2 in a normal state is terminated with a functional group derived from a manufacturing raw material such as a precursor of the interlayer insulating film 2 or an atmosphere, particularly a methyl group, and this functional group causes the first. The adhesion between the insulating film 3 and the second insulating film 5 is poor, the coverage is reduced, and the uniformity of the second insulating film 5 at the side wall portion is reduced. By chemically bonding the bond on the surface with the main chain of the material constituting the second insulating film 5, the coverage can be improved and the uniformity of the second insulating film 5 in the side wall portion can be improved. The diffusion of the metal component can be prevented.

この場合の第2絶縁膜5としてはSiOCが好適であり、特に、初期誘電率が2.5〜4.5、密度を1.5〜2.5g/cm3 とすることが好適である。
なお、初期誘電率が2.5未満の絶縁膜を無孔質で構成することは困難であり、一方、初期誘電率が4.5を超えるとLow−k膜を採用する意味が薄れる。
また、密度が1.5未満の絶縁膜を無孔質で構成することは困難であり、一方、密度が2.5を超えるとLow−k膜とは言えなくなる。
In this case, the second insulating film 5 is preferably SiOC, and particularly preferably has an initial dielectric constant of 2.5 to 4.5 and a density of 1.5 to 2.5 g / cm 3 .
In addition, it is difficult to form an insulating film having an initial dielectric constant of less than 2.5 with a non-porous structure. On the other hand, when the initial dielectric constant exceeds 4.5, the meaning of adopting a low-k film is reduced.
In addition, it is difficult to form an insulating film having a density of less than 1.5 with a non-porous structure. On the other hand, if the density exceeds 2.5, it cannot be said to be a low-k film.

また、この第2絶縁膜5の膜厚としては、0.5〜5nmが好適であり、0.5nm未満の場合にはボイドが発生し易くなり、5nmを超えると微細ビアホール或いは微細埋込配線の形成が困難になる。   The film thickness of the second insulating film 5 is preferably 0.5 to 5 nm. If the thickness is less than 0.5 nm, voids are likely to occur. If the thickness exceeds 5 nm, a fine via hole or a fine buried wiring is formed. It becomes difficult to form.

また、第1絶縁膜3における空孔の含有堆積比率としては、30〜50%が好適であり、30%未満であると誘電率が2.3以下の超Low−k膜の実現が困難になり、50%を超えると第1絶縁膜3の機械的強度が低下し配線構造を支えることが困難になる。   Also, the vacancy-containing deposition ratio in the first insulating film 3 is preferably 30 to 50%, and if it is less than 30%, it is difficult to realize an ultra-low-k film having a dielectric constant of 2.3 or less. If it exceeds 50%, the mechanical strength of the first insulating film 3 is lowered, and it becomes difficult to support the wiring structure.

また、導体7のバリアメタル層を除いた部分を構成する材料としては、Cu、Al、W、或いは各材料の合金のいずれかが好適であり、特に、より上層配線を構成する埋込導体8としてはCu或いはCu合金が好適である。   Further, as a material constituting the portion of the conductor 7 excluding the barrier metal layer, Cu, Al, W, or an alloy of each material is suitable, and in particular, the embedded conductor 8 constituting the upper layer wiring. As Cu, Cu or Cu alloy is preferable.

また、本発明は、半導体装置の製造方法において、半導体基板上に空孔を含有する多孔質絶縁膜を少なくとも一部に有する層間絶縁膜2を堆積する工程と、層間絶縁膜2に凹部4を形成する工程と、多孔質絶縁膜を構成する材料とは異種の第2絶縁膜5を150〜500nmの波長の光6を照射しながら全面に堆積させる工程と、凹部4内に導電体材料を埋め込む工程とを有することを特徴とする。   Further, according to the present invention, in the method of manufacturing a semiconductor device, a step of depositing an interlayer insulating film 2 having at least a part of a porous insulating film containing pores on a semiconductor substrate, and a recess 4 in the interlayer insulating film 2 are provided. A step of forming, a step of depositing a second insulating film 5 different from the material constituting the porous insulating film on the entire surface while irradiating light 6 having a wavelength of 150 to 500 nm, and a conductor material in the recess 4 And an embedding step.

このように、第2絶縁膜5を成膜する際に、官能基、特に、メチル基の結合エネルギーを上回るエネルギーを有する150〜500nmの波長の光6を照射しながら全面に堆積させることによって、第1絶縁膜3の表面のボンドを終端している官能基を遊離することができ、それによって、表面がOH基で終端して濡れ性が向上するため、第2絶縁膜5のカヴァレッジが向上して第1絶縁膜3の表面のボンドが官能基で終端している比率より第2絶縁膜5を構成する材料の主鎖と化学的に結合している比率が高くなる。   As described above, when the second insulating film 5 is formed, by depositing light 6 having a wavelength of 150 to 500 nm having energy exceeding the binding energy of a functional group, particularly a methyl group, it is deposited on the entire surface. The functional group that terminates the bond on the surface of the first insulating film 3 can be liberated, thereby improving the wettability by terminating the surface with an OH group, thereby improving the coverage of the second insulating film 5. As a result, the ratio of chemically bonding to the main chain of the material constituting the second insulating film 5 becomes higher than the ratio of bonding of the surface of the first insulating film 3 to the functional group.

また、第2絶縁膜5を形成する工程において、照射する光6を複数の波長の光としても良く、単一の波長を高エネルギー密度で照射する場合に比べて絶縁膜のダメージを低減することができる。   Further, in the step of forming the second insulating film 5, the light 6 to be irradiated may be light having a plurality of wavelengths, and damage to the insulating film is reduced as compared with the case of irradiating a single wavelength with a high energy density. Can do.

また、層間絶縁膜2を、半導体基板上に形成されたエッチングストッパー膜8と、エッチングストッパー膜8上に形成された第1絶縁膜3と、第1絶縁膜3上に形成されたエッチングマスク膜とで構成しても良く、その場合には、導体7はビアプラグ或いは配線層の一方を構成することになる。   Further, the interlayer insulating film 2 includes an etching stopper film 8 formed on the semiconductor substrate, a first insulating film 3 formed on the etching stopper film 8, and an etching mask film formed on the first insulating film 3. In this case, the conductor 7 forms one of a via plug or a wiring layer.

この場合、層間絶縁膜2に凹部4を形成する工程において、エッチングマスク膜上にメタルハードマスクを設けても良く、メタルハード膜を光6は透過しないので、第2絶縁膜5を形成する工程において照射する光6が第1絶縁膜3の内部に進入してメチル基を遊離して親水性のOH基と結合することがなく、水分進入による誘電率の上昇を抑制することができる。   In this case, in the step of forming the recess 4 in the interlayer insulating film 2, a metal hard mask may be provided on the etching mask film, and the light 6 does not pass through the metal hard film. Therefore, the step of forming the second insulating film 5. In this case, the light 6 to be irradiated does not enter the inside of the first insulating film 3 to release the methyl group and bind to the hydrophilic OH group, and the increase in the dielectric constant due to the moisture ingress can be suppressed.

或いは、層間絶縁膜2を、半導体基板上に形成された第1エッチングストッパー膜と、第1エッチングストッパー膜上に形成された第1絶縁膜3と、第1絶縁膜3上に形成された第2エッチングストッパー膜と、第2エッチングストッパー膜上に形成された空孔を有する第3絶縁膜と、第3絶縁膜上に形成されたエッチングマスク膜とで構成しても良く、その場合には、導体7がビアプラグ及び配線層を構成することになる。   Alternatively, the interlayer insulating film 2 includes a first etching stopper film formed on the semiconductor substrate, a first insulating film 3 formed on the first etching stopper film, and a first etching film formed on the first insulating film 3. 2 etching stopper film, a third insulating film having a hole formed on the second etching stopper film, and an etching mask film formed on the third insulating film, and in that case The conductor 7 constitutes a via plug and a wiring layer.

この場合、層間絶縁膜2に凹部4を形成する工程において、エッチングマスク膜上に無機材料ハードマスクを設け、エッチングマスク膜をパターニングして形成したハードマスクをマスクとして第2エッチングストッパー膜に達する微細凹部を形成したのち、第2エッチングストッパー膜の露出部を除去して第2のハードマスクを形成し、次いで、無機材料ハードマスクをマスクとして第2エッチングストッパー膜に達する埋込配線用トレンチを形成すると同時に、第2のハードマスクをマスクとして第1エッチングストッパー膜に達するともに微細凹部に対応するビアホールを形成すれば良い。   In this case, in the step of forming the recess 4 in the interlayer insulating film 2, an inorganic material hard mask is provided on the etching mask film, and the fine mask reaching the second etching stopper film is formed using the hard mask formed by patterning the etching mask film as a mask. After forming the recess, the exposed portion of the second etching stopper film is removed to form a second hard mask, and then an embedded wiring trench reaching the second etching stopper film is formed using the inorganic material hard mask as a mask. At the same time, a via hole corresponding to the fine recess may be formed while reaching the first etching stopper film using the second hard mask as a mask.

この場合も無機材料ハードマスクをメタルハードマスクとすることによって、第2絶縁膜5を形成する工程において照射する光6が第1絶縁膜3の内部に進入してメチル基を遊離して親水性のOH基と結合することがないので、水分進入による誘電率の上昇を抑制することができる。   Also in this case, by using an inorganic material hard mask as a metal hard mask, the light 6 irradiated in the step of forming the second insulating film 5 enters the inside of the first insulating film 3 and releases the methyl group to make it hydrophilic. Since it does not bind to the OH group, increase in dielectric constant due to moisture ingress can be suppressed.

本発明では、多孔質絶縁膜を含む層間絶縁膜に形成した配線用トレンチ及び/又はビアホールの側壁に無孔質保護絶縁膜を形成する際に、150〜500nmの波長の光、典型的には紫外線を照射して成膜しているので、多孔質絶縁膜の表面を終端しているメチル基を遊離して親水性を高め、それによって、無孔質保護絶縁膜の膜厚の均一性及び密着性を高めることができるので、埋込導体を構成するメタルのマイグレーションを抑制することができる。   In the present invention, when the nonporous protective insulating film is formed on the sidewall of the wiring trench and / or via hole formed in the interlayer insulating film including the porous insulating film, light having a wavelength of 150 to 500 nm, typically Since the film is formed by irradiating with ultraviolet rays, the methyl group that terminates the surface of the porous insulating film is liberated to increase the hydrophilicity, and thereby the uniformity of the film thickness of the nonporous protective insulating film and Since adhesion can be improved, migration of the metal constituting the embedded conductor can be suppressed.

本発明は、素子が形成された半導体基板上に下地絶縁膜を介して下層導体を形成したのち、下層導体上に下層絶縁膜及び空孔を含有する多孔質絶縁膜、典型的には空孔の含有堆積比率が30〜50%の多孔質絶縁膜を少なくとも一部に有する層間絶縁膜を堆積し、次いで、層間絶縁膜にビアホール或いは埋込配線用トレンチの少なくとも一方を構成する下層絶縁膜に達する凹部を形成したのち、多孔質絶縁膜を構成する材料とは異種の無孔質絶縁膜、典型的には、初期誘電率が2.5〜4.5で密度が1.5〜2.5g/cm3 のSiOCを150〜500nmの波長の光、例えば、185nmの紫外線を照射しながら0.5〜5nmの膜厚に全面に堆積させ、次いで、異方性エッチングによって無孔質絶縁膜を凹部の側壁部のみに残存させて無孔質保護絶縁膜を形成するとともに、下層絶縁膜の露出部を除去して凹部を下層導体に達する凹部したのち、凹部内にTaN等のバリアメタル膜を介してCu、Al、W、或いは各材料の合金のいずれかからなる導電体材料を埋め込んでビアプラグ或いは配線層の少なくとも一方を構成する埋込導体を形成するものである。 In the present invention, after forming a lower layer conductor via a base insulating film on a semiconductor substrate on which an element is formed, a porous insulating film containing a lower layer insulating film and voids on the lower layer conductor, typically a void An interlayer insulating film having at least a portion of a porous insulating film containing 30 to 50% is deposited, and then an interlayer insulating film that forms at least one of a via hole or a buried wiring trench is formed on the interlayer insulating film After the formation of the concave portion reaching, the non-porous insulating film is different from the material constituting the porous insulating film. Typically, the initial dielectric constant is 2.5 to 4.5 and the density is 1.5 to 2. 5 g / cm 3 of SiOC is deposited on the entire surface to a thickness of 0.5 to 5 nm while irradiating light with a wavelength of 150 to 500 nm, for example, 185 nm of ultraviolet rays, and then non-porous insulating film by anisotropic etching On the side wall of the recess. After forming the non-porous protective insulating film and removing the exposed portion of the lower insulating film to form the concave portion reaching the lower conductor, Cu, Al, W, or the like through the barrier metal film such as TaN in the concave portion An embedded conductor constituting at least one of a via plug or a wiring layer is formed by embedding a conductor material made of any of the alloys of the respective materials.

シングル・ダマシン工程の場合には、ビアプラグの形成工程と埋込配線層の形成工程とにおいて、それぞれの層間絶縁膜として、エッチングストッパーとなる下層絶縁膜、下層絶縁膜上に形成された多孔質絶縁膜、及び、多孔質絶縁膜上に形成するハードマスクとなる上層絶縁膜を順に堆積する。   In the case of a single damascene process, in each of the via plug formation process and the buried wiring layer formation process, as an interlayer insulation film, a lower insulation film serving as an etching stopper and a porous insulation formed on the lower insulation film A film and an upper insulating film serving as a hard mask formed on the porous insulating film are sequentially deposited.

一方、デュアル・ダマシン工程の場合には、層間絶縁膜を、エッチングストッパーとなる下層絶縁膜、下層絶縁膜上に形成された第1の多孔質絶縁膜、第1の多孔質絶縁膜上に形成されたエッチングストッパーとなる中間絶縁膜、中間絶縁膜上に形成された第2の多孔質絶縁膜、及び、第2の多孔質絶縁膜上に形成するハードマスクとなる上層絶縁膜から構成して、ビアプラグ及び配線層を同時に形成する。   On the other hand, in the case of the dual damascene process, an interlayer insulating film is formed on the lower insulating film serving as an etching stopper, the first porous insulating film formed on the lower insulating film, and the first porous insulating film. An intermediate insulating film serving as an etching stopper, a second porous insulating film formed on the intermediate insulating film, and an upper insulating film serving as a hard mask formed on the second porous insulating film The via plug and the wiring layer are formed simultaneously.

また、いずれの工程においても、最上層にメタルハードマスクを設けても良く、メタルハードマスクを用いることによって、紫外線の入射を完全に遮断して、多孔質絶縁膜の破壊を完全に抑えながら、側壁のみを処理しても良い。   In any process, a metal hard mask may be provided on the uppermost layer, and by using the metal hard mask, the incidence of ultraviolet rays is completely blocked, and the destruction of the porous insulating film is completely suppressed. Only the side walls may be treated.

次に、図2乃至図6を参照して、本発明の実施例1のシングル・ダマシン工程を説明するが、まず、図2を参照して本発明の実施に用いる無孔質絶縁膜の成膜装置を説明する。
図2参照
図2は、無孔質絶縁膜の成膜装置の概念的構成図であり、成膜装置10は、排気口12を備えたチャンバー11、チャンバー11内に収容されたウェーハ14を載置するチラー式温調装置を備えたステージ13、無孔質絶縁膜の成膜に必要なブリカーサ17を放出する例えば、石英製のノズル16を中心部に備えたヘッド15、ヘッド15の凹部に収容された光源ランプ18、光源ランプ18を覆う石英カバー19から構成される。
Next, the single damascene process according to the first embodiment of the present invention will be described with reference to FIGS. 2 to 6. First, with reference to FIG. 2, the formation of a nonporous insulating film used in the practice of the present invention will be described. The membrane device will be described.
See Figure 2
FIG. 2 is a conceptual configuration diagram of a nonporous insulating film forming apparatus. The film forming apparatus 10 includes a chamber 11 having an exhaust port 12 and a chiller on which a wafer 14 accommodated in the chamber 11 is placed. A stage 13 equipped with a temperature control device, a blister 17 for discharging a non-porous insulating film, for example, a head 15 having a quartz nozzle 16 in the center, and a recess in the head 15 The light source lamp 18 and the quartz cover 19 that covers the light source lamp 18 are configured.

この場合の光源ランプ18は、例えば、低圧水銀ランプやキセノンエキシマランプ等からなり、150〜500nmの波長の光、例えば、185nm或いは254nmの紫外線を照射するものであり、紫外線が石英カバー19で吸収されることなくウェーハ14に照射される。   The light source lamp 18 in this case is composed of, for example, a low-pressure mercury lamp or a xenon excimer lamp, and irradiates light having a wavelength of 150 to 500 nm, for example, ultraviolet light having a wavelength of 185 nm or 254 nm, and the ultraviolet light is absorbed by the quartz cover 19. The wafer 14 is irradiated without being irradiated.

次に、図3乃至図6を参照して、本発明の実施例1のシングル・ダマシン工程を説明するが、ここでは説明を簡単にするために埋込導体の形成工程のみを説明する。
図3参照
まず、シリコン基板に素子を形成したのち、素子に接続するWプラグを形成し、次いで、プラズマCVD法を用いてSiOCを堆積させたのち、Wプラグを露出するように配線用トレンチを形成し、次いで、バリア膜を介してCuを埋め込み、CMP法によって不要部を除去することによって下層埋込配線21を形成する。
Next, the single damascene process according to the first embodiment of the present invention will be described with reference to FIGS. 3 to 6. Here, only the buried conductor forming process will be described in order to simplify the description.
See Figure 3
First, after forming an element on a silicon substrate, a W plug connected to the element is formed, and then SiOC is deposited using a plasma CVD method, and then a wiring trench is formed so as to expose the W plug, Next, Cu is embedded through the barrier film, and unnecessary portions are removed by CMP to form the lower buried wiring 21.

次いで、第1エッチングストッパー層となる厚さが、例えば、25nmで比誘電率が3.5程度のSiC膜22を成膜したのち、スピンオン塗布法を用いて比誘電率が2.2程度で、厚さが、例えば、150nmのp−MSQ(Porous Methyl Silsesquioxane:メチルシルセスキオキサン)膜23を形成する。
なお、この場合のp−MSQ膜23における空孔の含有堆積比率は20〜50%、例えば、30%であり、空孔の含有堆積比率は予め混合されるナノクラスタ成分の含有量やアルキルを主成分とするテンプレートの含有量によって制御するものであり、それによって、比誘電率が規定される。
但し、テンプレート含有タイプのp−MSQは成膜後にテンプレートを抜く工程が別に必要になる。
Next, after the SiC film 22 having a thickness of, for example, 25 nm and a relative dielectric constant of about 3.5 is formed as a first etching stopper layer, the relative dielectric constant is about 2.2 using a spin-on coating method. Then, a p-MSQ (Porous Methyl Silsesquioxane) film 23 having a thickness of, for example, 150 nm is formed.
In this case, the vacancy-containing deposition ratio in the p-MSQ film 23 is 20 to 50%, for example, 30%. It is controlled by the content of the template as the main component, and thereby the relative dielectric constant is defined.
However, the template-containing type p-MSQ requires a separate step of removing the template after film formation.

次いで、p−MSQ膜23上に、CVD法によって、比誘電率が2〜3で、厚さが、例えば、100nmの第1キャップ層となるSiOC膜24を堆積する。
このように堆積したSiC膜22/p−MSQ膜23/SiOC膜24からなる第1層間絶縁膜全体の実効的な比誘電率は2.5〜3.0程度となっている。
Next, on the p-MSQ film 23, a SiOC film 24 having a relative dielectric constant of 2 to 3 and a thickness of, for example, 100 nm is deposited by CVD.
The effective relative dielectric constant of the entire first interlayer insulating film composed of the SiC film 22 / p-MSQ film 23 / SiOC film 24 thus deposited is about 2.5 to 3.0.

次いで、ビアホールの開口パターン26を有するレジストマスク25をエッチングマスクにして、SiOC膜24及びp−MSQ膜23をRIE(反応性イオンエッチング)によって順次ドライエッチングし口径が例えば、80nmのビアホール27を断面側壁で90〜120°、例えば、95°になるような加工形成する。
なお、ここで、第1エッチングストッパー層となるSiC膜22はエッチングしないままにする。
Next, using the resist mask 25 having the opening pattern 26 of the via hole as an etching mask, the SiOC film 24 and the p-MSQ film 23 are sequentially dry etched by RIE (reactive ion etching), and the via hole 27 having a diameter of, for example, 80 nm is cross-sectionalized. The side wall is processed to be 90 to 120 °, for example, 95 °.
Here, the SiC film 22 serving as the first etching stopper layer is left unetched.

次いで、レジストマスク25をH2 ガス、Heガス等のプラズマで除去した後、上記図2に示した成膜装置を用いてプラズマCVD法(PE−CVD法)により側壁部における膜厚が0.5〜5nm、例えば、3nmになるように、SiOCからなる第1無孔質絶縁膜28を全面に堆積させる。
この場合、SiC膜22上にはそれ以下の膜厚が、SiOC膜24上にはそれ以上の膜厚の第1無孔質絶縁膜28が堆積する。
Next, after removing the resist mask 25 with plasma such as H 2 gas or He gas, the film thickness at the side wall is set to 0. 0 by plasma CVD method (PE-CVD method) using the film forming apparatus shown in FIG. A first nonporous insulating film 28 made of SiOC is deposited on the entire surface so as to be 5 to 5 nm, for example, 3 nm.
In this case, a first non-porous insulating film 28 having a thickness less than that on the SiC film 22 and a thickness greater than that is deposited on the SiOC film 24.

この場合、プリカーサとしては例えば、SiOCの前駆物質、テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサンを用い、例えば、500Paの圧力下において、400℃の基板温度で成膜するものであるが、この成膜工程中に、例えば、波長185nm(=6.7eV)の紫外線29を照射するが、波長185nm(=6.7eV)の紫外線29は、官能基、特に、メチル基の結合エネルギーを上回るエネルギーを有するので、SiC膜22/p−MSQ膜23/SiOC膜24の表面のボンドを終端している官能基を遊離させる。
なお、この場合の紫外線29の照射エネルギー密度は、例えば、500mJ/cm2 とする。
In this case, for example, a precursor of SiOC, tetramethylcyclotetrasiloxane, and octamethylcyclotetrasiloxane is used as the precursor, and the film is formed at a substrate temperature of 400 ° C. under a pressure of 500 Pa. During the film forming process, for example, the ultraviolet ray 29 having a wavelength of 185 nm (= 6.7 eV) is irradiated, and the ultraviolet ray 29 having a wavelength of 185 nm (= 6.7 eV) has an energy exceeding the binding energy of a functional group, particularly a methyl group. Therefore, the functional group that terminates the bond on the surface of the SiC film 22 / p-MSQ film 23 / SiOC film 24 is released.
In this case, the irradiation energy density of the ultraviolet rays 29 is, for example, 500 mJ / cm 2 .

官能基が遊離した表面はOH基で終端して濡れ性が向上するため、第1無孔質絶縁膜28のカヴァレッジが向上してSiC膜22/p−MSQ膜23/SiOC膜24の表面のボンドが第1無孔質絶縁膜28を構成するSiOCの主鎖と化学的に結合することになる。   Since the surface from which the functional group is liberated terminates with an OH group and wettability is improved, the coverage of the first nonporous insulating film 28 is improved and the surface of the SiC film 22 / p-MSQ film 23 / SiOC film 24 is improved. The bond is chemically bonded to the main chain of SiOC constituting the first nonporous insulating film 28.

次いで、フッ素化合物ガスと窒素ガスからなる混合ガスを用いた高異方性のRIEによる異方性エッチングを施すことによって、SiC膜22及びSiOC膜24の主表面上に堆積した第1無孔質絶縁膜28を除去して、ビアホール27の側壁部にのみ第1無孔質保護絶縁膜30を形成するとともに、SiC膜22の露出部も除去してビアホール27を下層埋込配線21に達するように貫通させる。
なお、この時、第1無孔質保護絶縁膜30の膜厚は2nm程度となる。
Next, the first nonporous material deposited on the main surfaces of the SiC film 22 and the SiOC film 24 by performing anisotropic etching by highly anisotropic RIE using a mixed gas composed of fluorine compound gas and nitrogen gas. The insulating film 28 is removed to form the first nonporous protective insulating film 30 only on the side wall portion of the via hole 27, and the exposed portion of the SiC film 22 is also removed so that the via hole 27 reaches the lower buried wiring 21. To penetrate.
At this time, the film thickness of the first nonporous protective insulating film 30 is about 2 nm.

図4参照
次いで、バリア材料として厚さが、例えば、15nmのTaN膜31と、厚さが、例えば、50nmのCuシード(図示は省略)をスパッタ(PVD)法で堆積し、更に、配線材料として、メッキ法を用いて膜厚が300nm〜500nmのCu膜32を成膜する。
なお、図においては、CuシードとCu膜32を合わせてCu膜32として図示している。
See Figure 4
Next, a TaN film 31 with a thickness of, for example, 15 nm as a barrier material and a Cu seed (not shown) with a thickness of, for example, 50 nm are deposited by sputtering (PVD), and further plated as a wiring material. A Cu film 32 having a film thickness of 300 nm to 500 nm is formed using a method.
In the figure, the Cu seed and the Cu film 32 are collectively shown as a Cu film 32.

次いで、CMP(化学機械研磨)法を用いて、SiOC膜24上の不要な部分のCu膜32及びTaN膜31を研磨除去して、ビアホール27内に第1バリア層33を介してビアプラグ34を形成する。
この時、SiOC膜24が研磨ストッパーとなる。
Next, by using a CMP (Chemical Mechanical Polishing) method, unnecessary portions of the Cu film 32 and the TaN film 31 on the SiOC film 24 are polished and removed, and the via plug 34 is inserted into the via hole 27 via the first barrier layer 33. Form.
At this time, the SiOC film 24 becomes a polishing stopper.

次いで、再び、全面に第2エッチングストッパー膜となる厚さが、例えば、25nmのSiC膜35、比誘電率が2.0程度で厚さが、例えば、200nmのp−MSQ膜36、及び、第2キャップ層となる厚さが、例えば、100nmのSiOC膜37を順次堆積する。
このように堆積したSiC膜35/p−MSQ膜36/SiOC膜37からなる第2層間絶縁膜全体の実効的な比誘電率は2〜2.5程度となっている。
Next, again, the thickness of the second etching stopper film on the entire surface is, for example, a SiC film 35 with a thickness of 25 nm, a p-MSQ film 36 with a relative dielectric constant of about 2.0 and a thickness of, for example, 200 nm, and A SiOC film 37 having a thickness of 100 nm, for example, is sequentially deposited as the second cap layer.
The effective relative dielectric constant of the entire second interlayer insulating film composed of the SiC film 35 / p-MSQ film 36 / SiOC film 37 thus deposited is about 2 to 2.5.

図5参照
次いで、トレンチ用の開口パターン39を有するレジストマスク38をエッチングマスクにして、SiOC膜37及びp−MSQ膜36をRIEで順次ドライエッチングして幅寸法が例えば、100nmのトレンチ40を側壁テーパ角度が90〜120°、例えば、95°になるよう形成する。
なお、ここでも、第2エッチングストッパー層となるSiC35はエッチングしないままとする。
See Figure 5
Next, using the resist mask 38 having the trench opening pattern 39 as an etching mask, the SiOC film 37 and the p-MSQ film 36 are sequentially dry-etched by RIE, and the trench 40 having a width dimension of, for example, 100 nm has a sidewall taper angle. It forms so that it may become 90-120 degrees, for example, 95 degrees.
Here again, the SiC 35 serving as the second etching stopper layer is left unetched.

次いで、レジストマスク38をH2 ガス、Heガス等のプラズマで除去した後、残渣物を除去する洗浄処理を施し、次いで、上記の第1無孔質絶縁膜28の成膜工程と同じ条件で、全面にSiOCからなる第2無孔質絶縁膜41を全面に堆積させる。
但し、この場合には、トレンチ40の側壁部における膜厚が例えば、5nmとなるように成膜時間をコントロールする。
Next, after removing the resist mask 38 with plasma such as H 2 gas or He gas, a cleaning process is performed to remove residues, and then under the same conditions as the film forming step of the first nonporous insulating film 28 described above. A second nonporous insulating film 41 made of SiOC is deposited on the entire surface.
However, in this case, the film formation time is controlled so that the film thickness on the side wall portion of the trench 40 becomes, for example, 5 nm.

図6参照
次いで、再び、フッ素化合物ガスと窒素ガスからなる混合ガスを用いた高異方性のRIEによる異方性エッチングを施すことによって、SiC膜35及びSiOC膜37の主表面上に堆積した第2無孔質絶縁膜41を除去して、トレンチ40の側壁部にのみ第2無孔質保護絶縁膜42を形成するとともに、SiC膜35の露出部も除去してトレンチ40をビアプラグ34に達するように貫通させる。
なお、この時、第2無孔質保護絶縁膜42の膜厚は4nm程度となる。
See FIG.
Next, the second film deposited on the main surfaces of the SiC film 35 and the SiOC film 37 is again subjected to anisotropic etching by highly anisotropic RIE using a mixed gas composed of a fluorine compound gas and nitrogen gas. The porous insulating film 41 is removed to form the second nonporous protective insulating film 42 only on the side wall portion of the trench 40, and the exposed portion of the SiC film 35 is also removed so that the trench 40 reaches the via plug 34. To penetrate.
At this time, the thickness of the second nonporous protective insulating film 42 is about 4 nm.

次いで、バリア材料として厚さが、例えば、15nmのTaN膜43と、厚さが、例えば、50nmのCuシード(図示は省略)をスパッタ(PVD)法で堆積し、更に、配線材料として、メッキ法を用いて膜厚が300nm〜500nmのCu膜44を成膜する。
なお、図においては、CuシードとCu膜44を合わせてCu膜44として図示している。
Next, a TaN film 43 having a thickness of, for example, 15 nm as a barrier material and a Cu seed (not shown) having a thickness of, for example, 50 nm are deposited by sputtering (PVD), and further plated as a wiring material. A Cu film 44 having a film thickness of 300 nm to 500 nm is formed using a method.
In the figure, the Cu seed and the Cu film 44 are shown together as a Cu film 44.

次いで、CMP法を用いて、SiOC膜37上の不要な部分のCu膜44及びTaN膜43を研磨除去して、トレンチ40内に第2バリア層45を介して上層埋込配線46を形成する。
この時、SiOC膜37が研磨ストッパーとなる。
Next, unnecessary portions of the Cu film 44 and the TaN film 43 on the SiOC film 37 are polished and removed by using a CMP method, and an upper buried wiring 46 is formed in the trench 40 via the second barrier layer 45. .
At this time, the SiOC film 37 serves as a polishing stopper.

このようにして、ダマシン配線構造体の2層配線が完成するが、以降は必要とする多層配線層数に応じてこのダマシン配線構造体の2層配線を繰り返し形成することによって半導体装置が完成する。   In this way, the two-layer wiring of the damascene wiring structure is completed. Thereafter, the semiconductor device is completed by repeatedly forming the two-layer wiring of the damascene wiring structure according to the required number of multilayer wiring layers. .

この本発明の実施例1においては、トレンチ或いはビアホールの側壁に無孔質保護絶縁膜を形成する際に、紫外線を照射して成膜しているので、多孔質絶縁膜であるp−MSQ膜を含む多孔質絶縁膜の表面を終端しているメチル基を遊離して無孔質絶縁膜の膜厚の均一性及び密着性を高めることができ、それによって、埋込導体を構成するメタルのマイグレーションを抑制することができる。   In the first embodiment of the present invention, when the nonporous protective insulating film is formed on the sidewall of the trench or via hole, the film is formed by irradiating with ultraviolet rays. Therefore, the p-MSQ film which is a porous insulating film The methyl group terminating the surface of the porous insulating film containing the metal can be liberated to improve the uniformity and adhesion of the film thickness of the nonporous insulating film. Migration can be suppressed.

また、エッチングストッパーとなるSiC膜22或いはSiC膜35の露出部の除去工程において、ビアホール27或いはトレンチ40の側壁は第1無孔質保護絶縁膜30或いは第1無孔質保護絶縁膜42により保護されているので、ビアホール27或いはトレンチ40の側壁の形状変形、絶縁膜ボイド、Cuのボイドは皆無になり、微細なダマシン配線構造体が半導体装置に形成できるようになる。   Further, in the step of removing the exposed portion of the SiC film 22 or the SiC film 35 serving as an etching stopper, the sidewall of the via hole 27 or the trench 40 is protected by the first nonporous protective insulating film 30 or the first nonporous protective insulating film 42. Therefore, there is no shape deformation of the sidewall of the via hole 27 or the trench 40, no insulating film void, and no Cu void, and a fine damascene wiring structure can be formed in the semiconductor device.

また、ダマシン構造のビアホール27及びトレンチ40の側壁を保護することで、多孔質の低誘電率膜であるp−MSQ膜を含む層間絶縁膜の機械的強度の低減に起因するクラックの発生およびダマシン配線間のショート不良は皆無になる。   Further, by protecting the via holes 27 and the trenches 40 of the damascene structure, the occurrence of cracks due to the reduction of the mechanical strength of the interlayer insulating film including the p-MSQ film which is a porous low dielectric constant film and the damascene There will be no short circuit between wires.

さらに、第1無孔質保護絶縁膜30及び第1無孔質保護絶縁膜42は、層間絶縁膜内への水分あるいは配線材料膜のCuあるいはそのバリアメタルであるたとえばTaあるいはTaN等の侵入を抑制するために、ダマシン配線構造体の層間絶縁膜は高い信頼性を有し、層間絶縁膜の実効的な誘電率の上昇はなくなり、しかも配線層間のリーク電流の増加およびビア部での接続不良等の問題は皆無になる。   Further, the first non-porous protective insulating film 30 and the first non-porous protective insulating film 42 prevent intrusion of moisture or Cu of the wiring material film or its barrier metal such as Ta or TaN into the interlayer insulating film. In order to suppress this, the interlayer insulating film of the damascene wiring structure has high reliability, the effective dielectric constant of the interlayer insulating film does not increase, the leakage current between the wiring layers increases, and the connection failure at the via part There will be no such problems.

次に、図7及び図8を参照して本発明の実施例2のシングル・ダマシン工程を説明するが、この実施例2は、上記の実施例1における各工程でキャップ層上にTaからなるメタルハードマスクを形成し、CMP工程で除去するものであり、その他の工程は上記の実施例1と同様であるので、工程の要部のみを説明する。   Next, a single damascene process according to the second embodiment of the present invention will be described with reference to FIGS. 7 and 8. This second embodiment is made of Ta on the cap layer in each process of the first embodiment. A metal hard mask is formed and removed in the CMP process, and the other processes are the same as those in the first embodiment, so only the main part of the process will be described.

図7参照
まず、シリコン基板に素子を形成したのち、素子に接続するWプラグを形成し、次いで、プラズマCVD法を用いてSiOCを堆積させたのち、Wプラグを露出するように配線用トレンチを形成し、次いで、バリア膜を介してCuを埋め込み、CMP法によって不要部を除去することによって下層埋込配線21を形成する。
See FIG.
First, after forming an element on a silicon substrate, a W plug connected to the element is formed, and then SiOC is deposited using a plasma CVD method, and then a wiring trench is formed so as to expose the W plug, Next, Cu is embedded through the barrier film, and unnecessary portions are removed by CMP to form the lower buried wiring 21.

次いで、SiC膜22/p−MSQ膜23/SiOC膜24からなる第1層間絶縁膜を形成したのち、その上にメタルハードマスクとなる厚さが、例えば、5nmのTa膜47を堆積させ、ビアホールの開口パターン26を有するレジストマスク25をエッチングマスクにして、Ta膜47乃至p−MSQ膜23をRIEによって順次ドライエッチングしてビアホール27を形成する。   Next, after forming a first interlayer insulating film composed of SiC film 22 / p-MSQ film 23 / SiOC film 24, a Ta film 47 having a thickness of, for example, 5 nm serving as a metal hard mask is deposited thereon, Using the resist mask 25 having the opening pattern 26 of the via hole as an etching mask, the Ta film 47 to the p-MSQ film 23 are sequentially dry etched by RIE to form the via hole 27.

次いで、レジストマスク25を除去した後、紫外線29を照射しながらSiOCからなる第1無孔質絶縁膜28を全面に堆積させ、次いで、高異方性のRIEによる異方性エッチングを施すことによって、ビアホール27の側壁部にのみ第1無孔質保護絶縁膜30を形成するとともに、SiC膜22の露出部も除去してビアホール27を下層埋込配線21に達するように貫通させる。   Next, after removing the resist mask 25, a first non-porous insulating film 28 made of SiOC is deposited on the entire surface while irradiating ultraviolet rays 29, and then anisotropic etching is performed by highly anisotropic RIE. The first nonporous protective insulating film 30 is formed only on the side wall portion of the via hole 27 and the exposed portion of the SiC film 22 is also removed to penetrate the via hole 27 so as to reach the lower buried wiring 21.

次いで、バリア材料としてTaN膜と、Cuシード、及び、Cuめっき膜を堆積させたのち、CMP法を用いて、SiOC膜24上の不要な部分のCu膜及びTaN膜を研磨除去して、ビアホール27内に第1バリア層33を介してビアプラグ34を形成する。
この時、SiOC膜24上に設けたTa膜47も同時に研磨除去する。
Next, after depositing a TaN film, a Cu seed, and a Cu plating film as a barrier material, unnecessary portions of the Cu film and the TaN film on the SiOC film 24 are polished and removed by using the CMP method, and via holes are formed. A via plug 34 is formed in the layer 27 via the first barrier layer 33.
At this time, the Ta film 47 provided on the SiOC film 24 is simultaneously polished and removed.

図8参照
次いで、再び、SiC膜35/p−MSQ膜36/SiOC膜37からなる第2層間絶縁膜を形成したのち、その上に、再び、メタルハードマスクとなる厚さが、例えば、5nmのTa膜48を堆積させる。
See FIG.
Next, after a second interlayer insulating film made of SiC film 35 / p-MSQ film 36 / SiOC film 37 is formed again, a Ta film having a thickness of, for example, 5 nm again serving as a metal hard mask is formed thereon. 48 is deposited.

次いで、トレンチ用の開口パターンを有するレジストマスクをエッチングマスクにして、Ta膜48乃至p−MSQ膜36をRIEで順次ドライエッチングしてトレンチ40を形成する。   Next, using the resist mask having the trench opening pattern as an etching mask, the Ta film 48 to the p-MSQ film 36 are sequentially dry-etched by RIE to form the trench 40.

次いで、レジストマスクを除去した後、残渣物を除去する洗浄処理を施し、次いで、上記の第1無孔質絶縁膜28の成膜工程と同じ条件で、全面にSiOCからなる第2無孔質絶縁膜を全面に堆積させ、次いで、高異方性のRIEによる異方性エッチングを施すことによって、トレンチ40の側壁部にのみ第2無孔質保護絶縁膜42を形成するとともに、SiC膜35の露出部も除去してトレンチ40をビアプラグ34に達するように貫通させる。   Next, after removing the resist mask, a cleaning process is performed to remove residues, and then the second nonporous structure made of SiOC is formed on the entire surface under the same conditions as the film forming step of the first nonporous insulating film 28 described above. An insulating film is deposited on the entire surface, and then anisotropic etching by highly anisotropic RIE is performed to form the second nonporous protective insulating film 42 only on the side wall portion of the trench 40, and the SiC film 35 The exposed portion is also removed and the trench 40 is penetrated so as to reach the via plug 34.

次いで、バリア材料としてTaN膜、Cuシード、及び、Cuメッキ膜を順次堆積させたのち、CMP法を用いて、SiOC膜37上の不要な部分のCu膜及びTaN膜を研磨除去して、トレンチ40内に第2バリア層45を介して上層埋込配線46を形成する。
この時、Ta膜48を同時に研磨除去する。
Next, after a TaN film, a Cu seed, and a Cu plating film are sequentially deposited as a barrier material, unnecessary portions of the Cu film and the TaN film on the SiOC film 37 are polished and removed by using the CMP method. An upper buried wiring 46 is formed in the layer 40 via the second barrier layer 45.
At this time, the Ta film 48 is simultaneously polished and removed.

このようにして、ダマシン配線構造体の2層配線が完成するが、以降は必要とする多層配線層数に応じてこのダマシン配線構造体の2層配線を繰り返し形成することによって実施例2の半導体装置が完成する。   In this way, the two-layer wiring of the damascene wiring structure is completed. Thereafter, the two-layer wiring of the damascene wiring structure is repeatedly formed according to the required number of multilayer wiring layers. The device is completed.

この本発明の実施例2においては、各工程の最表面にメタルハードマスクを設けているので、ビアホール或いはトレンチの周辺部以外において層間絶縁膜中に紫外線が進入しないので、層間絶縁膜の親水性化を防止することができ、それによって、経時的な水分の進入による誘電率の上昇を抑制することができる。   In the second embodiment of the present invention, since the metal hard mask is provided on the outermost surface of each step, ultraviolet rays do not enter the interlayer insulating film except in the peripheral part of the via hole or trench. The increase in dielectric constant due to the ingress of moisture over time can be suppressed.

次に、図9乃至図11を参照して、本発明の実施例3のデュアル・ダマシン工程を説明する。
図9参照
まず、シリコン基板に素子を形成したのち、素子に接続するWプラグを形成し、次いで、プラズマCVD法を用いてSiOCを堆積させたのち、Wプラグを露出するように配線用トレンチを形成し、次いで、バリア膜を介してAl−Cu合金を埋め込み、CMP法によって不要部を除去することによって下層埋込配線21を形成する。
Next, a dual damascene process according to the third embodiment of the present invention will be described with reference to FIGS.
See FIG.
First, after forming an element on a silicon substrate, a W plug connected to the element is formed, and then SiOC is deposited using a plasma CVD method, and then a wiring trench is formed so as to expose the W plug, Next, an Al—Cu alloy is embedded through the barrier film, and unnecessary portions are removed by a CMP method to form the lower-layer embedded wiring 21.

次いで、第1エッチングストッパー層となる厚さが、例えば、25nmで比誘電率が3.5程度のSiC膜22を成膜したのち、スピンオン塗布法を用いて比誘電率が1.8程度で、厚さが、200〜300nm、例えば、250nmのp−MSQ膜49を形成する。
なお、この場合のp−MSQ膜49における空孔の含有堆積比率は、例えば、50%である。
Next, after a SiC film 22 having a thickness of, for example, 25 nm and a relative dielectric constant of about 3.5 is formed as a first etching stopper layer, the relative dielectric constant is about 1.8 using a spin-on coating method. Then, a p-MSQ film 49 having a thickness of 200 to 300 nm, for example, 250 nm is formed.
In this case, the vacancy-containing deposition ratio in the p-MSQ film 49 is, for example, 50%.

次いで、p−MSQ膜49上に、CVD法によって、比誘電率が2〜3で、厚さが、例えば、100nmのミッドストッパーとなるSiOC膜50を成膜したのち、再び、スピンオン塗布法を用いて比誘電率が1.8程度で、厚さが、例えば、150nmのp−MSQ膜51を形成する。
なお、この場合のp−MSQ膜51における空孔の含有堆積比率も、例えば、50%である。
Next, after a SiOC film 50 having a relative dielectric constant of 2 to 3 and a thickness of, for example, 100 nm is formed on the p-MSQ film 49 by a CVD method, a spin-on coating method is performed again. A p-MSQ film 51 having a relative dielectric constant of about 1.8 and a thickness of, for example, 150 nm is used.
In this case, the vacancy deposition ratio in the p-MSQ film 51 is also 50%, for example.

次いで、p−MSQ膜51上に、CVD法によって、比誘電率が2〜3で、厚さが、例えば、100nmのキャップ層となるSiOC膜24を堆積する。
このように堆積したSiC膜22/p−MSQ膜49/SiOC膜50/p−MSQ膜51/SiOC膜24からなる層間絶縁膜全体の実効的な比誘電率は2〜2.5程度となっている。
Next, on the p-MSQ film 51, a SiOC film 24 that is a cap layer having a relative dielectric constant of 2 to 3 and a thickness of, for example, 100 nm is deposited by CVD.
The effective relative dielectric constant of the entire interlayer insulating film composed of the SiC film 22 / p-MSQ film 49 / SiOC film 50 / p-MSQ film 51 / SiOC film 24 thus deposited is about 2 to 2.5. ing.

次いで、ハードマスクとなる厚さが、例えば、50nmのSiO2 膜52を形成したのち、通常のフォトリソグラフィー技術とドライ・エッチング技術を用いてSiO2 膜52にトレンチに対応する幅寸法が例えば、100nmのパターンの開口部53を設けるとともに、SiOC膜24にビアホールに対応する口径が例えば、80nmの開口部54を形成する。 Then, the thickness of which is a hard mask, for example, after forming the SiO 2 film 52 of 50 nm, a width dimension corresponding to the trenches in the SiO 2 film 52 using conventional photolithographic techniques and dry etching techniques, for example, An opening 53 having a pattern of 100 nm is provided, and an opening 54 having a diameter corresponding to a via hole of, for example, 80 nm is formed in the SiOC film 24.

次いで、SiOC膜24をマスクとしてフルオロカーボン系のフッ素化合物ガスを用いたRIEを施すことによりp−MSQ膜51をエッチングして、SiOC膜50の表面に達するビアパターンを転写する。 Next, the p-MSQ film 51 is etched by performing RIE using a fluorocarbon-based fluorine compound gas using the SiOC film 24 as a mask, and the via pattern reaching the surface of the SiOC film 50 is transferred.

図10参照
次いで、SiO2 膜52をマスクとしてハイドロフルオロカーボン系のフッ素化合物ガスを用いたRIEを施すことによりSiOC膜24をエッチングして、トレンチパターンをSiOC膜24に転写すると同時に、SiOC膜50もエッチングして、ビアパターンを転写する。
See FIG.
Next, the SiOC film 24 is etched by performing RIE using a hydrofluorocarbon-based fluorine compound gas with the SiO 2 film 52 as a mask, and the trench pattern is transferred to the SiOC film 24. At the same time, the SiOC film 50 is also etched. Transfer the via pattern.

次いで、SiO2 膜52をマスクとしてフルオロカーボン系のフッ素化合物ガスを用いたRIEを施すことによりp−MSQ膜51をエッチングして、トレンチ40を形成すると同時に、SiOC膜50をマスクとしてp−MSQ膜49をエッチングしてテーパ角が例えば、95°のビアホール27を形成する。
なお、ここでも、SiC膜22はエッチングしないままとする。
Next, the p-MSQ film 51 is etched by performing RIE using a fluorocarbon-based fluorine compound gas with the SiO 2 film 52 as a mask to form the trench 40, and at the same time, the p-MSQ film with the SiOC film 50 as a mask. 49 is etched to form a via hole 27 having a taper angle of 95 °, for example.
Here again, the SiC film 22 is left unetched.

次いで、CVD法を用いて185nmの紫外線29を照射しながら側壁部における膜厚が例えば、6nmになるように、SiO2 からなる無孔質絶縁膜55を全面に堆積させる。
この場合、SiC膜22上にはそれ以下の膜厚が、SiO2 膜52上にはそれ以上の膜厚の無孔質絶縁膜55が堆積する。
Next, a non-porous insulating film 55 made of SiO 2 is deposited on the entire surface so that the film thickness at the side wall portion becomes, for example, 6 nm while irradiating the ultraviolet rays 29 of 185 nm using the CVD method.
In this case, a nonporous insulating film 55 having a thickness less than that on the SiC film 22 and a thickness greater than that on the SiO 2 film 52 is deposited.

図11参照
次いで、フッ素化合物ガスと窒素ガスからなる混合ガスを用いた高異方性のRIEによる異方性エッチングを施すことによって、SiC膜22、SiOC膜50、及び、SiO2 膜52の主表面上に堆積した無孔質絶縁膜55を除去して、ビアホール27及びトレンチ40の側壁部にのみ無孔質保護絶縁膜56を形成するとともに、SiC膜22の露出部も除去してビアホール27を下層埋込配線21に達するように貫通させる。
なお、この時、無孔質保護絶縁膜56の膜厚は5nm程度となる。
See FIG.
Next, anisotropic etching by highly anisotropic RIE using a mixed gas composed of a fluorine compound gas and nitrogen gas is performed, so that the main surfaces of the SiC film 22, the SiOC film 50, and the SiO 2 film 52 are formed on the main surfaces. The deposited non-porous insulating film 55 is removed to form a non-porous protective insulating film 56 only on the side walls of the via hole 27 and the trench 40, and the exposed portion of the SiC film 22 is also removed to fill the via hole 27 with a lower layer. It penetrates to reach the lead-in wiring 21.
At this time, the film thickness of the nonporous protective insulating film 56 is about 5 nm.

次いで、バリア材料として厚さが、例えば、15nmのTaN膜57と、厚さが、例えば、50nmのCuシード(図示は省略)をスパッタ(PVD)法で堆積し、更に、配線材料として、メッキ法を用いて厚さが、例えば、500nmのCu膜58を成膜する。
なお、図においては、CuシードとCu膜58を合わせてCu膜58として図示している。
Next, a TaN film 57 having a thickness of, for example, 15 nm as a barrier material and a Cu seed (not shown) having a thickness of, for example, 50 nm are deposited by sputtering (PVD), and further plated as a wiring material. A Cu film 58 having a thickness of, for example, 500 nm is formed by using this method.
In the figure, the Cu seed and the Cu film 58 are collectively shown as a Cu film 58.

次いで、CMP法を用いて、SiO2 膜52上の不要な部分のCu膜58及びTaN膜57を研磨除去して、ビアホール27及びトレンチ40内にバリア層59を介してビアプラグと埋込配線とが一体になった上層配線60を形成する。
この時、SiO2 膜52も同時に研磨除去する。
Next, unnecessary portions of the Cu film 58 and the TaN film 57 on the SiO 2 film 52 are polished and removed by using the CMP method, and via plugs and buried wirings are formed in the via holes 27 and the trenches 40 via the barrier layers 59. Is formed as an integrated upper layer wiring 60.
At this time, the SiO 2 film 52 is also removed by polishing.

このようにして、デュアル・ダマシン構造の埋込配線構造が完成するが、以降は必要とする多層配線層数に応じてこのデュアル・ダマシン構造の埋込配線構造を繰り返し形成することによって実施例3の半導体装置が完成する。   In this way, a dual damascene structure buried wiring structure is completed. Thereafter, the dual damascene structure buried wiring structure is repeatedly formed according to the required number of multilayer wiring layers. This completes the semiconductor device.

この本発明の実施例3においては、実施例1のシングル・ダマシン構造に比べて、多孔質の低誘電率膜以外の絶縁層、即ち、エッチングストッパー層或いはキャップ層の一部を省くことができるようになり、層間絶縁膜の実効的な誘電率をさらに低減することが可能になり、それによって、半導体装置の動作のさらなる高速化が可能になる。   In the third embodiment of the present invention, as compared with the single damascene structure of the first embodiment, an insulating layer other than the porous low dielectric constant film, that is, an etching stopper layer or a part of the cap layer can be omitted. As a result, it is possible to further reduce the effective dielectric constant of the interlayer insulating film, thereby further increasing the operation speed of the semiconductor device.

次に、本発明の実施例4のデュアル・ダマシン工程を説明するが、この実施例4は、上記の実施例3におけるハードマスクとなるSiO2 膜52をTaからなるメタルハードマスクに置き換えただけであるので、具体的な説明は省略する。 Next, a dual damascene process according to the fourth embodiment of the present invention will be described. In the fourth embodiment, the SiO 2 film 52 serving as the hard mask in the third embodiment is replaced with a metal hard mask made of Ta. Therefore, a specific description is omitted.

即ち、上述の図9において、SiO2 膜52の代わりにTa膜を設け、このTa膜にトレンチパターンを形成し、図10において、このトレンチパターンを形成したTa膜をマスクとしてSiOC膜24にトレンチパターンを転写し、図11に示したCMP工程において、Cu膜及びTaN膜と同時にこのTa膜も研磨除去するものである。 That is, in FIG. 9 described above, a Ta film is provided in place of the SiO 2 film 52, and a trench pattern is formed in the Ta film. In FIG. 10, a trench is formed in the SiOC film 24 using the Ta film formed with the trench pattern as a mask. The pattern is transferred, and the Ta film is polished and removed simultaneously with the Cu film and the TaN film in the CMP process shown in FIG.

この本発明の実施例4においても、上記の実施例2と同様に最表面にメタルハードマスクを設けているので、無孔質絶縁膜の成膜工程においてビアホール及びトレンチの周辺部以外において層間絶縁膜中に紫外線が進入せず、それによって、層間絶縁膜の親水性化を防止することができるので、経時的な水分の進入による誘電率の上昇を抑制することができる。   Also in the fourth embodiment of the present invention, a metal hard mask is provided on the outermost surface as in the second embodiment. Therefore, in the non-porous insulating film forming step, the interlayer insulation is formed except for the peripheral portion of the via hole and the trench. Ultraviolet rays do not enter the film, thereby making it possible to prevent the interlayer insulating film from becoming hydrophilic, so that an increase in dielectric constant due to the ingress of moisture over time can be suppressed.

以上、本発明の各実施例を説明してきたが、本発明は各実施例に記載された構成・条件等に限られるものではなく各種の変更が可能であり、例えば、ビアホールのサイズ及びトレンチの幅等は任意であり、必要とする集積度に応じて適宜決定すれば良い。   Although the embodiments of the present invention have been described above, the present invention is not limited to the configurations and conditions described in the embodiments, and various modifications are possible. For example, the size of the via hole and the trench The width and the like are arbitrary, and may be appropriately determined according to the required degree of integration.

また、上記の各実施例においては、ビア及び埋込配線をCuによって形成しているが、Cuに限られるものではなく、Cu−AlやCu−Si等のCuを主成分とする合金にも適用されるものであり、さらには、AlやAg等のCu以外の金属、或いは、TiNやTaN等の金属窒化物にも適用されるものである。   In each of the above embodiments, the via and the embedded wiring are formed of Cu. However, the present invention is not limited to Cu, and is not limited to Cu, but also alloys such as Cu-Al and Cu-Si. Further, it is applied to metals other than Cu such as Al and Ag, or metal nitrides such as TiN and TaN.

また、上記の各実施例における配線用絶縁膜及びビア形成用絶縁膜の組み合わせた単なる一例であり、他の絶縁材料を用いた層間絶縁膜構造に適用できることは言うまでもないことであり、エッチングストッパーとなるSiC膜の代わりにSiCN膜或いはSiN膜を用いても良いし、また、キャップ層となるSiOC膜の代わりにSiO2 膜、SiN膜或いはSiCN膜を用いても良いものである。 Further, it is merely an example of a combination of the wiring insulating film and the via forming insulating film in each of the above embodiments, and it goes without saying that it can be applied to an interlayer insulating film structure using other insulating materials. An SiCN film or an SiN film may be used instead of the SiC film, and an SiO 2 film, an SiN film, or an SiCN film may be used instead of the SiOC film serving as the cap layer.

また、上記の各実施例においては、バリアメタルとしてTaNを用いているが、TaNに限られるものではなく、Ta膜を用いても良いものであり、さらには、W膜、WN膜、WSiN膜、Ti膜、TiN膜、TiSiN膜を用いても良い。   In each of the above embodiments, TaN is used as the barrier metal. However, the barrier metal is not limited to TaN, and a Ta film may be used. Further, a W film, a WN film, and a WSiN film may be used. A Ti film, a TiN film, or a TiSiN film may be used.

また、上記の各実施例においては、多孔質構造の低誘電率膜としてp−MSQ膜を用いているが、p−MSQ膜に限られるものではなく、p−MSQと同様に、シロキサン骨格を有する他の絶縁膜あるいは有機高分子を主骨格とした絶縁膜を多孔質化した絶縁膜を用いることができる。   In each of the above embodiments, a p-MSQ film is used as the low dielectric constant film having a porous structure. However, the p-MSQ film is not limited to the p-MSQ film. Other insulating films having an insulating film having an organic polymer as a main skeleton or a porous insulating film can be used.

なお、シロキサン骨格を有する絶縁膜には、シルセスキオキサン類の絶縁膜であるSi−CH3 結合、Si−H結合、Si−F結合のうち少なくとも1つの結合を含むシリカ膜がある。
また、有機高分子を主骨格とした絶縁膜には、有機ポリマーで成るSiLK(登録商標)がある。
Note that the insulating film having a siloxane skeleton includes a silica film including at least one of a Si—CH 3 bond, a Si—H bond, and a Si—F bond, which is a silsesquioxane insulating film.
An insulating film having an organic polymer as a main skeleton includes SiLK (registered trademark) made of an organic polymer.

また、シルセスキオキサン類の絶縁膜としてよく知られた絶縁材料には、MSQの他、ハイドロゲンシルセスキオキサン(HSQ:Hydrogen Silsesquioxane)、メチレーテッドハイドロゲンシルセスキオキサン(MHSQ:Methylaled Hydrogen Silsesquioxane)等がある。   Insulating materials well known as insulating films of silsesquioxanes include MSQ, Hydrogen Silsesquioxane (HSQ), and Methylated Hydrogen Silsesquioxane (MHSQ). ) Etc.

さらに、多孔質構造の低誘電率膜としては上述の塗布系絶縁膜の他に、CVD法により成膜する多孔質のSiOCH膜、SiOC膜も同様に使用することができる。   Further, as the low dielectric constant film having a porous structure, a porous SiOCH film and a SiOC film formed by the CVD method can be used in addition to the above-mentioned coating type insulating film.

また、上記の各実施例においては、側壁を保護するための無孔質絶縁膜を堆積させる際に、185nmの紫外線を照射しているが、185nmに限られるものではなく、例えば、254nm等の他の波長を紫外線を照射しても良いものである。   Further, in each of the above embodiments, when depositing the nonporous insulating film for protecting the side wall, the ultraviolet ray of 185 nm is irradiated. However, it is not limited to 185 nm, and for example, 254 nm or the like Other wavelengths may be irradiated with ultraviolet rays.

さらに、紫外線照射工程において照射する紫外線は単一波長である必要はなく、例えば、185nmおよび254nmの2つの波長にピークを有する紫外線を照射しても良く、単一波長と親水性化については単一波長の場合と同等の効果が期待できるとともに、照射する全体のエネルギーを小さくすることができるので、照射に伴う損傷を低減することができる。   Furthermore, the ultraviolet light irradiated in the ultraviolet irradiation process does not need to have a single wavelength. For example, ultraviolet light having peaks at two wavelengths of 185 nm and 254 nm may be irradiated. The same effect as in the case of one wavelength can be expected, and the overall energy to be irradiated can be reduced, so that damage caused by irradiation can be reduced.

ここで再び図1を参照して、本発明の詳細な特徴を改めて説明する。
再び、図1参照
(付記1) 半導体基板と、前記半導体基板上に形成された空孔を含有する第1絶縁膜3と、前記第1絶縁膜3に形成された凹部4と、前記凹部4の側壁に形成された第2絶縁膜5と、前記第2絶縁膜5を介して前記凹部4に埋め込まれた導体7とを有し、前記第1絶縁膜3と前記第2絶縁膜5との界面において、前記第1絶縁膜3の表面のボンドが官能基で終端している比率より前記第2絶縁膜5を構成する材料の主鎖と化学的に結合している比率が高いことを特徴とする半導体装置。
(付記2) 前記第2絶縁膜5がSiOCからなるとともに、初期誘電率が2.5〜4.5、密度が1.5〜2.5g/cm3 であることを特徴とする付記1記載の半導体装置。
(付記3) 前記第2絶縁膜5の膜厚が0.5〜5nmであることを特徴とする付記1または2に記載の半導体装置。
(付記4) 前記第1絶縁膜3における空孔の含有堆積比率が30〜50%であることを特徴とする付記1乃至3のいずれか1に記載の半導体装置。
(付記5) 前記導体7のバリアメタル層を除いた部分が、Cu、Al、W、或いは各材料の合金のいずれかからなることを特徴とする付記1乃至4のいずれか1に記載の半導体装置。
(付記6) 半導体基板上に空孔を含有する第1絶縁膜3を少なくとも一部に有する層間絶縁膜2を堆積する工程と、前記層間絶縁膜2に凹部4を形成する工程と、前記第1絶縁膜3を構成する材料とは異種の第2絶縁膜5を150〜500nmの波長の光6を照射しながら全面に堆積させる工程と、前記凹部4内に導電体材料を埋め込む工程とを有することを特徴とする半導体装置の製造方法。
(付記7) 前記第2絶縁膜5を形成する工程において照射する光6が複数の波長の光からなることを特徴とする付記6記載の半導体装置の製造方法。
(付記8) 前記層間絶縁膜2が、前記半導体基板上に形成されたエッチングストッパー膜8と、前記エッチングストッパー膜8上に形成された前記第1絶縁膜3と、前記第1絶縁膜3上に形成されたエッチングマスク膜とを有することを特徴とする付記6または7に記載の半導体装置の製造方法。
(付記9) 前記層間絶縁膜2に凹部4を形成する工程において、前記エッチングマスク膜上にメタルハードマスクを設けることを特徴とする付記8記載の半導体装置の製造方法。
(付記10) 前記層間絶縁膜2が、前記半導体基板上に形成された第1エッチングストッパー膜と、前記第1エッチングストッパー膜上に形成された前記第1絶縁膜3と、前記第1絶縁膜3上に形成された第2エッチングストッパー膜と、前記第2エッチングストッパー膜上に形成された空孔を有する第3絶縁膜と、前記第3絶縁膜上に形成された前記エッチングマスク膜とを有することを特徴とする付記6または7に記載の半導体装置の製造方法。
(付記11) 前記層間絶縁膜2に凹部4を形成する工程において、前記エッチングマスク膜上に無機材料ハードマスクを設け、前記エッチングマスク膜をパターニングして形成したハードマスクをマスクとして前記第2エッチングストッパー膜に達する微細凹部を形成したのち、前記第2エッチングストッパー膜の露出部を除去して第2のハードマスクを形成し、次いで、前記無機材料ハードマスクをマスクとして前記第2エッチングストッパー膜に達する埋込配線用トレンチを形成すると同時に、前記第2のハードマスクをマスクとして前記第1エッチングストッパー膜に達するともに前記微細凹部に対応するビアホールを形成することを特徴とする付記10記載の半導体装置の製造方法。
(付記12) 前記無機材料ハードマスクが、メタルハードマスクであることを特徴とする付記11記載の半導体装置の製造方法。
(付記13) 前記凹部4内に導電体材料を埋め込む工程が、化学機械研磨法を用いたダマシン工程であり、前記エッチングマスク膜がダマシン工程における研磨ストッパーとして作用することを特徴とする請求項6乃至12のいずれか1項に記載の半導体装置の製造方法。
The detailed features of the present invention will be described again with reference to FIG. 1 again.
Again see Figure 1
(Additional remark 1) It forms in the side wall of the semiconductor substrate, the 1st insulating film 3 containing the void | hole formed on the said semiconductor substrate, the recessed part 4 formed in the said 1st insulating film 3, and the said recessed part 4 A second insulating film 5 and a conductor 7 embedded in the recess 4 via the second insulating film 5, and at the interface between the first insulating film 3 and the second insulating film 5, The ratio of chemically bonding to the main chain of the material constituting the second insulating film 5 is higher than the ratio of bonding of the surface of the first insulating film 3 to the functional group. .
(Supplementary note 2) The supplementary note 1, wherein the second insulating film 5 is made of SiOC, has an initial dielectric constant of 2.5 to 4.5, and a density of 1.5 to 2.5 g / cm 3. Semiconductor device.
(Additional remark 3) The semiconductor device of Additional remark 1 or 2 characterized by the film thickness of the said 2nd insulating film 5 being 0.5-5 nm.
(Additional remark 4) The semiconductor device of any one of Additional remark 1 thru | or 3 characterized by the containing accumulation ratio of the void | hole in the said 1st insulating film 3 being 30 to 50%.
(Supplementary note 5) The semiconductor according to any one of supplementary notes 1 to 4, wherein the portion of the conductor 7 excluding the barrier metal layer is made of Cu, Al, W, or an alloy of each material. apparatus.
(Additional remark 6) The process of depositing the interlayer insulation film 2 which has the 1st insulation film 3 containing a void | hole at least in part on a semiconductor substrate, the process of forming the recessed part 4 in the said interlayer insulation film 2, The said 1st A step of depositing a second insulating film 5 different from the material constituting the insulating film 3 on the entire surface while irradiating light 6 having a wavelength of 150 to 500 nm; and a step of embedding a conductor material in the recess 4 A method for manufacturing a semiconductor device, comprising:
(Additional remark 7) The manufacturing method of the semiconductor device of Additional remark 6 characterized by the light 6 irradiated in the process of forming the said 2nd insulating film 5 consisting of the light of several wavelengths.
(Supplementary Note 8) The interlayer insulating film 2 includes an etching stopper film 8 formed on the semiconductor substrate, the first insulating film 3 formed on the etching stopper film 8, and the first insulating film 3. The method of manufacturing a semiconductor device according to appendix 6 or 7, wherein the method further comprises an etching mask film formed on the semiconductor device.
(Additional remark 9) The manufacturing method of the semiconductor device of Additional remark 8 characterized by providing a metal hard mask on the said etching mask film in the process of forming the recessed part 4 in the said interlayer insulation film 2. FIG.
(Supplementary Note 10) The interlayer insulating film 2 includes a first etching stopper film formed on the semiconductor substrate, the first insulating film 3 formed on the first etching stopper film, and the first insulating film. A second etching stopper film formed on the second etching stopper film, a third insulating film having holes formed on the second etching stopper film, and the etching mask film formed on the third insulating film. 8. A method for manufacturing a semiconductor device according to appendix 6 or 7, characterized by comprising:
(Supplementary Note 11) In the step of forming the recess 4 in the interlayer insulating film 2, the second etching is performed using a hard mask formed by providing an inorganic material hard mask on the etching mask film and patterning the etching mask film. After forming a fine recess reaching the stopper film, the exposed portion of the second etching stopper film is removed to form a second hard mask, and then the second etching stopper film is formed using the inorganic material hard mask as a mask. 12. The semiconductor device according to claim 10, wherein a via hole corresponding to the fine recess is formed while reaching the first etching stopper film using the second hard mask as a mask simultaneously with forming the buried wiring trench. Manufacturing method.
(Additional remark 12) The said inorganic material hard mask is a metal hard mask, The manufacturing method of the semiconductor device of Additional remark 11 characterized by the above-mentioned.
(Additional remark 13) The process of embedding a conductor material in the said recessed part 4 is a damascene process using the chemical mechanical polishing method, The said etching mask film | membrane acts as a polishing stopper in a damascene process, It is characterized by the above-mentioned. 13. A method for manufacturing a semiconductor device according to any one of items 1 to 12.

本発明の活用例としては、高集積度半導体装置の多層配線構造が典型的なものであるが、半導体装置における配線構造に限られるものではなく、強誘電体を用いた光デバイスの配線接続構造等としても適用されるものである。   As an application example of the present invention, a multilayer wiring structure of a highly integrated semiconductor device is typical. However, the invention is not limited to a wiring structure in a semiconductor device, and a wiring connection structure of an optical device using a ferroelectric substance. Etc. are also applicable.

本発明の原理的構成の説明図である。It is explanatory drawing of the fundamental structure of this invention. 無孔質絶縁膜の成膜装置の概念的構成図である。It is a notional block diagram of the film-forming apparatus of a nonporous insulating film. 本発明の実施例1のシングル・ダマシン工程の途中までの説明図である。It is explanatory drawing to the middle of the single damascene process of Example 1 of this invention. 本発明の実施例1のシングル・ダマシン工程の図3以降の途中までの説明図である。It is explanatory drawing to the middle after FIG. 3 of the single damascene process of Example 1 of this invention. 本発明の実施例1のシングル・ダマシン工程の図4以降の途中までの説明図である。It is explanatory drawing to the middle after FIG. 4 of the single damascene process of Example 1 of this invention. 本発明の実施例1のシングル・ダマシン工程の図5以降の説明図である。It is explanatory drawing after FIG. 5 of the single damascene process of Example 1 of this invention. 本発明の実施例2のシングル・ダマシン工程の途中までの説明図である。It is explanatory drawing to the middle of the single damascene process of Example 2 of this invention. 本発明の実施例2のシングル・ダマシン工程の図7以降の説明図である。It is explanatory drawing after FIG. 7 of the single damascene process of Example 2 of this invention. 本発明の実施例3のデュアル・ダマシン工程の途中までの説明図である。It is explanatory drawing to the middle of the dual damascene process of Example 3 of this invention. 本発明の実施例3のデュアル・ダマシン工程の図9以降の途中までの説明図である。It is explanatory drawing to the middle after FIG. 9 of the dual damascene process of Example 3 of this invention. 本発明の実施例3のデュアル・ダマシン工程の図10以降の説明図である。It is explanatory drawing after FIG. 10 of the dual damascene process of Example 3 of this invention. 従来のデュアル・ダマシン工程の途中までの説明図である。It is explanatory drawing to the middle of the conventional dual damascene process. 従来のデュアル・ダマシン工程の図12以降の説明図である。It is explanatory drawing after FIG. 12 of the conventional dual damascene process.

符号の説明Explanation of symbols

1 下層導体
2 層間絶縁膜
3 第1絶縁膜
4 凹部
5 第2絶縁膜
6 光
7 導体
8 エッチングストッパー膜
10 成膜装置
11 チャンバー
12 排気口
13 ステージ
14 ウェーハ
15 ヘッド
16 ノズル
17 ブリカーサ
18 光源ランプ
19 石英カバー
21 下層埋込配線
22 SiC膜
23 p−MSQ膜
24 SiOC膜
25 レジストマスク
26 開口パターン
27 ビアホール
28 第1無孔質絶縁膜
29 紫外線
30 第1無孔質保護絶縁膜
31 TaN膜
32 Cu膜
33 第1バリア層
34 ビアプラグ
35 SiC膜
36 p−MSQ膜
37 SiOC膜
38 レジストマスク
39 開口パターン
40 トレンチ
41 第2無孔質絶縁膜
42 第2無孔質保護絶縁膜
43 TaN膜
44 Cu膜
45 第2バリア層
46 上層埋込配線
47,48 Ta膜
49 p−MSQ膜
50 SiOC膜
51 p−MSQ膜
52 SiO2
53,54 開口部
55 無孔質絶縁膜
56 無孔質保護絶縁膜
57 TaN膜
58 Cu膜
59 バリア層
60 上層配線
61 下層埋込配線
62 SiCN膜
63 ビア形成用絶縁膜
64 SiCN膜
65 トレンチ用絶縁膜
66 SiCN膜
67 レジストパターン
68 凹部
69 レジストパターン
70 配線用トレンチ
71 ビアホール
72 無孔質絶縁膜
73 無孔質保護絶縁膜
74 バリア膜
75 Cuメッキ膜
76 埋込導体
77 Cuビアプラグ
78 Cu上層埋込配線
DESCRIPTION OF SYMBOLS 1 Lower layer conductor 2 Interlayer insulating film 3 1st insulating film 4 Recess 5 Second insulating film 6 Light 7 Conductor 8 Etching stopper film 10 Film forming apparatus 11 Chamber 12 Exhaust port 13 Stage 14 Wafer 15 Head 16 Nozzle 17 Bricker 18 Light source lamp 19 Quartz cover 21 Lower buried wiring 22 SiC film 23 p-MSQ film 24 SiOC film 25 Resist mask 26 Opening pattern 27 Via hole 28 First nonporous insulating film 29 Ultraviolet 30 First nonporous protective insulating film 31 TaN film 32 Cu Film 33 first barrier layer 34 via plug 35 SiC film 36 p-MSQ film 37 SiOC film 38 resist mask 39 opening pattern 40 trench 41 second nonporous insulating film 42 second nonporous protective insulating film 43 TaN film 44 Cu film 45 Second barrier layer 46 Upper buried wiring 47, 48 Ta film 49 p-MSQ Film 50 SiOC film 51 p-MSQ film 52 SiO 2 film 53, 54 Opening 55 Nonporous insulating film 56 Nonporous protective insulating film 57 TaN film 58 Cu film 59 Barrier layer 60 Upper wiring 61 Lower buried wiring 62 SiCN Film 63 Via forming insulating film 64 SiCN film 65 Trench insulating film 66 SiCN film 67 Resist pattern 68 Recess 69 Resist pattern 70 Wiring trench 71 Via hole 72 Nonporous insulating film 73 Nonporous protective insulating film 74 Barrier film 75 Cu Plating film 76 Embedded conductor 77 Cu via plug 78 Cu upper layer embedded wiring

Claims (5)

半導体基板と、前記半導体基板上に形成された空孔を含有する第1絶縁膜と、前記第1絶縁膜に形成された凹部と、前記凹部の側壁に形成された第2絶縁膜と、前記第2絶縁膜を介して前記凹部に埋め込まれた導体とを有し、前記第1絶縁膜と前記第2絶縁膜との界面において、前記第1絶縁膜の表面のボンドが官能基で終端している比率より前記第2絶縁膜を構成する材料の主鎖と化学的に結合している比率が高いことを特徴とする半導体装置。 A semiconductor substrate; a first insulating film containing holes formed on the semiconductor substrate; a recess formed in the first insulating film; a second insulating film formed on a sidewall of the recess; A conductor embedded in the recess through a second insulating film, and a bond on the surface of the first insulating film terminates with a functional group at the interface between the first insulating film and the second insulating film. A semiconductor device characterized in that the ratio of chemically bonding to the main chain of the material constituting the second insulating film is higher than the ratio of 前記第2絶縁膜がSiOCからなるとともに、初期誘電率が2.5〜4.5、密度が1.5〜2.5g/cm3 であることを特徴とする請求項1記載の半導体装置。 2. The semiconductor device according to claim 1, wherein the second insulating film is made of SiOC, has an initial dielectric constant of 2.5 to 4.5, and a density of 1.5 to 2.5 g / cm < 3 >. 半導体基板上に空孔を含有する第1絶縁膜を少なくとも一部に有する層間絶縁膜を堆積する工程と、前記層間絶縁膜に凹部を形成する工程と、前記第1絶縁膜を構成する材料とは異種の第2絶縁膜を150〜500nmの波長の光を照射しながら全面に堆積させる工程と、前記凹部内に導電体材料を埋め込む工程とを有することを特徴とする半導体装置の製造方法。 Depositing an interlayer insulating film having at least a portion of a first insulating film containing holes on a semiconductor substrate; forming a recess in the interlayer insulating film; and a material constituting the first insulating film; Comprises a step of depositing a different type of second insulating film on the entire surface while irradiating light with a wavelength of 150 to 500 nm, and a step of embedding a conductor material in the recess. 前記層間絶縁膜が、前記半導体基板上に形成されたエッチングストッパー膜と、前記エッチングストッパー膜上に形成された前記第1絶縁膜と、前記第1絶縁膜上に形成されたエッチングマスク膜とを有することを特徴とする請求項3記載の半導体装置の製造方法。 The interlayer insulating film includes an etching stopper film formed on the semiconductor substrate, the first insulating film formed on the etching stopper film, and an etching mask film formed on the first insulating film. 4. The method of manufacturing a semiconductor device according to claim 3, further comprising: 上記層間絶縁膜が、前記半導体基板上に形成された第1エッチングストッパー膜と、前記第1エッチングストッパー膜上に形成された前記第1絶縁膜と、前記第1絶縁膜上に形成された第2エッチングストッパー膜と、前記第2エッチングストッパー膜上に形成された空孔を有する第3絶縁膜と、前記第3絶縁膜上に形成されたエッチングマスク膜とを有することを特徴とする請求項3記載の半導体装置の製造方法。 The interlayer insulating film includes a first etching stopper film formed on the semiconductor substrate, the first insulating film formed on the first etching stopper film, and a first etching film formed on the first insulating film. 2. An etching stopper film, a third insulating film having a hole formed on the second etching stopper film, and an etching mask film formed on the third insulating film. 4. A method for manufacturing a semiconductor device according to 3.
JP2007186973A 2007-07-18 2007-07-18 Manufacturing method of semiconductor device Expired - Fee Related JP5200436B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007186973A JP5200436B2 (en) 2007-07-18 2007-07-18 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007186973A JP5200436B2 (en) 2007-07-18 2007-07-18 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2009026866A true JP2009026866A (en) 2009-02-05
JP5200436B2 JP5200436B2 (en) 2013-06-05

Family

ID=40398420

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007186973A Expired - Fee Related JP5200436B2 (en) 2007-07-18 2007-07-18 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP5200436B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010090038A1 (en) * 2009-02-06 2010-08-12 独立行政法人物質・材料研究機構 Insulating film material, and film formation method utilizing the material, and insulating film
JP2017103481A (en) * 2012-03-09 2017-06-08 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Methods for making silicon-containing films on thin film transistor device
US9923120B2 (en) 2015-09-26 2018-03-20 Nichia Corporation Semiconductor light emitting element and method of producing the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004343087A (en) * 2003-04-23 2004-12-02 Tokyo Electron Ltd Method and apparatus for modifying surface of interlayer dielectric film
JP2005167081A (en) * 2003-12-04 2005-06-23 Renesas Technology Corp Semiconductor device and its manufacturing method
JP2005236285A (en) * 2004-02-19 2005-09-02 Internatl Business Mach Corp <Ibm> STRUCTURE AND METHOD FOR INTEGRATING ULTRA-LOW DIELECTRIC CONSTANT (k) DIELECTRIC HAVING IMPROVED RELIABILITY
JP2005314711A (en) * 2005-07-29 2005-11-10 Hitachi Chem Co Ltd Porous membrane, article and composite material
JP2006140373A (en) * 2004-11-15 2006-06-01 Nec Electronics Corp Manufacturing method for semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004343087A (en) * 2003-04-23 2004-12-02 Tokyo Electron Ltd Method and apparatus for modifying surface of interlayer dielectric film
JP2005167081A (en) * 2003-12-04 2005-06-23 Renesas Technology Corp Semiconductor device and its manufacturing method
JP2005236285A (en) * 2004-02-19 2005-09-02 Internatl Business Mach Corp <Ibm> STRUCTURE AND METHOD FOR INTEGRATING ULTRA-LOW DIELECTRIC CONSTANT (k) DIELECTRIC HAVING IMPROVED RELIABILITY
JP2006140373A (en) * 2004-11-15 2006-06-01 Nec Electronics Corp Manufacturing method for semiconductor device
JP2005314711A (en) * 2005-07-29 2005-11-10 Hitachi Chem Co Ltd Porous membrane, article and composite material

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010090038A1 (en) * 2009-02-06 2010-08-12 独立行政法人物質・材料研究機構 Insulating film material, and film formation method utilizing the material, and insulating film
JP5614589B2 (en) * 2009-02-06 2014-10-29 独立行政法人物質・材料研究機構 Film forming method using insulating film material and insulating film
JP2017103481A (en) * 2012-03-09 2017-06-08 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Methods for making silicon-containing films on thin film transistor device
US9923120B2 (en) 2015-09-26 2018-03-20 Nichia Corporation Semiconductor light emitting element and method of producing the same
US10424693B2 (en) 2015-09-26 2019-09-24 Nichia Corporation Semiconductor light emitting element having first semiconductor layer and holes through second semiconductor layer to expose the first semiconductor layer

Also Published As

Publication number Publication date
JP5200436B2 (en) 2013-06-05

Similar Documents

Publication Publication Date Title
KR100516337B1 (en) Semiconductor device and manufacturing method thereof
US7834459B2 (en) Semiconductor device and semiconductor device manufacturing method
JP2005072384A (en) Method for manufacturing electronic device
JP2006253504A (en) Semiconductor device and its manufacturing method
JP2006019480A (en) Method for manufacturing semiconductor apparatus
US20060261483A1 (en) Semiconductor device and method for manufacturing the same
WO2007091574A1 (en) Multilayer wiring structure, and method for fabricating multilayer wiring
JP4567587B2 (en) Manufacturing method of semiconductor device
JP4419025B2 (en) Manufacturing method of semiconductor device
JP5200436B2 (en) Manufacturing method of semiconductor device
JP5047504B2 (en) Method for manufacturing dual damascene wiring of semiconductor device using via capping protective film
US8390135B2 (en) Semiconductor device
JP5823359B2 (en) Manufacturing method of semiconductor device
JP4525534B2 (en) Manufacturing method of semiconductor device
KR100691105B1 (en) Method of forming copper interconnection using dual damascene process
JPH10256372A (en) Manufacture of semiconductor device
JP4160489B2 (en) Manufacturing method of semiconductor device
JP2005223195A (en) Method for forming interlayer insulating film and method for manufacturing semiconductor device
JP4383262B2 (en) Semiconductor device and manufacturing method thereof
JP2008010610A (en) Method of manufacturing semiconductor device
JP2006319116A (en) Semiconductor device and its manufacturing method
KR100615088B1 (en) Method of forming a via contact structure using a dual damascene process
JP4797821B2 (en) Manufacturing method of semiconductor device
JP4695842B2 (en) Semiconductor device and manufacturing method thereof
JP2004296620A (en) Process for fabricating semiconductor device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20081126

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100430

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20110915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121030

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121031

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121218

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130128

R150 Certificate of patent or registration of utility model

Ref document number: 5200436

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160222

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees