JP2005223195A - Method for forming interlayer insulating film and method for manufacturing semiconductor device - Google Patents

Method for forming interlayer insulating film and method for manufacturing semiconductor device Download PDF

Info

Publication number
JP2005223195A
JP2005223195A JP2004030657A JP2004030657A JP2005223195A JP 2005223195 A JP2005223195 A JP 2005223195A JP 2004030657 A JP2004030657 A JP 2004030657A JP 2004030657 A JP2004030657 A JP 2004030657A JP 2005223195 A JP2005223195 A JP 2005223195A
Authority
JP
Japan
Prior art keywords
film
insulating film
forming
interlayer insulating
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004030657A
Other languages
Japanese (ja)
Inventor
Katsumi Yoneda
克己 米田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2004030657A priority Critical patent/JP2005223195A/en
Publication of JP2005223195A publication Critical patent/JP2005223195A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for manufacturing an interlayer insulating film having a low relative permittivity and an excellent mechanical characteristic, and to provide a method for manufacturing a semiconductor device using it. <P>SOLUTION: A first insulating film including a vacancy forming material and comprising a low permittivity material is formed on a diffusion protective film 2 formed on a lower layer interconnection 1. In the second place, providing a plasma process to the first insulating film removes a vacancy forming material to make the first insulating film a first porous insulating film 23. Repeating the steps of forming the insulating film and the steps of providing the plasma process enables a second porous insulating film 26 and a third porous insulating film 30 to be laminated on the first porous insulating film 23. This can form the interlayer insulating film 3 having the predetermined film thickness. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は、層間絶縁膜の形成方法および半導体装置の製造方法に関し、より詳しくは、多孔質の低誘電率絶縁膜からなる層間絶縁膜の形成方法およびこの方法を用いた半導体装置の製造方法に関する。   The present invention relates to a method for forming an interlayer insulating film and a method for manufacturing a semiconductor device, and more particularly to a method for forming an interlayer insulating film made of a porous low dielectric constant insulating film and a method for manufacturing a semiconductor device using this method. .

近年の半導体装置の微細化・高速化に伴い、配線構造の多層化が進んでいる。しかし、このような微細化、高速化および多層化が進むにつれて、配線抵抗並びに配線間および配線層間の寄生容量の増大による信号遅延が問題となる。信号遅延Tは配線抵抗Rと寄生容量Cの積に比例することから、信号遅延Tを小さくするためには、配線層の低抵抗化とともに寄生容量を小さくすることが必要となる。   With the recent miniaturization and speeding up of semiconductor devices, multilayer wiring structures are progressing. However, as such miniaturization, higher speed, and multilayering progress, signal delay due to increase in wiring resistance and parasitic capacitance between wirings and between wiring layers becomes a problem. Since the signal delay T is proportional to the product of the wiring resistance R and the parasitic capacitance C, in order to reduce the signal delay T, it is necessary to reduce the parasitic capacitance as well as the resistance of the wiring layer.

配線抵抗Rを低減するには、配線材料としてより低抵抗のものを用いればよい。例えば、従来のAl(アルミニウム)配線からCu(銅)配線へ移行することが挙げられる。   In order to reduce the wiring resistance R, a wiring material having a lower resistance may be used. For example, a transition from conventional Al (aluminum) wiring to Cu (copper) wiring can be mentioned.

一方、配線層間の寄生容量Cと、配線層の間に設けられる層間絶縁膜の比誘電率ε、配線層の間隔dおよび配線層の側面積Sとの間には、C=(ε・S)/dの関係がある。したがって、寄生容量Cを低減するには、低誘電率の絶縁膜(以下、Low−k膜という。)を層間絶縁膜として用いることが必要となる。   On the other hand, between the parasitic capacitance C between the wiring layers and the relative dielectric constant ε of the interlayer insulating film provided between the wiring layers, the distance d between the wiring layers, and the side area S of the wiring layer, C = (ε · S ) / D. Therefore, in order to reduce the parasitic capacitance C, it is necessary to use a low dielectric constant insulating film (hereinafter referred to as a low-k film) as an interlayer insulating film.

Low−k膜を用いた銅配線の形成方法としては、ダマシン法によるものがある(例えば、特許文献1参照。)。これは、銅がアルミニウムに比較してエッチングレートの制御が困難であることに鑑み、銅をエッチングせずに配線を形成する技術として知られている。   As a method for forming a copper wiring using a low-k film, there is a damascene method (see, for example, Patent Document 1). This is known as a technique for forming a wiring without etching copper, considering that it is difficult to control the etching rate of copper compared to aluminum.

ダマシン法は、具体的には、下層配線の上にエッチングストッパ膜、Low−k膜およびキャップ膜を順に形成した後、レジスト膜をマスクとしたドライエッチングによって配線溝を形成し、アッシングによりレジスト膜を除去してから配線溝内に銅層を埋込むことによって銅配線層を形成する方法である。銅層の埋込みは、メッキ法により配線溝を埋設するようにして銅層を形成した後、配線溝の内部にのみ銅層を残すようにCMP(化学的機械研磨)法を用いて表面を平坦化することによって実現することができる。   Specifically, in the damascene method, an etching stopper film, a low-k film, and a cap film are sequentially formed on a lower layer wiring, a wiring groove is formed by dry etching using the resist film as a mask, and a resist film is formed by ashing. This is a method of forming a copper wiring layer by embedding a copper layer in a wiring groove after removing the film. The copper layer is embedded by forming a copper layer by embedding a wiring groove by a plating method and then flattening the surface using a CMP (Chemical Mechanical Polishing) method so that the copper layer remains only inside the wiring groove. Can be realized.

ところで、従来は、SiO(酸化シリコン)膜が層間絶縁膜として用いられていた。しかしながら、低誘電率化を図るために、最近では、フッ素原子や有機基を含むシリコン酸化膜が層間絶縁膜として用いられるようになってきている。特に、シリコン酸化膜の骨格にメチル基を有するMSQ(メチルシルセスキオキサン)膜は、比誘電率が2.7程度と低いことから、Low−k膜として有望視されている。 Conventionally, a SiO 2 (silicon oxide) film has been used as an interlayer insulating film. However, in order to reduce the dielectric constant, recently, a silicon oxide film containing a fluorine atom or an organic group has been used as an interlayer insulating film. In particular, an MSQ (methyl silsesquioxane) film having a methyl group in the skeleton of a silicon oxide film is considered promising as a low-k film because of its low dielectric constant of about 2.7.

また、さらなる比誘電率の低減化のために、MSQ膜を多孔質化することも行われている。具体的には、適当な空孔形成材(ポロジェン)を添加したMSQ膜を支持体上に成膜した後、熱処理またはプラズマ処理によって空孔形成材を除去することによって、MSQ膜の内部に空孔を導入することができる。   Further, in order to further reduce the relative dielectric constant, the MSQ film is made porous. Specifically, after forming an MSQ film to which an appropriate hole forming material (porogen) is added on a support, the hole forming material is removed by a heat treatment or a plasma treatment, whereby a void is formed inside the MSQ film. Holes can be introduced.

特開2002−270586号公報JP 2002-270586 A

しかしながら、この方法では、MSQ膜の奥深くに存在する空孔形成材の除去が不十分なものとなり易い。このため、膜厚方向に空孔を均一に形成することができず、比誘電率が上昇するという問題があった。これに対して、空孔形成材の除去率を高めるために、熱処理時間を長くしたり、高エネルギーのプラズマ処理を施したりした場合には、MSQ膜へのダメージが大きくなるという問題もあった。   However, this method tends to result in insufficient removal of the pore forming material existing deep in the MSQ film. For this reason, there is a problem that the holes cannot be formed uniformly in the film thickness direction and the relative dielectric constant increases. On the other hand, when the heat treatment time is lengthened or the high energy plasma treatment is performed in order to increase the removal rate of the pore forming material, there is a problem that damage to the MSQ film is increased. .

さらに、空孔形成材がMSQ膜から抜け出す際にMSQ膜の骨格の一部が破壊されることによって、MSQ膜の機械的強度が低下するという問題もあった。こうした現象は、MSQ膜の膜厚が大きくなるにしたがい顕著になると考えられる。   Furthermore, when the hole forming material escapes from the MSQ film, a part of the skeleton of the MSQ film is destroyed, resulting in a problem that the mechanical strength of the MSQ film is lowered. Such a phenomenon is considered to become remarkable as the thickness of the MSQ film increases.

本発明はこのような問題点に鑑みてなされたものである。即ち、本発明の目的は、比誘電率が低く、機械的特性にも優れた層間絶縁膜の形成方法、および、これを用いた半導体装置の製造方法を提供することにある。   The present invention has been made in view of such problems. That is, an object of the present invention is to provide a method for forming an interlayer insulating film having a low relative dielectric constant and excellent mechanical characteristics, and a method for manufacturing a semiconductor device using the same.

本発明の他の目的および利点は、以下の記載から明らかとなるであろう。   Other objects and advantages of the present invention will become apparent from the following description.

本発明の層間絶縁膜の形成方法は、半導体基材上に、空孔形成材を含み低誘電率材料からなる絶縁膜を形成する工程と、この絶縁膜をプラズマ処理して空孔形成材を除去し、絶縁膜を多孔質絶縁膜にする工程と、絶縁膜を形成する工程およびプラズマ処理する工程を繰り返して行うことにより、多孔質絶縁膜の上に1以上の他の多孔質絶縁膜を積層して所定の膜厚の層間絶縁膜にする工程とを有することを特徴とするものである。   The method for forming an interlayer insulating film of the present invention includes a step of forming an insulating film made of a low dielectric constant material including a hole forming material on a semiconductor substrate, and plasma processing the insulating film to form a hole forming material. The step of removing and making the insulating film a porous insulating film, the step of forming the insulating film, and the step of performing the plasma treatment are repeatedly performed to form one or more other porous insulating films on the porous insulating film. And a step of laminating to form an interlayer insulating film having a predetermined thickness.

本発明の層間絶縁膜の形成方法において、絶縁膜はプラズマCVD法により形成することができ、プラズマ処理は、絶縁膜を形成するチャンバと同一のチャンバ内で、絶縁膜の形成に連続して行うことができる。   In the method for forming an interlayer insulating film of the present invention, the insulating film can be formed by a plasma CVD method, and the plasma treatment is continuously performed in the same chamber as the chamber for forming the insulating film. be able to.

また、本発明の層間絶縁膜の形成方法において、プラズマ処理は、Hガス、Heガス、Arガス、NOガスおよびNHガスよりなる群から選ばれる少なくとも1のガスを用いて行うことが好ましい。 In the method for forming an interlayer insulating film of the present invention, the plasma treatment is performed using at least one gas selected from the group consisting of H 2 gas, He gas, Ar gas, N 2 O gas, and NH 3 gas. Is preferred.

また、本発明の層間絶縁膜の形成方法において、絶縁膜は、水素原子、アルキル基およびアリル基よりなる群から選ばれる少なくとも1の原子または有機基と結合したシリコン原子を有するSiO膜であることが好ましい。この場合、絶縁膜は、MSQ膜およびHSQ膜のいずれか一方とすることができる。 In the method for forming an interlayer insulating film of the present invention, the insulating film is a SiO 2 film having silicon atoms bonded to at least one atom or organic group selected from the group consisting of a hydrogen atom, an alkyl group, and an allyl group. It is preferable. In this case, the insulating film can be either an MSQ film or an HSQ film.

さらに、本発明の層間絶縁膜の形成方法において、空孔形成材は、シリコン原子に結合した有機基よりも低い熱分解温度を有することが好ましい。   Furthermore, in the method for forming an interlayer insulating film of the present invention, the pore forming material preferably has a lower thermal decomposition temperature than the organic group bonded to the silicon atom.

本発明の半導体装置の製造方法は、多層配線構造を有する半導体装置の製造方法において、半導体基板上に形成された下層配線の上に拡散防止膜を形成する工程と、この拡散防止膜の上に、上記のいずれかの方法により層間絶縁膜を形成する工程と、この層間絶縁膜の上にキャップ膜を形成する工程と、キャップ膜、層間絶縁膜および拡散防止膜をドライエッチングして、下層配線に至る配線溝を形成する工程と、この配線溝に銅配線層を埋め込み、下層配線に電気的に接続する溝配線を形成する工程とを有することを特徴とするものである。   The method of manufacturing a semiconductor device according to the present invention includes a step of forming a diffusion prevention film on a lower layer wiring formed on a semiconductor substrate, and a method of forming a diffusion prevention film on the diffusion prevention film. A step of forming an interlayer insulating film by any of the above methods, a step of forming a cap film on the interlayer insulating film, and dry etching the cap film, the interlayer insulating film, and the diffusion prevention film to form a lower layer wiring And a step of forming a trench wiring which is embedded in the wiring trench and electrically connected to the lower layer wiring.

本発明の半導体装置の製造方法において、配線溝を形成する工程は、キャップ膜の上に所定のパターンを有するレジスト膜を形成する工程と、このレジスト膜をマスクとして、キャップ膜および層間絶縁膜に第1のドライエッチングを行い、拡散防止膜膜に至る開口部を形成する工程と、レジスト膜を除去する工程と、キャップ膜をハードマスクとして拡散防止膜に第2のドライエッチングを行い、下層配線に至る配線溝を形成する工程とを有することができる。   In the method of manufacturing a semiconductor device according to the present invention, the step of forming the wiring groove includes the step of forming a resist film having a predetermined pattern on the cap film, and the cap film and the interlayer insulating film using the resist film as a mask. Performing a first dry etching to form an opening reaching the diffusion barrier film, a step of removing the resist film, a second dry etching to the diffusion barrier film using the cap film as a hard mask, Forming a wiring trench extending to the line.

また、本発明の半導体装置の製造方法において、配線溝を形成する工程は、キャップ膜の上に所定のパターンを有するレジスト膜を形成する工程と、このレジスト膜をマスクとして、キャップ膜、層間絶縁膜および拡散防止膜をドライエッチングし、下層配線に至る配線溝を形成する工程と、レジスト膜を除去する工程とを有することもできる。   In the method for manufacturing a semiconductor device according to the present invention, the step of forming the wiring groove includes the step of forming a resist film having a predetermined pattern on the cap film, and the cap film and interlayer insulation using the resist film as a mask. It is also possible to have a step of dry etching the film and the diffusion prevention film to form a wiring groove reaching the lower layer wiring and a step of removing the resist film.

この発明は以上説明したように、半導体基材上に、空孔形成材を含み低誘電率材料からなる絶縁膜を形成する工程と、この絶縁膜をプラズマ処理して空孔形成材を除去し、絶縁膜を多孔質絶縁膜にする工程と、絶縁膜を形成する工程およびプラズマ処理する工程を繰り返して行うことにより、多孔質絶縁膜の上に1以上の他の多孔質絶縁膜を積層して所定の膜厚の層間絶縁膜にする工程とを有するので、絶縁膜中に含まれる空孔形成材の除去率を高めることができる。また、空孔形成材が絶縁膜から抜け出す際の分子構造の破壊を抑制することもできる。したがって、比誘電率が低く、機械的強度にも優れた層間絶縁膜を形成することが可能となる。   As described above, the present invention includes a step of forming an insulating film made of a low dielectric constant material including a hole forming material on a semiconductor substrate, and removing the hole forming material by plasma treatment of the insulating film. The step of forming the insulating film as a porous insulating film, the step of forming the insulating film, and the step of performing the plasma treatment are repeatedly performed to stack one or more other porous insulating films on the porous insulating film. And the step of forming the interlayer insulating film having a predetermined thickness, the removal rate of the pore forming material contained in the insulating film can be increased. In addition, it is possible to suppress the destruction of the molecular structure when the hole forming material escapes from the insulating film. Therefore, an interlayer insulating film having a low relative dielectric constant and excellent mechanical strength can be formed.

また、本発明によれば、空孔形成材を含み低誘電率材料からなる絶縁膜を形成した後、この絶縁膜をプラズマ処理することによって空孔形成材を除去し、絶縁膜を多孔質絶縁膜にする。以上の工程を複数回繰り返して行うことにより、多孔質絶縁膜の上に1以上の他の多孔質絶縁膜を積層し、所定の膜厚の層間絶縁膜とした後に、層間絶縁膜に配線溝を形成して銅配線層を埋め込む。すなわち、比誘電率が低く、機械的強度にも優れた層間絶縁膜と銅配線との組み合わせによって、信号遅延が小さく、信頼性にも優れた半導体装置を製造することができる。   Further, according to the present invention, after forming an insulating film made of a low dielectric constant material including a hole forming material, the hole forming material is removed by plasma treatment of the insulating film, and the insulating film is porous insulated. Make a membrane. By repeating the above steps a plurality of times, one or more other porous insulating films are laminated on the porous insulating film to form an interlayer insulating film having a predetermined thickness, and then wiring grooves are formed in the interlayer insulating film. And a copper wiring layer is embedded. That is, a semiconductor device having a small signal delay and excellent reliability can be manufactured by a combination of an interlayer insulating film having a low relative dielectric constant and excellent mechanical strength and a copper wiring.

図1〜図16は、本実施の形態における半導体装置の製造方法を示す断面図である。尚、これらの図において、同じ符号を付した部分は同じものであることを示している。   1 to 16 are sectional views showing a method for manufacturing a semiconductor device in the present embodiment. In these drawings, the same reference numerals indicate the same parts.

まず、半導体基材を準備する。例えば、下層配線1(第1の銅配線層)が形成された半導体基板の上に拡散防止膜2を形成する(図1)。   First, a semiconductor substrate is prepared. For example, the diffusion prevention film 2 is formed on the semiconductor substrate on which the lower layer wiring 1 (first copper wiring layer) is formed (FIG. 1).

拡散防止膜2としては、例えば、SiN(窒化シリコン)膜、SiC(炭化シリコン)膜またはSiCN(炭窒化シリコン)膜などを用いることができる。尚、拡散防止膜2として、この上に形成する層間絶縁膜とのエッチング選択比の大きい材料を用いた場合には、拡散防止膜2はエッチングストッパ膜としても機能することができる。一方、下層配線1としては、例えば、MOSトランジスタの拡散層に至るタングステンプラグを用いることができる。尚、簡便のために、図では下層配線1の構造を省略している。   As the diffusion preventing film 2, for example, a SiN (silicon nitride) film, a SiC (silicon carbide) film, a SiCN (silicon carbonitride) film, or the like can be used. When a material having a high etching selectivity with respect to the interlayer insulating film formed thereon is used as the diffusion preventing film 2, the diffusion preventing film 2 can also function as an etching stopper film. On the other hand, as the lower layer wiring 1, for example, a tungsten plug that reaches the diffusion layer of the MOS transistor can be used. For simplicity, the structure of the lower layer wiring 1 is omitted in the figure.

次に、拡散防止膜2の上に層間絶縁膜3を形成する(図1)。ここで、層間絶縁膜3は、空孔4を有する多孔質の低誘電率絶縁膜である。   Next, an interlayer insulating film 3 is formed on the diffusion preventing film 2 (FIG. 1). Here, the interlayer insulating film 3 is a porous low dielectric constant insulating film having pores 4.

本発明においては、空孔形成材を含み低誘電率材料からなる絶縁膜を形成した後、この絶縁膜をプラズマ処理することによって空孔形成材を除去し、絶縁膜を多孔質絶縁膜にする。以上の工程を複数回繰り返して行うことにより、多孔質絶縁膜の上に1以上の他の多孔質絶縁膜を積層し、所定の膜厚の層間絶縁膜3とすることを特徴としている。層間絶縁膜3の膜厚は、例えば200nm〜250nm程度とすることが好ましい。   In the present invention, after forming an insulating film made of a low dielectric constant material including a hole forming material, the insulating film is plasma treated to remove the hole forming material, and the insulating film becomes a porous insulating film. . By repeating the above steps a plurality of times, one or more other porous insulating films are laminated on the porous insulating film to form an interlayer insulating film 3 having a predetermined thickness. The film thickness of the interlayer insulating film 3 is preferably about 200 nm to 250 nm, for example.

具体的には、空孔形成材を含む絶縁膜の薄膜を形成した後、この絶縁膜に対してプラズマ処理を行う。これにより、空孔形成材は、分解して低分子量の成分となった後に揮発して絶縁膜中から抜け出ると考えられる。その結果、薄膜の絶縁膜は、薄膜の多孔質絶縁膜となる。再び、この上に空孔形成材を含む絶縁膜の薄膜を形成した後にプラズマ処理を行うことによって、同様の多孔質絶縁膜を形成する。このようにして多孔質絶縁膜の薄膜を積層して行き、これらの膜厚の合計が所望とする層間絶縁膜の膜厚に達するまで上記の工程を繰り返す。例えば、層間絶縁膜の膜厚を600nmとする場合には、1回のシーケンスで形成する多孔質絶縁膜の膜厚を200nmとし、全部で3回のシーケンスを繰り返して行えばよい。尚、最終的に形成される層間絶縁膜の比誘電率は、2.0〜2.5程度であることが好ましい。   Specifically, after forming a thin film of an insulating film containing a hole forming material, plasma processing is performed on the insulating film. Thereby, it is considered that the pore forming material is decomposed to become a low molecular weight component and then volatilizes and escapes from the insulating film. As a result, the thin insulating film becomes a thin porous insulating film. A similar porous insulating film is formed by forming a thin film of an insulating film containing a pore forming material on the substrate and then performing plasma treatment. In this way, the thin film of the porous insulating film is laminated, and the above process is repeated until the total of these film thicknesses reaches the desired film thickness of the interlayer insulating film. For example, when the thickness of the interlayer insulating film is 600 nm, the thickness of the porous insulating film formed in one sequence is 200 nm, and the sequence may be repeated three times in total. Note that the relative dielectric constant of the finally formed interlayer insulating film is preferably about 2.0 to 2.5.

図10〜図16は、本発明による層間絶縁膜の形成工程を示す概念図である。   10 to 16 are conceptual diagrams showing the steps of forming an interlayer insulating film according to the present invention.

まず、下層配線1上に形成された拡散防止膜2の上に、空孔形成材20を含み低誘電率材料からなる第1の絶縁膜21を形成する(図10)。次に、第1の絶縁膜21に対してプラズマ処理を行い(図11)、空孔形成材20を除去する。これにより、第1の絶縁膜21中に空孔22が形成されて第1の多孔質絶縁膜23となる(図12)。   First, a first insulating film 21 made of a low dielectric constant material including a hole forming material 20 is formed on the diffusion prevention film 2 formed on the lower wiring 1 (FIG. 10). Next, plasma treatment is performed on the first insulating film 21 (FIG. 11), and the hole forming material 20 is removed. As a result, holes 22 are formed in the first insulating film 21 to form the first porous insulating film 23 (FIG. 12).

例えば、第1の絶縁膜21をプラズマCVD法により形成する場合には、第1の絶縁膜21を成膜した後、チャンバ内への原料ガスの供給および電極への高周波の印加を停止するとともに、チャンバ内の残留ガスを排気する。次に、チャンバ内にプラズマ源となるガスを供給し、電極に高周波を印加してプラズマを発生させる。プラズマ処理用のガスとしては、H(水素)ガス、He(ヘリウム)ガス、Ar(アルゴン)ガス、NO(一酸化二窒素)ガスおよびNH(アンモニア)ガスよりなる群から選ばれる少なくとも1のガスを用いることが好ましい。プラズマ処理を終えた後は、チャンバ内へのガスの供給および電極への高周波の印加を停止するとともに、チャンバ内の残留ガスを排気する。以上により、第1の多孔質絶縁膜23を形成することができる。 For example, when the first insulating film 21 is formed by the plasma CVD method, after the first insulating film 21 is formed, the supply of the source gas into the chamber and the application of the high frequency to the electrodes are stopped. The residual gas in the chamber is exhausted. Next, a gas serving as a plasma source is supplied into the chamber, and high frequency is applied to the electrodes to generate plasma. The gas for plasma treatment is selected from the group consisting of H 2 (hydrogen) gas, He (helium) gas, Ar (argon) gas, N 2 O (dinitrogen monoxide) gas, and NH 3 (ammonia) gas. It is preferable to use at least one gas. After the plasma treatment is finished, the supply of gas into the chamber and the application of high frequency to the electrodes are stopped and the residual gas in the chamber is exhausted. Thus, the first porous insulating film 23 can be formed.

第1の多孔質絶縁膜23を形成した後は、この上に、第1の絶縁膜21と同じ組成の第2の絶縁膜24を形成する(図13)。図13において、25は、第2の絶縁膜24中に含まれる空孔形成材である。次に、第2の絶縁膜24に対して上記と同様のプラズマ処理を行い、第2の絶縁膜24を第2の多孔質絶縁膜26にする(図14)。図14において、27は第2の絶縁膜24中に形成された空孔である。さらに、第2の多孔質絶縁膜26の上に、第1の絶縁膜21および第2の絶縁膜24と同じ組成の第3の絶縁膜28を形成する(図15)。図15において、29は、第3の絶縁膜28中に含まれる空孔形成材である。そして、同様にプラズマ処理を行うことによって、第3の絶縁膜28を第3の多孔質絶縁膜30にする(図16)。図16において、31は第3の絶縁膜28中に形成された空孔である。   After forming the first porous insulating film 23, a second insulating film 24 having the same composition as the first insulating film 21 is formed thereon (FIG. 13). In FIG. 13, reference numeral 25 denotes a hole forming material included in the second insulating film 24. Next, the same plasma treatment as described above is performed on the second insulating film 24 to change the second insulating film 24 into the second porous insulating film 26 (FIG. 14). In FIG. 14, 27 is a hole formed in the second insulating film 24. Further, a third insulating film 28 having the same composition as the first insulating film 21 and the second insulating film 24 is formed on the second porous insulating film 26 (FIG. 15). In FIG. 15, 29 is a hole forming material included in the third insulating film 28. Then, the third insulating film 28 is changed to the third porous insulating film 30 by performing plasma processing in the same manner (FIG. 16). In FIG. 16, 31 is a hole formed in the third insulating film 28.

以上の工程によって形成された第1の多孔質絶縁膜23、第2の多孔質絶縁膜26および第3の多孔質絶縁膜30によって、本実施の形態における層間絶縁膜3が構成される(図16)。本発明によれば、薄膜の絶縁膜に対してプラズマ処理を行うので、これらの膜中に含まれる空孔形成材の除去率を高めることができる。また、空孔形成材が絶縁膜から抜け出す際の分子構造の破壊を抑制することもできる。したがって、比誘電率が低く、機械的強度にも優れた層間絶縁膜を形成することが可能となる。   The first porous insulating film 23, the second porous insulating film 26, and the third porous insulating film 30 formed by the above steps constitute the interlayer insulating film 3 in the present embodiment (FIG. 16). According to the present invention, since the plasma treatment is performed on the thin insulating films, the removal rate of the pore forming material contained in these films can be increased. In addition, it is possible to suppress the destruction of the molecular structure when the hole forming material escapes from the insulating film. Therefore, an interlayer insulating film having a low relative dielectric constant and excellent mechanical strength can be formed.

本発明において、1回のシーケンスで形成する多孔質絶縁膜の膜厚は、絶縁膜中から十分に空孔形成材を除去することのできる膜厚によって決定される。すなわち、本発明においては、絶縁膜の膜厚によって空孔形成材の除去率を調整するので、除去率を高めるために高エネルギーのプラズマを絶縁膜に照射する必要はない。ここで、絶縁膜の膜厚が薄いほど空孔形成材の除去率は高くなるが、一方で、所望の膜厚の層間絶縁膜とするのに必要なポーラスLow−k膜の形成工程数が多くなる。したがって、実際には、層間絶縁膜の比誘電率とスループットとを比較考量することによって、1回のシーケンスで形成する多孔質絶縁膜の膜厚を適宜決定することが好ましい。   In the present invention, the film thickness of the porous insulating film formed in one sequence is determined by the film thickness that can sufficiently remove the pore forming material from the insulating film. That is, in the present invention, since the removal rate of the pore forming material is adjusted by the film thickness of the insulating film, it is not necessary to irradiate the insulating film with high-energy plasma in order to increase the removal rate. Here, the thinner the film thickness of the insulating film is, the higher the removal rate of the hole forming material is. Become more. Therefore, in practice, it is preferable to appropriately determine the thickness of the porous insulating film formed in one sequence by comparing and considering the relative dielectric constant and throughput of the interlayer insulating film.

尚、一般に、空孔形成材の除去は、プラズマ処理ではなく熱処理によって行うことも可能である。しかしながら、以下の理由により、本発明においては、熱処理ではなくプラズマ処理によって空孔形成材を除去することとする。   In general, the pore forming material can be removed by heat treatment instead of plasma treatment. However, for the following reason, in the present invention, the pore forming material is removed not by heat treatment but by plasma treatment.

まず、第1に、熱処理による場合には、熱履歴によって先に形成された膜にダメージが与えられることが懸念される。一方、プラズマ処理による場合には、プラズマエネルギーを制御することによって、下層の膜へのダメージを抑制することが可能である。   First, in the case of heat treatment, there is a concern that the previously formed film is damaged by the thermal history. On the other hand, in the case of plasma treatment, it is possible to suppress damage to the underlying film by controlling the plasma energy.

また、第2に、プラズマ処理による場合には、成膜工程とプラズマ処理工程とを同一のチャンバ内で連続して行うことができる。したがって、層間絶縁膜の形成に必要な全体の処理時間を短くすることができるとともに、異物の混入なども防ぐことができる。一方、熱処理による場合にも、空孔形成材を除去する工程を成膜チャンバと同一のチャンバ内で行うことは可能である。しかしながら、熱処理により空孔形成材を除去するには、温度を400℃以上(通常は、450℃で数分間)の高温にする必要がある。ここで、絶縁膜の成膜温度は300℃〜350℃程度であり、空孔形成材の除去に必要な温度との間に大きな温度差がある。このため、所定の温度に達するまでに相当の待ち時間が必要となり、全体のスループットの低下に繋がる。さらに、半導体装置の信頼性向上などの観点から、製造工程で加えられる熱処理の温度を低くしたいとの要望がある。400℃以上の温度は製造工程全体で見ても高温に属するため、このような温度で加熱処理を行うことは好ましいとはいえない。   Second, in the case of plasma processing, the film forming step and the plasma processing step can be continuously performed in the same chamber. Therefore, the entire processing time required for forming the interlayer insulating film can be shortened, and contamination of foreign matters can be prevented. On the other hand, also in the case of heat treatment, it is possible to perform the step of removing the pore forming material in the same chamber as the film forming chamber. However, in order to remove the pore forming material by heat treatment, the temperature needs to be 400 ° C. or higher (usually 450 ° C. for several minutes). Here, the film formation temperature of the insulating film is about 300 ° C. to 350 ° C., and there is a large temperature difference from the temperature necessary for removing the pore forming material. For this reason, a considerable waiting time is required until the predetermined temperature is reached, leading to a decrease in overall throughput. Furthermore, from the viewpoint of improving the reliability of semiconductor devices, there is a desire to lower the temperature of heat treatment applied in the manufacturing process. Since the temperature of 400 ° C. or higher belongs to a high temperature even in the whole manufacturing process, it is not preferable to perform the heat treatment at such a temperature.

本実施の形態において、層間絶縁膜3を構成する絶縁膜としては、SiO膜よりも低い比誘電率を有する絶縁膜を用いる。具体的には、水素原子、メチル基(−CH)などのアルキル基またはアリル基(CH=CHCH−)と結合したシリコン原子を有するSiO膜が挙げられる。例えば、MSQ(メチルシルセスキオキサン)膜またはHSQ(水素化シルセスキオキサン)膜などが好適である。これらの膜は、プラズマCVD法または塗布法によって形成することができる。但し、成膜工程とプラズマ処理工程とを同一のチャンバ内で連続して行うことができる観点から、プラズマCVD法によって形成することが好ましい。 In the present embodiment, as the insulating film constituting the interlayer insulating film 3, an insulating film having a relative dielectric constant lower than that of the SiO 2 film is used. Specifically, a SiO 2 film having a silicon atom bonded to a hydrogen atom, an alkyl group such as a methyl group (—CH 3 ), or an allyl group (CH 2 ═CHCH 2 —) can be given. For example, an MSQ (methyl silsesquioxane) film or an HSQ (hydrogen silsesquioxane) film is suitable. These films can be formed by a plasma CVD method or a coating method. However, it is preferable to form by the plasma CVD method from the viewpoint that the film forming process and the plasma treatment process can be continuously performed in the same chamber.

空孔形成材としては、シリコン原子に結合したアルキル基やアリル基よりも熱分解温度の低いものを用いる。空孔形成材の熱分解温度がこれらの有機基の熱分解温度よりも高い場合には、空孔形成材が分解する前に絶縁膜が分解することになるので好ましくない。   As the pore forming material, a material having a lower thermal decomposition temperature than an alkyl group or an allyl group bonded to a silicon atom is used. When the thermal decomposition temperature of the pore forming material is higher than the thermal decomposition temperature of these organic groups, the insulating film is decomposed before the pore forming material is decomposed, which is not preferable.

層間絶縁膜3を形成した後は、層間絶縁膜3の上にキャップ膜5を形成する(図2)。キャップ膜5は、ダマシン法によるCMP(Chemichal Mechanical Polishing,化学的機械研磨)工程で層間絶縁膜3の保護膜として働く。キャップ膜5としては、例えば、SiO(二酸化シリコン)膜、SiC(炭化シリコン)膜またはSiN(窒化シリコン)膜などを用いることができる。これらの膜は、例えば、CVD(Chemical Vapor Deposition)法によって形成することができる。 After the interlayer insulating film 3 is formed, a cap film 5 is formed on the interlayer insulating film 3 (FIG. 2). The cap film 5 functions as a protective film for the interlayer insulating film 3 in a CMP (Chemical Mechanical Polishing) process by a damascene method. As the cap film 5, for example, a SiO 2 (silicon dioxide) film, a SiC (silicon carbide) film, a SiN (silicon nitride) film, or the like can be used. These films can be formed by, for example, a CVD (Chemical Vapor Deposition) method.

尚、層間絶縁膜3とキャップ膜5との密着性向上を図るために、層間絶縁膜3に対して表面処理を行うことにより改質層(図示せず)を形成してからキャップ膜4を形成してもよい。また、層間絶縁膜3とキャップ膜5との間に中間膜(図示せず)を設けることによって、これらの膜の密着性向上を図ってもよい。   In order to improve the adhesion between the interlayer insulating film 3 and the cap film 5, a surface treatment is performed on the interlayer insulating film 3 to form a modified layer (not shown), and then the cap film 4 is formed. It may be formed. Further, by providing an intermediate film (not shown) between the interlayer insulating film 3 and the cap film 5, the adhesion of these films may be improved.

キャップ膜5を形成した後は、所定のパターンを有するレジスト膜6を形成する(図3)。具体的には、キャップ膜5の全面にフォトレジスト(図示せず)を塗布し、所定のパターンを有するマスクを介して露光した後に現像する。これにより、フォトレジストをパターニングしてレジスト膜6を形成することができる。   After the cap film 5 is formed, a resist film 6 having a predetermined pattern is formed (FIG. 3). Specifically, a photoresist (not shown) is applied to the entire surface of the cap film 5, exposed through a mask having a predetermined pattern, and developed. Thus, the resist film 6 can be formed by patterning the photoresist.

露光光の種類は、半導体装置のデザイン・ルールに応じて適宜選択することができる。例えば、0.25μm〜0.13μmのデザイン・ルールではKrF(フッ化クリプトン)エキシマレーザ(波長:248nm)が、90nmのデザイン・ルールではArF(フッ化アルゴン)エキシマレーザ(波長:193nm)が、65nm以下のデザイン・ルールではFレーザ(波長:157nm)が、それぞれ露光装置の光源として用いられる。 The type of exposure light can be appropriately selected according to the design rules of the semiconductor device. For example, KrF (krypton fluoride) excimer laser (wavelength: 248 nm) is used in the design rule of 0.25 μm to 0.13 μm, and ArF (argon fluoride) excimer laser (wavelength: 193 nm) is used in the design rule of 90 nm. In the design rule of 65 nm or less, an F 2 laser (wavelength: 157 nm) is used as the light source of the exposure apparatus.

尚、本実施の形態においては、キャップ膜5の上に反射防止膜(図示せず)を設けてからレジスト膜6を形成してもよい。反射防止膜は、フォトレジストをパターニングする際に、フォトレジストを透過した露光光を吸収することによって、フォトレジストと反射防止膜との界面における露光光の反射をなくす役割を果たす。反射防止膜としては有機物を主成分とする膜を用いることができ、例えば、スピンコート法などによって形成することができる。   In the present embodiment, the resist film 6 may be formed after providing an antireflection film (not shown) on the cap film 5. The antireflection film serves to eliminate reflection of exposure light at the interface between the photoresist and the antireflection film by absorbing exposure light transmitted through the photoresist when patterning the photoresist. As the antireflection film, a film containing an organic substance as a main component can be used. For example, the antireflection film can be formed by a spin coating method or the like.

次に、キャップ膜5、層間絶縁膜3および拡散防止膜2をドライエッチングして、下層配線1に至る配線溝を形成する。   Next, the cap film 5, the interlayer insulating film 3 and the diffusion prevention film 2 are dry-etched to form a wiring groove reaching the lower layer wiring 1.

例えば、拡散防止膜2がエッチングストッパ膜としても働く場合には、レジスト膜6をマスクとし、キャップ膜5および層間絶縁膜3に対して第1のドライエッチングを行う。このエッチングは、拡散防止膜2に達した時点で自動的に終了し、拡散防止膜2に至る開口部7が形成される(図4)。この場合、拡散防止膜2としては、層間絶縁膜3とのエッチング選択比の大きい材料を用いる。   For example, when the diffusion prevention film 2 also functions as an etching stopper film, the first dry etching is performed on the cap film 5 and the interlayer insulating film 3 using the resist film 6 as a mask. This etching is automatically terminated when the diffusion prevention film 2 is reached, and an opening 7 reaching the diffusion prevention film 2 is formed (FIG. 4). In this case, a material having a high etching selection ratio with the interlayer insulating film 3 is used as the diffusion preventing film 2.

次に、不要となったレジスト膜6を除去するためにアッシングを行う。アッシングは酸素を用いて行うこともできるが、層間絶縁膜3にダメージを与えないためには、水素を含む還元性雰囲気下でのアッシングが好ましい。   Next, ashing is performed to remove the resist film 6 that is no longer needed. Although ashing can be performed using oxygen, ashing in a reducing atmosphere containing hydrogen is preferable in order not to damage the interlayer insulating film 3.

アッシングを終えた後は、さらに洗浄処理を行うことによってアッシング残渣を除去する。これにより、図5に示す構造が得られる。   After the ashing is completed, the ashing residue is removed by performing a cleaning process. Thereby, the structure shown in FIG. 5 is obtained.

アッシングおよび洗浄処理によってレジスト膜6を除去した後は、キャップ膜5をハードマスクとし、エッチングストッパ膜2に対して第2のドライエッチングを行う。これにより、下層配線1に至る配線溝8を形成することができる(図6)。   After removing the resist film 6 by ashing and cleaning treatment, second dry etching is performed on the etching stopper film 2 using the cap film 5 as a hard mask. As a result, a wiring groove 8 reaching the lower layer wiring 1 can be formed (FIG. 6).

尚、本実施の形態においては、レジスト膜6をマスクとしたキャップ膜5、層間絶縁膜3およびエッチングストッパ膜2のドライエッチングによって配線溝8を形成してもよい。この場合、キャップ膜5はハードマスクとして機能する必要はない。ドライエッチング終了後に、不要となったレジスト膜6をアッシングにより除去することによって、図6に示す構造を得ることができる。   In the present embodiment, the wiring groove 8 may be formed by dry etching of the cap film 5, the interlayer insulating film 3 and the etching stopper film 2 using the resist film 6 as a mask. In this case, the cap film 5 does not need to function as a hard mask. After the dry etching is completed, the resist film 6 that is no longer necessary is removed by ashing, whereby the structure shown in FIG. 6 can be obtained.

配線溝8を形成した後は、洗浄処理によってエッチング残渣を除去する。その後、メッキ法およびCMP法を用いて配線溝8の内部に銅配線層を埋め込み、下層配線1に電気的に接続する溝配線を形成する。   After the wiring trench 8 is formed, the etching residue is removed by a cleaning process. Thereafter, a copper wiring layer is embedded in the wiring groove 8 using a plating method and a CMP method, and a groove wiring electrically connected to the lower layer wiring 1 is formed.

まず、配線溝8を含む全面にバリアメタル膜9を形成した後、シードCu(銅)膜10を形成する(図7)。これらの膜は、スパッタリング法によって形成することができる。   First, after a barrier metal film 9 is formed on the entire surface including the wiring trench 8, a seed Cu (copper) film 10 is formed (FIG. 7). These films can be formed by a sputtering method.

バリアメタル膜9としては、例えば、Ta(タンタル)膜、TaN(窒化タンタル)膜、W(タングステン)膜、WN(窒化タングステン)膜、Ti(チタン)膜またはTiN(窒化チタン)膜などを用いることができる。   As the barrier metal film 9, for example, a Ta (tantalum) film, a TaN (tantalum nitride) film, a W (tungsten) film, a WN (tungsten nitride) film, a Ti (titanium) film, or a TiN (titanium nitride) film is used. be able to.

シードCu膜10を形成した後は、メッキ法によってCu層11を形成する(図8)。次に、加熱処理を行い、銅を粒成長させるとともに配線溝8の内部にCuを均一に充填させる。その後、CMP法によって表面を平坦化し、配線溝8の内部を除いてCu層11、シードCu膜10およびバリアメタル膜9を除去する。   After the seed Cu film 10 is formed, a Cu layer 11 is formed by a plating method (FIG. 8). Next, heat treatment is performed to grow copper grains and to uniformly fill the inside of the wiring groove 8 with Cu. Thereafter, the surface is planarized by CMP, and the Cu layer 11, the seed Cu film 10 and the barrier metal film 9 are removed except for the inside of the wiring trench 8.

以上の工程によって、下層配線1に電気的に接続する溝配線12を形成することができる(図9)。その後、溝配線12に電気的に接続するビアプラグを形成した後、同様の工程を繰り返すことによって多層配線構造を形成することができる。   Through the above steps, the trench wiring 12 that is electrically connected to the lower layer wiring 1 can be formed (FIG. 9). Thereafter, after forming a via plug electrically connected to the trench wiring 12, a multilayer wiring structure can be formed by repeating the same process.

本発明によれば、比誘電率が低くて機械的強度にも優れた層間絶縁膜を形成することができるので、これと銅配線とを組み合わせることによって、信号遅延が小さく、信頼性にも優れた半導体装置を製造することが可能となる。   According to the present invention, an interlayer insulating film having a low relative dielectric constant and excellent mechanical strength can be formed. By combining this with a copper wiring, signal delay is small and reliability is excellent. It is possible to manufacture a semiconductor device.

尚、本実施の形態においては、シングルダマシンプロセスの例について説明したが、本発明はこれに限られるものではない。本発明は、デュアルダマシンプロセスにも同様に適用することが可能である。   In the present embodiment, an example of a single damascene process has been described, but the present invention is not limited to this. The present invention can be similarly applied to a dual damascene process.

本発明による半導体装置の製造方法を示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device by this invention. 本発明による半導体装置の製造方法を示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device by this invention. 本発明による半導体装置の製造方法を示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device by this invention. 本発明による半導体装置の製造方法を示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device by this invention. 本発明による半導体装置の製造方法を示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device by this invention. 本発明による半導体装置の製造方法を示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device by this invention. 本発明による半導体装置の製造方法を示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device by this invention. 本発明による半導体装置の製造方法を示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device by this invention. 本発明による半導体装置の製造方法を示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device by this invention. 本発明による層間絶縁膜の形成方法を示す断面図である。It is sectional drawing which shows the formation method of the interlayer insulation film by this invention. 本発明による層間絶縁膜の形成方法を示す断面図である。It is sectional drawing which shows the formation method of the interlayer insulation film by this invention. 本発明による層間絶縁膜の形成方法を示す断面図である。It is sectional drawing which shows the formation method of the interlayer insulation film by this invention. 本発明による層間絶縁膜の形成方法を示す断面図である。It is sectional drawing which shows the formation method of the interlayer insulation film by this invention. 本発明による層間絶縁膜の形成方法を示す断面図である。It is sectional drawing which shows the formation method of the interlayer insulation film by this invention. 本発明による層間絶縁膜の形成方法を示す断面図である。It is sectional drawing which shows the formation method of the interlayer insulation film by this invention. 本発明による層間絶縁膜の形成方法を示す断面図である。It is sectional drawing which shows the formation method of the interlayer insulation film by this invention.

符号の説明Explanation of symbols

1 下層配線
2 エッチングストッパ膜
3 層間絶縁膜
4,22,27,31 空孔
5 キャップ膜
6 レジスト膜
7 開口部
8 配線溝
9 バリアメタル膜
10 シードCu膜
11 Cu層
12 溝配線
20,25,29 空孔形成材
21 第1の絶縁膜
23 第1の多孔質絶縁膜
24 第2の絶縁膜
26 第2の多孔質絶縁膜
28 第3の絶縁膜
30 第3の多孔質絶縁膜
DESCRIPTION OF SYMBOLS 1 Lower layer wiring 2 Etching stopper film 3 Interlayer insulating film 4, 22, 27, 31 Void 5 Cap film 6 Resist film 7 Opening 8 Wiring groove 9 Barrier metal film 10 Seed Cu film 11 Cu layer 12 Groove wiring 20, 25, 29 pore forming material 21 first insulating film 23 first porous insulating film 24 second insulating film 26 second porous insulating film 28 third insulating film 30 third porous insulating film

Claims (9)

半導体基材上に、空孔形成材を含み低誘電率材料からなる絶縁膜を形成する工程と、
前記絶縁膜をプラズマ処理して前記空孔形成材を除去し、前記絶縁膜を多孔質絶縁膜にする工程と、
前記絶縁膜を形成する工程および前記プラズマ処理する工程を繰り返して行うことにより、前記多孔質絶縁膜の上に1以上の他の多孔質絶縁膜を積層して所定の膜厚の層間絶縁膜にする工程とを有することを特徴とする層間絶縁膜の形成方法。
Forming an insulating film made of a low dielectric constant material including a pore forming material on a semiconductor substrate;
Plasma-treating the insulating film to remove the pore-forming material and making the insulating film a porous insulating film;
By repeatedly performing the step of forming the insulating film and the step of performing the plasma treatment, one or more other porous insulating films are laminated on the porous insulating film to form an interlayer insulating film having a predetermined thickness. A method for forming an interlayer insulating film.
前記絶縁膜はプラズマCVD法により形成され、
前記プラズマ処理は、前記絶縁膜を形成するチャンバと同一のチャンバ内で、前記絶縁膜の形成に連続して行われる請求項1に記載の層間絶縁膜の形成方法。
The insulating film is formed by a plasma CVD method,
The method for forming an interlayer insulating film according to claim 1, wherein the plasma treatment is performed continuously with the formation of the insulating film in the same chamber as the chamber for forming the insulating film.
前記プラズマ処理は、Hガス、Heガス、Arガス、NOガスおよびNHガスよりなる群から選ばれる少なくとも1のガスを用いて行われる請求項1または2に記載の層間絶縁膜の形成方法。 3. The interlayer insulating film according to claim 1, wherein the plasma treatment is performed using at least one gas selected from the group consisting of H 2 gas, He gas, Ar gas, N 2 O gas, and NH 3 gas. Forming method. 前記絶縁膜は、水素原子、アルキル基およびアリル基よりなる群から選ばれる少なくとも1の原子または有機基と結合したシリコン原子を有するSiO膜である請求項1〜3のいずれか1に記載の層間絶縁膜の形成方法。 The insulating layer, a hydrogen atom, according to any one of claims 1 to 3 is a SiO 2 film having a silicon atom bonded to at least one atom or an organic group selected from the group consisting of alkyl groups and aryl groups A method for forming an interlayer insulating film. 前記絶縁膜はMSQ膜およびHSQ膜のいずれか一方である請求項4に記載の層間絶縁膜の形成方法。   The method for forming an interlayer insulating film according to claim 4, wherein the insulating film is one of an MSQ film and an HSQ film. 前記空孔形成材は、前記シリコン原子に結合した有機基よりも低い熱分解温度を有する請求項4または5に記載の層間絶縁膜の形成方法。   6. The method for forming an interlayer insulating film according to claim 4, wherein the pore forming material has a lower thermal decomposition temperature than an organic group bonded to the silicon atom. 多層配線構造を有する半導体装置の製造方法において、
半導体基板上に形成された下層配線の上に拡散防止膜を形成する工程と、
前記拡散防止膜の上に、前記請求項1〜6のいずれか1に記載の方法を用いて層間絶縁膜を形成する工程と、
前記層間絶縁膜の上にキャップ膜を形成する工程と、
前記キャップ膜、前記層間絶縁膜および前記拡散防止膜をドライエッチングして、前記下層配線に至る配線溝を形成する工程と、
前記配線溝に銅配線層を埋め込み、前記下層配線に電気的に接続する溝配線を形成する工程とを有することを特徴とする半導体装置の製造方法。
In a method for manufacturing a semiconductor device having a multilayer wiring structure,
Forming a diffusion barrier film on the lower wiring formed on the semiconductor substrate;
Forming an interlayer insulating film on the diffusion barrier film using the method according to any one of claims 1 to 6;
Forming a cap film on the interlayer insulating film;
Dry etching the cap film, the interlayer insulating film and the diffusion barrier film to form a wiring groove reaching the lower layer wiring;
A method of manufacturing a semiconductor device comprising: embedding a copper wiring layer in the wiring groove and forming a groove wiring electrically connected to the lower layer wiring.
前記配線溝を形成する工程は、前記キャップ膜の上に所定のパターンを有するレジスト膜を形成する工程と、
前記レジスト膜をマスクとして、前記キャップ膜および前記層間絶縁膜に第1のドライエッチングを行い、前記拡散防止膜に至る開口部を形成する工程と、
前記レジスト膜を除去する工程と、
前記キャップ膜をハードマスクとして前記拡散防止膜に第2のドライエッチングを行い、前記下層配線に至る配線溝を形成する工程とを有する請求項7に記載の半導体装置の製造方法。
Forming the wiring groove includes forming a resist film having a predetermined pattern on the cap film;
Performing a first dry etching on the cap film and the interlayer insulating film using the resist film as a mask to form an opening reaching the diffusion prevention film;
Removing the resist film;
The method for manufacturing a semiconductor device according to claim 7, further comprising: performing a second dry etching on the diffusion prevention film using the cap film as a hard mask to form a wiring groove reaching the lower layer wiring.
前記配線溝を形成する工程は、前記キャップ膜の上に所定のパターンを有するレジスト膜を形成する工程と、
前記レジスト膜をマスクとして、前記キャップ膜、前記層間絶縁膜および前記拡散防止膜をドライエッチングし、前記下層配線に至る配線溝を形成する工程と、
前記レジスト膜を除去する工程とを有する請求項7に記載の半導体装置の製造方法。
Forming the wiring groove includes forming a resist film having a predetermined pattern on the cap film;
Using the resist film as a mask, dry etching the cap film, the interlayer insulating film, and the diffusion prevention film to form a wiring groove that reaches the lower layer wiring;
The method of manufacturing a semiconductor device according to claim 7, further comprising a step of removing the resist film.
JP2004030657A 2004-02-06 2004-02-06 Method for forming interlayer insulating film and method for manufacturing semiconductor device Pending JP2005223195A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004030657A JP2005223195A (en) 2004-02-06 2004-02-06 Method for forming interlayer insulating film and method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004030657A JP2005223195A (en) 2004-02-06 2004-02-06 Method for forming interlayer insulating film and method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2005223195A true JP2005223195A (en) 2005-08-18

Family

ID=34998574

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004030657A Pending JP2005223195A (en) 2004-02-06 2004-02-06 Method for forming interlayer insulating film and method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2005223195A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007073914A (en) * 2005-09-09 2007-03-22 Rohm Co Ltd Porous thin film, manufacturing method therefor, and semiconductor device using it
JP2007266462A (en) * 2006-03-29 2007-10-11 National Institute Of Advanced Industrial & Technology Silica thin film and manufacturing method therefor
JP2008193120A (en) * 2008-04-23 2008-08-21 Fujitsu Ltd Insulating-film forming method
JP2008263105A (en) * 2007-04-13 2008-10-30 Consortium For Advanced Semiconductor Materials & Related Technologies Semiconductor device, method for manufacturing the same device, and device for manufacturing the same device
CN102332399A (en) * 2011-09-28 2012-01-25 上海华力微电子有限公司 Chemically mechanical polishing method of ultra-low dielectric material
CN102751188A (en) * 2011-11-10 2012-10-24 上海华力微电子有限公司 Chemical machinery polishing method for ultralow dielectric material
US8564136B2 (en) 2009-01-20 2013-10-22 Panasonic Corporation Semiconductor device and method for fabricating the same

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007073914A (en) * 2005-09-09 2007-03-22 Rohm Co Ltd Porous thin film, manufacturing method therefor, and semiconductor device using it
JP4657859B2 (en) * 2005-09-09 2011-03-23 ローム株式会社 Porous thin film manufacturing method, porous thin film and semiconductor device using the same
JP2007266462A (en) * 2006-03-29 2007-10-11 National Institute Of Advanced Industrial & Technology Silica thin film and manufacturing method therefor
JP4631035B2 (en) * 2006-03-29 2011-02-16 独立行政法人産業技術総合研究所 Silica thin film and method for producing the same
JP2008263105A (en) * 2007-04-13 2008-10-30 Consortium For Advanced Semiconductor Materials & Related Technologies Semiconductor device, method for manufacturing the same device, and device for manufacturing the same device
JP2008193120A (en) * 2008-04-23 2008-08-21 Fujitsu Ltd Insulating-film forming method
US8564136B2 (en) 2009-01-20 2013-10-22 Panasonic Corporation Semiconductor device and method for fabricating the same
CN102332399A (en) * 2011-09-28 2012-01-25 上海华力微电子有限公司 Chemically mechanical polishing method of ultra-low dielectric material
CN102751188A (en) * 2011-11-10 2012-10-24 上海华力微电子有限公司 Chemical machinery polishing method for ultralow dielectric material
CN102751188B (en) * 2011-11-10 2015-05-20 上海华力微电子有限公司 Chemical machinery polishing method for ultralow dielectric material

Similar Documents

Publication Publication Date Title
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
US6479391B2 (en) Method for making a dual damascene interconnect using a multilayer hard mask
JP4492947B2 (en) Manufacturing method of semiconductor device
KR101093915B1 (en) Method of Manufacturing Semiconductor Device
US20090075474A1 (en) Methods for forming dual damascene wiring using porogen containing sacrificial via filler material
JP4194508B2 (en) Manufacturing method of semiconductor device
TW200530422A (en) Structure comprising amorphous carbon film and method of forming thereof
JP2009519587A (en) Integration of pore sealing liners into dual damascene methods and devices
US20080251929A1 (en) Semiconductor Device and Semiconductor Device Manufacturing Method
US20110147882A1 (en) Semiconductor device and method for fabricating the same
JP4419025B2 (en) Manufacturing method of semiconductor device
JP2004146798A (en) Semiconductor device and manufacturing method therefor
US6900123B2 (en) BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
JP2005223195A (en) Method for forming interlayer insulating film and method for manufacturing semiconductor device
JP2003273216A (en) Semiconductor device and its manufacturing method
JP4523351B2 (en) Manufacturing method of semiconductor device
JP2005217371A (en) Semiconductor device and method of manufacturing the same
JP2005142473A (en) Method of manufacturing semiconductor device
JP5200436B2 (en) Manufacturing method of semiconductor device
JP2006319116A (en) Semiconductor device and its manufacturing method
JP2005340460A (en) Process for forming semiconductor device
JP4578816B2 (en) Semiconductor device and manufacturing method thereof
JP4447433B2 (en) Semiconductor device manufacturing method and semiconductor device
JP2005340601A (en) Process for fabricating semiconductor device and semiconductor device
JP2005203568A (en) Fabrication process of semiconductor device, and semiconductor device