JP2008546914A - 非対称配位子源、低対称性金属含有化合物、およびそれらを含むシステムと方法 - Google Patents

非対称配位子源、低対称性金属含有化合物、およびそれらを含むシステムと方法 Download PDF

Info

Publication number
JP2008546914A
JP2008546914A JP2008519488A JP2008519488A JP2008546914A JP 2008546914 A JP2008546914 A JP 2008546914A JP 2008519488 A JP2008519488 A JP 2008519488A JP 2008519488 A JP2008519488 A JP 2008519488A JP 2008546914 A JP2008546914 A JP 2008546914A
Authority
JP
Japan
Prior art keywords
group
structural formula
metal
ligand
compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008519488A
Other languages
English (en)
Other versions
JP2008546914A5 (ja
JP5181292B2 (ja
Inventor
ミルワード,ダン
ウルンブロック,ステファン
クイック,ティモシー,エイ.
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2008546914A publication Critical patent/JP2008546914A/ja
Publication of JP2008546914A5 publication Critical patent/JP2008546914A5/ja
Application granted granted Critical
Publication of JP5181292B2 publication Critical patent/JP5181292B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F3/00Compounds containing elements of Groups 2 or 12 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C251/00Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
    • C07C251/02Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups
    • C07C251/04Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C251/10Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of an unsaturated carbon skeleton
    • C07C251/12Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of an unsaturated carbon skeleton being acyclic
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/003Compounds containing elements of Groups 3 or 13 of the Periodic Table without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本発明は、一種以上のβ‐ジケチミナート配位子を含む金属含有化合物、およびそれを生成する方法と使用する方法を提供する。いくつかの実施形態では、金属含有化合物は非対称のβ‐ジケチミナート配位子を含むホモレプティックな錯体である。他の実施形態では、金属含有化合物は一種以上のβ‐ジケチミナート配位子を含むヘテロレプティックな錯体である。化合物は蒸着法を用いて金属含有層を堆積させるために利用できる。化合物を含む蒸着システムも提供される。β‐ジケチミナート配位子の源も提供される。
【選択図】図1

Description

本出願は、2005年6月28日出願の米国特許出願No. 11/169,082の優先権を主張し、その全体を本明細書に参照として引用する。
集積回路装置の縮小は、高誘電率の材料をコンデンサとゲートに組み込む必要性を生じてきた。現在の技術の最小サイズは、標準的な誘電性材料の使用によって実質的に制約されるため、新たな高誘電率の材料と工程の探索が、より重要になってきている。アルカリ土類金属を含む誘電性材料は、従来の誘電性材料と比較して、静電容量において著しい利点を提供できる。例えば、ペロブスカイト材料SrTiO3は最大で500のバルク誘電率を有することが開示されている。
あいにく、アルカリ土類金属を蒸着工程にうまく組み込むことは難しいことがわかっている。例えば、アルカリ土類金属ジケトナートの原子層堆積(ALD)は開示されているが、これらの金属ジケトナートは揮発性が低く、液体注入システムでの使用のために有機溶媒に溶解されることを通常必要とする。低い揮発性に加え、これらの金属ジケトナートは一般に反応性に乏しく、被膜を増大させるために高い基板温度と強酸化剤をしばしば必要とするが、この膜はしばしば炭素で汚染される。置換された、もしくは置換されていないシクロペンタジエニル配位子を含むものなど、他のアルカリ土類金属源は、熱安定性が低いだけでなく揮発性に乏しく、基板表面上で望ましくない熱分解を引き起こす。
高誘電性材料を組み込む新たな源と方法が、新型の集積回路デバイスのために求められている。
本発明は、一種以上のβ‐ジケチミナート配位子を含む金属含有化合物(すなわち金属含有錯体)、および生成方法と使用方法、およびそれを含む蒸着システムとを提供する。現在開示されている金属含有化合物は、対称配位子を持つ既知のホモレプティック錯体と比較して低下した対称性を有する。低対称性は、非対称配位子そのもの、異なる種類の配位子の配位、あるいはその両方に由来し得る。低対称性は蒸着法における使用のために好ましい特性をもたらす(例えば、より高い蒸気圧、より低い融点、およびより低い昇華点のうちの一つ以上)。
或る態様では、本発明は蒸着工程を用いて基板上(例えば半導体基板またはアセンブリ基板)に金属含有層を形成する方法を提供する。この方法は半導体構造の製造に役立つ。この方法は、基板を用意することと、(構造式I)の化合物を一種以上含む気体を用意することと、
(構造式I):
ならびに、基板の一つ以上の表面上に金属含有層を形成するために、構造式Iの化合物を一種以上含む気体を基板と接触させること(および通常は、気体を基板に向けること)を含む。(構造式I)の低対称性化合物は、一種以上の非対称β‐ジケチミナート配位子を含み、ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10で、xは1からnであり、各R1、R2、R3、R4およびR5は独立に水素または有機基であり、但し以下の一つ以上が当てはまる。:R1がR5と異なるか、あるいはR2がR4と異なる。
別の態様では、本発明は蒸着工程を用いて基板(例えば半導体基板またはアセンブリ基板)上に金属含有層を形成する方法を提供する。この方法は半導体構造の製造に役立つ。この方法は、基板を用意することと、(構造式II)の化合物を一種以上含む気体を用意することと、
(構造式II):
ならびに、基板の一つ以上の表面上に金属含有層を形成するために、構造式IIの化合物を一種以上含む気体を基板と接触させること(および通常気体を基板に向けること)を含む。(構造式II)の低対称性化合物は、二種の異なる対称β‐ジケチミナート配位子を含み、ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10であり、各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基であり、ならびにR1=R5、R2=R4、R6=R10、およびR7=R9である。
別の態様では、本発明は一種以上の非対称β‐ジケチミナート配位子を持つ金属含有化合物、そのような化合物を含む前駆体組成、そのような化合物を含む蒸着システム、およびそのような化合物の生成方法を提供する。そのような金属含有化合物は、(構造式I)の化合物を含み、
(構造式I):
ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10で、ならびにxは1からnであり、ならびに各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、但し以下の一つ以上が当てはまる。:R1がR5と異なるか、あるいはR2がR4と異なる。本発明は、非対称β‐ジケチミナート配位子の源と、一種以上の非対称β‐ジケチミナート配位子を持つ金属含有化合物の生成に役立つ、同一のものを生成する方法も提供する。
別の態様では、本発明は二種の異なる対称β‐ジケチミナート配位子を持つ金属含有化合物、そのような化合物を含む前駆体組成、そのような化合物を含む蒸着システム、およびそのような化合物の生成方法を提供する。そのような金属含有化合物は、(構造式II)の化合物を含み、
(構造式II):
ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10であり、各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基であり、ならびにR1=R5、R2=R4、R6=R10、およびR7=R9である。
有利に、本発明の低対称性金属含有化合物は、蒸着法における使用のために好ましい特性(例えば、より高い蒸気圧、より低い融点、およびより低い昇華点のうちの一つ以上)につながる可能性のある、非対称の分子を含む。
<定義>
本明細書中では、以下の種類の構造式は、
金属が配位した非局在化電子密度を持つペンタジエニル基類の配位子(例えばβ‐ジケチミナート配位子)をあらわすために用いられる。配位子は、一つ、二つ、三つ、四つ、および/または五つの原子を介して金属に配位され得る(すなわちη1‐、η2‐、η3‐、η4‐、および/またはη5‐配位形式)。
本明細書中では、用語“有機基”は、脂肪族基、環状基、もしくは脂肪族基と環状基の組み合わせ(例えばアルカリル基およびアラルキル基)として分類される炭化水素基を意味するよう、本発明の目的のために用いられる。本発明との関連において、本発明の金属含有化合物に適切な有機基は、蒸着技術を用いた金属酸化物層の形成を妨げないようなものである。本発明との関連において、用語“脂肪族基”は飽和または不飽和の、直鎖または分岐鎖炭化水素基を意味する。この用語は、例えばアルキル基、アルケニル基、およびアルキニル基を含むように用いられる。用語“アルキル基”は、飽和直鎖または分岐鎖一価炭化水素基を意味し、例えばメチル基、エチル基、n-プロピル基、イソプロピル基、tert-ブチル基、アミル基、ヘプチル基などを含む。用語“アルケニル基”は、一種以上のオレフィン含有(olefinically)不飽和基(すなわち炭素‐炭素二重結合)を持つ、不飽和直鎖または分岐一価炭化水素基(ビニル基など)を意味する。用語“アルキニル基”は、一つ以上の炭素‐炭素三重結合を持つ、不飽和直鎖または分岐鎖一価炭化水素基を意味する。用語“環状基”は、脂環式基、芳香族基、または複素環基として分類される閉鎖炭化水素環基を意味する。用語“脂環式基”は、脂肪族基の特性に類似している特性を持つ環状炭化水素を意味する。用語“芳香族基”または“アリール基”は、単環芳香族炭化水素基または多環(polynuclear)芳香族炭化水素基を意味する。用語“複素環基”は、環内の一つ以上の原子が炭素以外の元素(例えば窒素、酸素、硫黄など)である、閉鎖炭化水素環基を意味する。
本明細書全体で用いられる或る専門用語の考察および詳述を簡略化する手段として、用語“基”("group")および“部分”("moiety")は、置換を許す、あるいは置換され得る化学種と、置換を許さない、あるいは置換されないかもしれない化学種とを区別するために用いられる。従って、用語“基”が化学置換基をあらわすために用いられるときは、記述された化学物質は、非置換基と、非過酸化O、N、S、Si、またはF原子を例えばカルボニル基または他の従来の置換基と同様に鎖の中に持つ基、とを含む。用語“部分”が化学化合物または置換基をあらわすために用いられる場合、ただ一つの非置換化学物質が含まれることを意味する。例えば、語句“アルキル基”は、純粋な開鎖飽和炭化水素アルキル置換基(メチル基、エチル基、プロピル基、tert-ブチル基など)だけでなく、さらに当該技術分野で周知の置換基を持つアルキル置換基(ヒドロキシル基、アルコキシ基、アルキルスルホニル基、ハロゲン原子、シアノ基、ニトロ基、アミノ基、カルボキシル基など)を含むアルキル置換基も指す。従って、“アルキル基”はエーテル基、ハロアルキル基、ニトロアルキル基、カルボキシアルキル基、ヒドロキシアルキル基、スルホアルキル基などを含む。一方、語句“アルキル部分”は、純粋な開鎖飽和炭化水素アルキル置換基(メチル基、エチル基、プロピル基、tert-ブチル基など)のみを含むことに限定される。
本明細書中では、“金属含有”とは、全て金属から成るか、あるいは金属に加えて他の構成成分を含み得るような材料、通常化合物または層をあらわすために用いられる。典型的な金属含有化合物は、金属、金属配位子錯体、金属塩、有機金属化合物、およびそれらの組み合わせを含むが、これらに限定されない。典型的な金属含有層は、金属、金属酸化物、金属ケイ酸塩、およびそれらの組み合わせを含むが、これらに限定されない。
本明細書中では、“a”、“an”、“the”および“一つ以上(at least one)”は互換可能であり、一つまたは一つ以上を意味する。
本明細書中では、“including”または“containing”の同義語である用語“含む(comprising)”は、包含的で、制限のないものであり、列挙されていない追加の要素または方法ステップを除外するものではない。
本明細書中では、用語“堆積工程”および“蒸着工程”は、金属含有層が、基板(例えばドープポリシリコンウェハ)の一つ以上の表面上に、一種以上の金属含有化合物を含む気化した前駆体組成(一種または複数)から形成される工程をあらわす。特に、一種以上の金属含有化合物は気化され、さらに堆積室に配置された基板(例えば半導体基板またはアセンブリ基板)の一つ以上の表面に向けられるか、および/または接触させられる。通常基板は加熱されている。これらの金属含有化合物は、不揮発性の薄く均一な金属含有層を基板の表面(一つまたは複数)上に形成する(例えば反応または分解によって)。本発明の目的のために、用語“蒸着工程”は、化学蒸着工程(パルス化学蒸着工程を含む)と原子層堆積工程の両方を含むことを意味する。
本明細書中では、“化学蒸着工程”(CVD)は、反応成分を分離する手間をかけずに、気化された金属含有化合物(および用いられる任意の反応気体)から、所望の層が基板上に堆積室内で堆積される蒸着工程をあらわす。前駆体組成と任意の反応気体の実質的な同時使用を含む“単純”CVD工程とは対照的に、“パルス”CVDはこれらの材料を堆積室内に交互にパルス化するが、原子層堆積またはALD(下記でより詳細に述べる)で通常なされるように、前駆体と反応気体流との混合を厳密には回避しない。
本明細書中では、用語“原子層堆積”(ALD)は、堆積サイクルが、好ましくは複数の連続堆積サイクルであり、処理室(すなわち堆積室)で行われる蒸着工程をあらわす。通常、各サイクルの間に前駆体は堆積面(例えばアセンブリ基板表面、または前のALDサイクルからの材料など、前もって堆積された支持構造表面)に化学吸着され、追加の前駆体と容易に反応しない(すなわち自己制限的反応)単分子層または副単分子層(sub-monolayer)を形成する。従って必要であれば、化学吸着した前駆体を堆積面上の所望材料へ変換する際に使用するために、反応物質(例えば別の前駆体または反応気体)を処理室に続けて導入することもある。通常、この反応物質は前駆体とのさらなる反応が可能である。さらに、各サイクルの間にパージ(purging)ステップを利用して、処理室から過剰前駆体を除去すること、ならびに/あるいは、過剰反応物質および/または反応副生成物を、化学吸着された前駆体の変換の後に処理室から除去することもある。さらに、本明細書中では、用語“原子層堆積”は、“原子層化学蒸着”、“原子層エピタキシー”(ALE)(Ackermanの米国特許No. 5,256,244を参照)、分子線エピタキシー(MBE)、ガスソースMBE、または有機金属MBE、ならびに、前駆体組成(一種または複数)、反応気体、およびパージガス(例えば不活性搬送ガス)の交互パルスを用いて行われる際の化学線エピタキシーなどの、関連用語によって指定される工程を含むことも意味する。
1サイクルの化学蒸着(CVD)工程に比べて、より長い持続時間の複数サイクルALD工程は、自己制限的な層成長による、層の厚さと組成の制御における改善、ならびに、反応成分の分離による有害な気相反応の縮小を可能にする。ALDの自己制限的性質は、幅広い種類の反応表面上に膜を堆積する方法を提供し、この反応表面には、不規則地形を持つ表面、CVDまたは他の“視線”堆積法(蒸発もしくは物理蒸着(PVDまたはスパッタリング)など)と利用可能なものよりも良い段差被覆性を持つ表面が含まれる。
本発明は、一種以上のβ‐ジケチミナート配位子を含む金属含有化合物(すなわち金属含有錯体)、および生成方法と使用方法、およびそれを含む蒸着システムを提供する。いくつかの実施形態では、一種以上のβ‐ジケチミナート配位子はη5‐配位形式であることができる。いくつかの実施形態では、金属含有化合物は、非対称β‐ジケチミナート配位子を含むホモレプティック錯体(すなわち金属が一種類の配位子のみに結合している錯体)である。他の実施形態では、金属含有化合物は、一種以上のβ‐ジケチミナート配位子(対称である可能性も非対称である可能性もある)を含むヘテロレプティック錯体(すなわち金属が一種類以上の配位子に結合している錯体)である。従って、本明細書で開示する金属含有化合物は、対称配位子を持つ既知のホモレプティック錯体と比較して低下した対称性を有する。低下した対称性は、非対称配位子それ自体、異なる種類の配位子の配位、またはその両方に起因し得る。低下した対称性は、蒸着法における使用のために好ましい特性をもたらす(例えば、より高い蒸気圧、より低い融点、およびより低い昇華点のうちの一つ以上)。
<一種以上の非対称配位子を持つ化合物>
或る実施形態では、一種以上の非対称β‐ジケチミナート配位子を含む金属含有化合物、およびそのような化合物を含む前駆体組成が開示される。そのような化合物は、(構造式I)の化合物を含み、
(構造式I):
式中のMは二族金属(例えばCa、Sr、Ba)、三族金属(例えばSc、Y、La)、ランタニド(例えばPr、Nd)またはそれらの組み合わせである。MはCa、Sr、またはBaであることが好ましい。各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10、xは1からnである。
各R1、R2、R3、R4およびR5は、独立に水素または有機基(例えばアルキル基、好ましくは、例えばアルキル部分)であり、但し以下の一つ以上が当てはまる。:R1がR5と異なるか、あるいはR2がR4と異なる。或る実施形態では、R1、R2、R3、R4およびR5は、それぞれ独立に水素、または1から10の炭素原子を持つ有機基(例えばメチル基、エチル基、プロピル基、イソプロピル基、ブチル基、sec-ブチル基、tert-ブチル基)である。或る実施形態では、R1=イソプロピル基でR5=tert-ブチル基である。或る実施形態では、R2および/またはR4はメチル基である。或る実施形態ではR3はHである。こうした構造式Iの化合物の例は、R2=R4=メチル基、R3=H、R1=イソプロピル基、およびR5=tert-ブチル基である化合物である。
Lは幅広い種類のアニオン配位子をあらわす。アニオン配位子(L)の例は、ハロゲン化物、アルコキシド基、アミド基、メルカプチド基、シアン化物、アルキル基、アミジナート基、グアニジナート基、イソウレアート基、β‐ジケトナート基、β‐イミノケトナート基、β‐ジケチミナート基、およびそれらの組み合わせを含む。或る実施形態では、Lは構造式Iで示したβ‐ジケチミナート配位子と同じ構造を持つβ‐ジケチミナート基である。他の或る実施形態では、Lは構造式Iで示したβ‐ジケチミナート配位子とは異なる構造を持つβ‐ジケチミナート基(例えば対称または非対称)である。
Yは任意の中性配位子をあらわす。中性配位子(Y)の例は、カルボニル基(CO)、ニトロシル基(NO)、アンモニア(NH3)、アミン(NR3)、窒素(N2)、ホスフィン(PR3)、アルコール(ROH)、水(H2O)、テトラヒドロフラン、およびそれらの組み合わせを含み、ここで各Rは独立に水素または有機基をあらわす。任意の中性配位子(Y)の数はzであらわされ、zは0から10で、好ましくは0から3である。より好ましくは、Yは存在しない(すなわちz=0)。
或る実施形態では、一種以上の非対称β‐ジケチミナート配位子を含む金属含有化合物は、例えば、非対称β‐ジケチミナート配位子源、金属源、随意に中性配位子Yの源、および、非対称β‐ジケチミナート配位子源と同じかまたは異なる可能性があるアニオン配位子Lの源を含む成分を混合させることを含む方法によって生成することができる。通常、配位子源は配位子となるために脱プロトン化され得る。
例示的な方法は、(構造式III)の配位子源またはその互変異性体と、
(構造式III):
アニオン配位子Lの源(例えば本明細書記載の通り)、随意に中性配位子Yの源(例えば本明細書記載の通り)、ならびに金属(M)源を含む成分を、金属含有化合物を生成するのに十分な条件下で混合することを含む。好ましくは、成分は有機溶媒(例えばヘプタン、トルエン、またはジエチルエーテル)内で、通常混合または攪拌条件下で混ぜ合わされ、好都合な温度(例えば室温またはそれ以下、還流温度またはそれ以上、もしくは中間温度)で、所望の生成物を十分量生成するだけの時間にわたって、反応することができる。好ましくは、成分は不活性雰囲気(例えばアルゴン)の下で、通常実質的に水が存在しない状態で混合される。
金属(M)源は、二族金属源、三族金属源、ランタニド金属源、およびそれらの組み合わせから成る群から選択することができる。例示的な金属源は、例えばM(II)ビス(ヘキサメチルジシラザン)、M(II)ビス(ヘキサメチルジシラザン)ビス(テトラヒドロフラン)、またはそれらの組み合わせを含む。
各R1、R2、R3、R4およびR5は、独立に水素または有機基(例えばアルキル基、好ましくは、例えばアルキル部分)であり、但し以下の一つ以上が当てはまる。:R1がR5と異なるか、あるいはR2がR4と異なる。
方法は、(構造式I)の金属含有化合物を提供し、
(構造式I):
ここで式中のM、L、Y、R1、R2、R3、R4およびR5は上記で定めた通りで、nは金属の原子価状態をあらわし、zは0から10、xは1からnである。
非対称β‐ジケチミナート配位子源は、例えば縮合反応を用いて生成することができる。例えば、例示的な非対称β‐ジケチミナート配位子源は、構造式R1NH2のアミン、(構造式V)の化合物またはその互変異性体、
(構造式V):
ならびにアミンとの反応のためにカルボニル基を活性化させることができる物質を含む成分を、(構造式III)の配位子源もしくはその互変異性体をもたらすのに十分な条件下で混合することを含む方法によって生成することができる。
(構造式III):
好ましくは、成分は有機溶媒(例えばヘプタン、トルエン、またはジエチルエーテル)内で、通常混合または攪拌条件下で混ぜ合わされ、好都合な温度(例えば室温またはそれ以下、還流温度またはそれ以上、もしくは中間温度)で、所望の生成物を十分量生成するだけの時間にわたって、反応することができる。好ましくは、成分は不活性雰囲気(例えばアルゴン)の下で、通常実質的に水が存在しない状態で混合される。
各R1、R2、R3、R4およびR5は、独立に水素または1から10の炭素原子を持つアルキル部分(例えばメチル基、エチル基、プロピル基、イソプロピル基、ブチル基、sec-ブチル基、tert-ブチル基)であり、但し以下の一つ以上が当てはまる。:R1がR5と異なるか、あるいはR2がR4と異なる。従って、本発明は構造式IIIの配位子源も提供する。或る実施形態では、R1=イソプロピル基、かつR5=tert-ブチル基である。或る実施形態では、R2および/またはR4はメチル基である。或る実施形態ではR3はHである。こうした構造式IIIの化合物の例は、R2=R4=メチル基、R3=H、R1=イソプロピル基、およびR5=tert-ブチル基である化合物である。
構造式IIIおよび構造式Vの化合物の互変異性体は、水素原子が別の原子に結合した異性体を含む。通常互変異性体は相互に平衡状態である可能性がある。
特に、本発明は構造式IIIの互変異性体を検討し、これは例えば
および
を含む。
同様に、本発明は構造式Vの互変異性体を検討し、これは例えば
および
を含む。
アミンとの反応のためにカルボニル基を活性化させることができる適切な物質は、当業者によく知られており、例えばアルキル化剤を含む。例示的なアルキル化剤は、テトラフルオロホウ酸トリエチルオキソニウム、ジメチル硫酸、ニトロソ尿素、マスタードガス(例えば1,1-チオビス(2-クロロエタン))およびそれらの組み合わせを含む。
一種以上の非対称β‐ジケチミナート配位子を含むさらなる金属含有化合物は、例えば、一種以上の非対称β‐ジケチミナート配位子を含む金属含有化合物と、一種以上の別のβ‐ジケチミナート配位子を含む金属含有化合物との間の配位子交換反応によって生成することもできる。そのような方法の例は、(構造式I)の化合物と、
(構造式I):
(構造式VI)の化合物を含む成分を、
(構造式VI):
金属含有化合物を生成するのに十分な条件下で混合することを含む。好ましくは、成分は有機溶媒(例えばヘプタン、トルエン、またはジエチルエーテル)内で、通常混合または攪拌条件下で混合され、好都合な温度(室温またはそれ以下、還流温度またはそれ以上、もしくは中間温度など)で、所望の生成物を十分量生成するだけの時間にわたって反応することができる。好ましくは、成分は不活性雰囲気(例えばアルゴン)の下で、通常実質的に水が存在しない状態で混合される。
各Mは二族金属、三族金属、ランタニド、またはそれらの組み合わせであり、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10、xは1からnである。
各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基であり、また、構造式Iと構造式VIに示したβ‐ジケチミナート配位子が異なる構造を持ち、但し以下の一つ以上が当てはまる。:R1がR5と異なるか、R2がR4と異なるか、R6がR10と異なるか、あるいはR7がR9と異なる。
方法は、(構造式II)の金属含有化合物を提供することができ、
(構造式II):
ここで式中のM、L、Y、R1、R2、R3、R4、R5、R6、R7、R8、R9、R10、nおよびzは上記で定めた通りで、構造式IIに示した二種のβ‐ジケチミナート配位子は異なる構造を持つ。
<異なる対称配位子を持つヘテロレプティック化合物>
別の実施形態では、異なる対称β‐ジケチミナート配位子を含むヘテロレプティック金属含有化合物である化合物、およびそのような化合物を含む前駆体組成が開示される。そのような化合物は(構造式II)の化合物を含み、
(構造式II):
式中のMは二族金属(例えばCa、Sr、Ba)、三族金属(例えばSc、Y、La)、ランタニド(例えばPr、Nd)またはそれらの組み合わせである。MはCa、Sr、またはBaであることが好ましい。各Lは独立にアニオン配位子であり、各Yは独立に中性配位子であり、nは金属の原子価状態をあらわし、zは0から10である。
各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基(例えばアルキル基、好ましくは、例えばアルキル部分)であり、R1=R5、R2=R4、R6=R10、かつR7=R9であり、かつ、構造式IIに示した二種のβ‐ジケチミナート配位子は異なる構造を持つ。或る実施形態では、各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または1から10の炭素原子を持つ有機基(例えばメチル基、エチル基、プロピル基、イソプロピル基、ブチル基、sec-ブチル基、tert-ブチル基)である。或る実施形態では、R1=R5=tert-ブチル基、かつR6=R10=イソプロピル基である。或る実施形態では、R2、R4、R7および/またはR9はメチル基である。或る実施形態ではR3および/またはR8はHである。こうした構造式IIの化合物の例は、R2=R4=R7=R9=メチル基、R3=R8=H、R1=R5=tert-ブチル基、R6=R10=イソプロピル基である化合物である。
Lは幅広い種類の任意のアニオン配位子をあらわす。例示的なアニオン配位子(L)は、ハロゲン化物、アルコキシド基、アミド基、メルカプチド基、シアン化物、アルキル基、アミジナート基、グアニジナート基、イソウレアート基、β‐ジケトナート基、β‐イミノケトナート基、β‐ジケチミナート基、およびそれらの組み合わせを含む。或る実施形態では、Lは構造式IIに示したβ‐ジケチミナート配位子と同じ構造を持つβ‐ジケチミナート基である。他の或る実施形態では、Lは構造式IIに示したβ‐ジケチミナート配位子のどれとも異なる構造を持つβ‐ジケチミナート基(例えば対称または非対称)である。
Yは任意の中性配位子をあらわす。例示的な中性配位子(Y)はカルボニル基(CO)、ニトロシル基(NO)、アンモニア(NH3)、アミン(NR3)、窒素(N2)、ホスフィン(PR3)、アルコール(ROH)、水(H2O)、テトラヒドロフラン、およびそれらの組み合わせを含み、ここで各Rは独立に水素または有機基をあらわす。任意の中性配位子(Y)の数はzであらわされ、zは0から10であり、好ましくは0から3である。より好ましくは、Yは存在しない(すなわちz=0)。
或る実施形態では、異なる対称β‐ジケチミナート配位子を含む金属含有化合物は、例えば二種以上の異なる対称β‐ジケチミナート配位子源を含む成分と、金属源とを混合することを含む方法によって生成することができる。対称β‐ジケチミナート配位子源は、例えばEl-Kaderi et al., Organometallics, 23:4995-5002 (2004)に記載の通り生成することができる。
例示的な方法は、(構造式III)の配位子源またはその互変異性体と、
(構造式III):
(構造式IV)の配位子源またはその互変異性体と、
(構造式IV):
ならびに、随意にアニオン配位子Lの源と(例えば本明細書記載の通り)、随意に中性配位子Yの源(例えば本明細書記載の通り)、ならびに金属(M)源とを含む成分を、金属含有化合物を生成するのに十分な条件下で混合することを含む。好ましくは、成分は有機溶媒(例えばヘプタン、トルエン、またはジエチルエーテル)内で、通常混合または攪拌条件下で混合され、好都合な温度(室温またはそれ以下、還流温度またはそれ以上、もしくは中間温度など)で、所望の生成物を十分量生成するだけの時間にわたって反応することができる。好ましくは、成分は不活性雰囲気(例えばアルゴン)の下で、通常実質的に水が存在しない状態で混合される。
金属(M)源は二族金属源、三族金属源、ランタニド金属源、またはそれらの組み合わせである。例示的な金属源は、例えばM(II)ビス(ヘキサメチルジシラザン)、M(II)ビス(ヘキサメチルジシラザン)ビス(テトラヒドロフラン)、もしくはそれらの組み合わせを含む。
各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基(例えばアルキル基、好ましくは、例えばアルキル部分)であり、R1=R5、R2=R4、R6=R10、およびR7=R9であり、ならびに構造式IIIと構造式IVに示した配位子源は異なる構造を持つ。
方法は、(構造式II)の金属含有化合物を提供することができ、
(構造式II):
ここで式中のM、L、Y、R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は上記で定めた通りで、nは金属の原子価をあらわし、zは0から10である。
特に、本発明は構造式IVの互変異性体を検討し、これらは例えば
および
を含む。
別の実施形態では、異なる対称β‐ジケチミナート配位子を含む金属含有化合物は、例えば異なる対称β‐ジケチミナート配位子を含む金属含有化合物間での配位子交換反応によって生成することができる。そのような方法の例は、(構造式I)の化合物と、
(構造式I):
(構造式VI)の化合物とを含む成分を、
(構造式VI):
金属含有化合物を生成するのに十分な条件下で混合することを含む。好ましくは、成分は有機溶媒(例えばヘプタン、トルエン、またはジエチルエーテル)内で、通常混合または攪拌条件下で混合され、好都合な温度(室温またはそれ以下、還流温度またはそれ以上、もしくは中間温度など)で、所望の生成物を十分量生成するだけの時間にわたって反応することができる。好ましくは、成分は不活性雰囲気(例えばアルゴン)の下で、通常実質的に水が存在しない状態で混合される。
各Mは二族金属、三族金属、ランタニド、またはそれらの組み合わせであり、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10で、xは1からnである。
各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基で、R1=R5、R2=R4、R6=R10、R7=R9であり、かつ、構造式Iと構造式VIに示したβ‐ジケチミナート配位子は異なる構造を持つ。
方法は(構造式II)の金属含有化合物を提供することができ、
(構造式II):
ここで式中のM、L、Y、R1、R2、R3、R4、R5、R6、R7、R8、R9、R10、nおよびzは上記で定めた通りである。
<他の金属含有化合物>
一種以上のβ‐ジケチミナート配位子を含む金属含有化合物を含む前駆体組成は、蒸着法を用いて金属含有層を堆積するのに役立つ。加えて、そのような蒸着法は一種以上の異なる金属含有化合物を含む前駆体組成を含むこともできる。そのような前駆体組成は、例えば下記でより十分に述べるALD工程において、一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物を含む前駆体組成に対して、実質的に同時に、あるいは連続して、堆積/化学吸着されることができる。そのような異なる金属含有化合物の金属は、例えばTi、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Al、およびそれらの組み合わせを含むことができる。適切な異なる金属含有化合物は、例えばテトラキスチタンイソプロポキシド、四塩化チタン、トリクロロチタンジアルキルアミド、テトラキスチタンジアルキルアミド、テトラキスハフニウムジアルキルアミド、トリメチルアルミニウム、塩化ジルコニウム(IV)、ペンタキスタンタルエトキシド、およびそれらの組み合わせを含む。
<蒸着法>
金属含有層は、例えば基板(例えば半導体基板またはアセンブリ基板)上に堆積させることができる。本明細書で用いるように“半導体基板”または“アセンブリ基板”は、半導体基層、または一つ以上の層、構造、またはその上に形成される領域を持つ半導体基板などの半導体基板をあらわす。半導体基層は、通常、ウェハ上のシリコン材料の最下層、または他の材料の上に堆積されたシリコン層(サファイアの上のシリコンなど)である。アセンブリ基板について参照すると、領域、接点、種々の構造または特徴、および開口部(トランジスタ、活性化領域(active areas)、拡散(diffusions)、埋込領域(implanted regions)、ビア(vias)、接点開口部、高アスペクト比開口部、蓄電板、コンデンサのための障壁など)を形成し、あるいは定めるために、種々の工程ステップが以前より用いられてきた。
本明細書で用いるように、“層”とは、本明細書記載の堆積工程に従って、一種以上の前駆体および/または反応物質から基板上に形成され得る任意の層をあらわす。用語“層”は、障壁層、誘電体層(すなわち、高誘電率を持つ層)、および導電層などの、半導体産業に特異的な層を含むことを意味するが、これらに限定されないことは明らかである。用語“層”は、半導体産業で頻繁に用いられる用語“膜”の同義語である。用語“層”は、ガラス上の塗装など、半導体産業の他の技術で見られる層を含むことも意図している。そのような層は、例えば半導体基板以外の基板である、繊維やワイヤーなどの上に直接形成することができる。さらに、層は基板の最下部の半導体表面上に直接形成することができ、あるいは、例えばパターンウェハなどの、任意の種々の層(例えば表面)の上に形成することができる。
層または膜は、還元金属、金属ケイ酸塩、金属酸化物、金属窒化物など、さらにそれらの組み合わせなどの金属含有膜の形で形成されることもある。例えば、金属酸化物層は単一金属を含むことがあり、金属酸化物層は二種以上の異なる金属を含むことがあり(すなわち混合金属酸化物である)、あるいは金属酸化物層は随意に他の金属でドープされることもある。
もし金属酸化物層が二種以上の異なる金属を含む場合、金属酸化物層は合金、固溶体、またはナノラミネートの形をとることができる。これらは誘電特性を持つことが好ましい。金属酸化物層(特に誘電体層である場合)は、好ましくはBaTiO3、SrTiO3、CaTiO3、(Ba,Sr)TiO3、SrTa2O6、SrBi2Ta2O9(SBT)、SrHfO3、SrZrO3、BaHfO3、BaZrO3、(Pb,Ba)Nb2O6、(Sr,Ba)Nb2O6、Pb[(Sc,Nb)0.575Ti0.425]O3(PSNT)、La2O3、Y2O3、LaAlO3、YAlO3、Pr2O3、Ba(Li,Nb)1/4O3-PbTiO3、およびBa(0.6)Sr(0.4)TiO3-MgOのうちの一種以上を含む。驚くべきことに、本発明に従って形成された金属酸化物層は、基本的に炭素を含まない。本発明のシステムと方法で形成された金属酸化物層は、炭素、水素、ハロゲン化物、リン、硫黄、窒素、もしくはそれらの化合物を基本的に含まないことが好ましい。本明細書で用いるように、“基本的に含まない”とは、金属含有層が上記の不純物を少量は含むかもしれないことを意味するように定義される。例えば、金属酸化物層にとって“基本的に含まない”とは、膜の化学的性質、力学的性質、物理的形状(例えば結晶化度)、または電気的性質にわずかな影響を与えるような、1原子百分率未満の量で上記の不純物が存在することを意味する。
種々の金属含有化合物は、前駆体組成を形成するために、随意に一種以上の有機溶媒と様々に組み合わせて利用できる(特にCVD工程に対して)。有利なことに、本明細書で開示する金属含有化合物のいくつかは、ALDにおいて溶媒を加えることなく利用できる。本明細書で用いるように“前駆体”および“前駆体組成”とは、単独で、あるいは他の前駆体組成(または反応物質)と共に、堆積工程においてアセンブリ基板上の層を形成するために利用可能な組成物をあらわす。さらに、用いられる前駆体の種類と量は、蒸着工程を用いて最終的に形成される層の内容によって決まることが、当業者にはわかるだろう。本発明の好ましい前駆体組成は、気化温度で液体であることが好ましく、より好ましくは、室温で液体であることが好ましい。
前駆体組成は室温で液体または固体である可能性がある(好ましくは、これらは気化温度で液体である)。通常、これらは既知の蒸着技術を用いて使用されるのに十分な揮発性を持った液体である。しかし、これらは既知の蒸着技術を用いて固体状態から気化または昇華され得るのに十分な揮発性を持った固体である可能性もある。もしこれらが揮発性の低い固体である場合、これらがフラッシュ蒸発、バブリング(bubbling)、微液滴形成技術などにおいて利用できるように、有機溶媒に十分に可溶性であるか、あるいは堆積温度よりも低い融点を有することが好ましい。
本明細書では、気化した金属含有化合物は、単独で、あるいは必要であれば随意に他の金属含有化合物の蒸発分子と共に、もしくは随意に蒸発した溶媒分子、もしくは不活性ガス分子と共に用いられることがある。本明細書で用いるように、“液体”とは溶液または原液(室温で液体、または高温で融解する室温で固体のもの)をあらわす。本明細書で用いるように、“溶液”は固体の完全な溶解性を要するものではなく、十分量の固体が有機溶媒によって化学蒸着工程の気相内に運ばれる限り、いくらか非溶解固体も許容され得る。もし溶媒希釈が堆積で用いられた場合、生成された溶媒蒸気の総モル濃度は、不活性搬送ガスとしてもみなされ得る。
本明細書で用いるように、“不活性ガス”または“非反応性ガス”は、通常接触する成分と反応しない任意の気体である。例えば、不活性ガスは通常、窒素、アルゴン、ヘリウム、ネオン、クリプトン、キセノン、任意の他の非反応性ガス、およびそれらの混合物を含む群から選択される。そのような不活性ガスは、通常本発明に従って述べた一種以上のパージ工程において用いられ、またいくつかの実施形態では、前駆体蒸気輸送を助けるためにも用いられることがある。
本発明の或る実施形態に適した溶媒は、以下のうちの一種以上である可能性がある。脂肪族炭化水素または不飽和炭化水素(C3-C20、好ましくはC5-C10、環状、分岐鎖、もしくは直鎖)、芳香族炭化水素(C5-C20、好ましくはC5-C10)、ハロゲン化炭化水素、シリル化炭化水素(アルキルシラン、ケイ酸アルキル、エーテル、ポリエーテル、チオエーテル、エステル、ラクトン、二トリル、シリコーン油など)、または上記の任意の組み合わせを含む化合物、または上記の一種以上の混合物。また、化合物は一般に相互に相溶性があり、可変量の金属含有化合物の混合物が、相互作用して物理的性質を著しく変えることがないようになっている。
本発明の前駆体組成は、一種以上の反応気体の存在下で実質的に同時に、随意に気化ならびに堆積/化学吸着されることができる。あるいは金属含有層は、各堆積サイクルの間に前駆体組成と反応気体(一種または複数)を交互に導入することによって形成されることもある。そのような反応気体は通常、酸素、水蒸気、オゾン、酸化窒素、酸化硫黄、水素、硫化水素、セレン化水素、テルル化水素、過酸化水素、アンモニア、有機アミン、ヒドラジン(例えばヒドラジン、メチルヒドラジン、対称および非対称ジメチルヒドラジン)、シラン、ジシランおよび高級シラン、ジボラン、プラズマ、空気、ボラゼン(窒素源)、一酸化炭素(還元剤)、アルコール、およびこれらの気体の任意の組み合わせを含む。例えば、酸素含有源は通常金属‐酸化物層の堆積に用いられる。金属‐酸化物層の形成で用いられる好ましい任意の反応気体は、酸化気体(例えば酸素、オゾン、および酸化窒素)を含む。
本発明の適切な基板材料は、導電体、半導体材料、導電性金属窒化物、導電性金属、導電性金属酸化物などを含む。金属含有層がその上に形成される基板は、半導体基板またはアセンブリ基板であることが好ましい。例えばボロンリンシリケートガラス(BPSG)、例えば伝導的にドープされたポリシリコン、単結晶シリコン、などのシリコン(本発明にとって、ケイ素の適切な形は単に“シリコン”としてあらわされる)といった幅広い種類の半導体材料は、例えばシリコンウェハ、オルトケイ酸テトラエチル(TEOS)酸化物、スピンオンガラス(すなわち随意にドープされ、スピン工程によって堆積されたSiO2の薄層)、TiN、TaN、W、Ru、Al、Cu、貴金属などの形で検討される。アセンブリ基板は、白金、イリジウム、酸化イリジウム、ロジウム、ルテニウム、酸化ルテニウム、ルテニウム酸ストロンチウム、ニッケル酸ランタン、窒化チタン、窒化タンタル、窒化タンタルシリコン、二酸化ケイ素、アルミニウム、ガリウム、ヒ化物、ガラスなど、ならびに、例えばダイナミックランダムアクセスメモリ(DRAM)装置、スタティックランダムアクセスメモリ(SRAM)装置、および強誘電体メモリ(FERAM)装置などの半導体構造で用いられる、他の既存材料または開発予定材料を含む層も含むことがある。
半導体基板またはアセンブリ基板を含む基板に対して、層は基板の最下部の半導体表面上に直接形成することができ、あるいは例えばパターンウェハなどの任意の種々の層(すなわち表面)の上に形成することができる。
半導体基板またはアセンブリ基板以外の基板も、本発明の方法において利用可能である。金属酸化物層など、その上に金属含有層を有利に形成し得る任意の基板が用いられることもあり、そのような基板は、例えば繊維、ワイヤーなどを含む。
本発明の好ましい堆積工程は蒸着工程である。蒸着工程は、深い接点と他の開口部の内部においても、高度に共形な層を素早く提供する工程能力のために、半導体産業において一般に好まれている。
前駆体組成は、必要であれば不活性搬送ガスの存在下で気化させることができる。加えて、不活性搬送ガスはALD工程(下記記載)におけるパージステップで用いることができる。不活性搬送ガスは通常窒素、ヘリウム、アルゴンなどのうちの一種以上である。本発明との関連において、不活性搬送ガスは金属含有層の形成を妨げないようなものである。不活性搬送ガスの存在下で行われるかどうかにかかわらず、層の酸素汚染(例えば二酸化ケイ素を形成するケイ素の酸化、または堆積室に入る前の気相における前駆体の酸化)を避けるために、蒸発は酸素の非存在下で行われることが好ましい。
化学蒸着(CVD)と原子層堆積(ALD)は、薄く連続的で均一な金属含有層を半導体基板上に形成するためにしばしば利用される二種の蒸着工程である。いずれかの蒸着工程を用いて、通常一種以上の前駆体組成は堆積室で気化され、さらに随意に一種以上の反応気体と混合され、さらに基板上に金属含有層を形成するために基板に向けられ、および/または基板と接触する。他の技術だけでなく、プラズマ補助、光補助、レーザー補助などの種々の関連技術を用いて蒸着工程が改善され得ることは、当業者にとって容易にわかることであろう。
化学蒸着(CVD)は、共形で高品質の誘電体層を比較的早い処理時間で提供できる能力のために、半導体処理において誘電体層などの金属含有層を用意するために広く用いられてきた。通常、所望の前駆体組成は気化され、その後、単一堆積サイクルにおいて、任意の反応気体および/または不活性搬送ガスと共に、加熱された基板を含む堆積室内へ導入される。典型的なCVD工程では、気化された前駆体は基板表面で反応気体(一種または複数)に接触して層を形成する(例えば誘電体層)。単一の堆積サイクルは、層の所望の厚みが得られるまで続けることができる。
典型的なCVD工程は一般に、堆積表面またはウェハが配置されている処理室から隔てられた気化室において、前駆体組成を使用する。例えば、液体前駆体組成は通常バブラー(bubbler)に置かれ、気化する温度まで加熱され、その後気化した液体前駆体組成は、バブラーを横切る、あるいは液体前駆体組成を通過する不活性搬送ガスによって輸送される。気体はそれから堆積室までガス管線を通過し、そこで基板表面(一つまたは複数)上に層を堆積する。この処理を精密に制御するために多くの技術が開発されてきた。例えば、堆積室に輸送される前駆体組成の量は、前駆体組成を含む容器の温度によって、ならびに、容器を通って泡立った、あるいは容器を通過した不活性搬送ガスの流量によって、精密に制御することができる。
典型的なCVD工程は、Genus, Inc. (Sunnyvale, CA)から銘柄7000の下で利用可能な堆積室、Applied Materials, Inc. (Santa Clara, CA)から銘柄5000の下で利用可能な堆積室、あるいはNovelus, Inc. (San Jose, CA)から銘柄Prismの下で利用可能な堆積室などの、化学蒸着反応器で行われることがある。しかし、CVDを行うのに適した任意の堆積室が利用され得る。
CVD工程と試験槽のいくつかの変更が可能であり、例えば大気圧化学蒸着、低圧化学蒸着(LPCVD)、プラズマ励起化学蒸着(PECVD)、熱壁または冷壁反応器、あるいは任意の他の化学蒸着技術を用いる。さらに、ALD(下記でより詳細に述べる)と類似しているが、前駆体と反応気体の流れとの混合を厳密には回避しない、パルスCVDが利用できる。また、パルスCVDにおいて堆積厚は露出時間に依存し、自己制限的なALDとは対照的である(下記でより詳細に述べる)。
あるいは、および好ましくは、本発明の方法で用いられる蒸着工程は、多サイクル原子層堆積(ALD)工程である。この工程は特にCVD工程に対して有利であり、複数の堆積サイクルを提供することによって、堆積層(例えば誘電体層)に対して、原子レベルの厚みと均一性の制御に改善をもたらす点において有利である。ALDの自己制限的性質は、幅広い種類の反応表面上に膜を堆積させる方法を提供し、このような表面には、例えば不規則地形を有する表面、CVDまたは他の“視線”堆積法(例えば蒸発と物理蒸着、すなわちPVDまたはスパッタリング)と共に利用可能なものよりも良い段差被覆性を有する表面が含まれる。さらに、ALD工程は通常金属含有化合物をより低い蒸発温度と反応温度に晒し、例えば典型的なCVD工程と比べて、前駆体の劣化を減らす傾向がある。例えば、2005年6月28日出願の米国出願No. 11/168,160(題目"ATOMIC LAYER DEPOSITION SYSTEMS AND METHODS INCLUDING METAL BETA-DIKETIMINATE COMPOUNDS")を参照のこと。
一般に、ALD工程において各反応物質は、適切な基板上に、通常25℃以上、好ましくは150℃以上、より好ましくは200℃以上の堆積温度で連続的にパルス化される。典型的なALD堆積温度は400℃以下で、好ましくは350℃以下、さらにより好ましくは250℃以下である。これらの温度は一般にCVD工程で現在用いられている温度(通常150℃以上、好ましくは200℃以上、およびより好ましくは250℃以上の基板表面の堆積温度を含む。)よりも低い。典型的なCVD堆積温度は600℃以下、好ましくは500℃以下、さらにより好ましくは400℃以下である。
そのような条件下で、ALDによる被膜成長は通常自己制限的であり(すなわち、表面上の反応部位がALD工程において使い尽くされた時、堆積は通常停止する)、優れた共形性だけでなく、十分に広い範囲の均一性、その上単純で精密な構成と厚みの制御を保証する。前駆体および/または反応気体の連続的な共反応によって行われるCVD工程とは対照的に、前駆体組成および/または反応気体の交互注入によって、有害な気相反応が本質的に除去される(Vehkamaki et al., "Growth of SrTiO3 and BaTiO3 Thin Films by Atomic Layer Deposition," Electrochemical and Solid-State Letters, 2(10):504-506 (1999)を参照)。
典型的なALD工程は、基板(例えば水および/またはオゾンで随意に前処理され得る)を第一化学物質に晒して、基板上への種の化学吸着を完成させることを含む。本明細書で用いるように、用語“化学吸着”は、気化した反応性の金属含有化合物の基板表面上への化学的な吸着をあらわす。吸着種は、普通の化学結合の強さと比較して、高い吸着エネルギー(例えば>30 kcal/mol)に特徴づけられる比較的強い結合力の結果として、通常不可逆的に基板表面に結合する。化学吸着種は通常基板表面上に単分子層を形成する。("The Condensed Chemical Dictionary", 10th edition,改訂G. G. Hawley,出版Van Nostrand Reinhold Co., New York, 225 (1981)を参照)。ALDの技術は、反応前駆体分子の飽和単分子層を化学吸着によって形成する原理に基づいている。ALDにおいては、一種以上の適切な前駆体組成または反応気体が、堆積室に交互に導入され(例えばパルス化されて)、基板の表面上に化学吸着される。反応化合物(例えば一種以上の前駆体組成および一種以上の反応気体)の各連続導入は、通常不活性搬送ガスパージによって隔てられる。各前駆体組成の共反応は、蓄積固体層を形成するために、既に堆積された層に新しい原子層を追加する。このサイクルは所望の層厚さを徐々に形成するために繰り返される。当然のことながら、ALDは、化学吸着される一種の前駆体組成と、化学吸着種と反応する一種の反応気体とを交互に利用することができる。
実質的に、化学吸着は堆積表面(例えば既に堆積されたALD材料)の全ての部分上では起こらない可能性がある。それでもやはり、そのような不完全な単分子層は本発明との関連においては単分子層とみなされる。多くの用途において、ただ単に実質的に飽和した単分子層が適切であることもある。実質的に飽和した単分子層は、それでもやはり堆積単分子層をもたらし、あるいは、所望の品質および/または特性を示す材料をそれほどもたらすものではない可能性もある。
典型的なALD工程は、初期基板を第一化学種A(例えば本明細書記載の金属含有化合物)に晒し、基板上への種の化学吸着を完成させることを含む。種Aは基板表面または種B(下記記載)のいずれとも反応することができるが、それ自身とは反応できない。通常化学吸着では、種Aの一種以上の配位子は基板表面上の反応基によって置換される。理論上では化学吸着は、均一に一つの原子または分子の厚みである単分子層を、晒された初期基板全体の上に形成し、その単分子層は任意の置換された配位子を差し引いた種Aから成る。言い換えれば、飽和単分子層が実質的に基板表面上に形成される。実質的に、化学吸着は基板の全ての部分上では起こらない可能性がある。それでもやはり、そのような部分的な単分子層は、本発明との関連においては単分子層として理解される。多くの用途において、ただ単に実質的に飽和した単分子層が適切であることもある。一つの態様では、実質的に飽和した単分子層は、それでもやはり堆積単分子層をもたらし、あるいは、所望の品質および/または特性を示す材料をそれほどもたらすものではない可能性もある。別の態様では、実質的に飽和した単分子層は、前駆体とのさらなる反応に対して自己制限的なものである。
置換配位子だけでなく、第一の種(例えば種Aの実質的に全ての非化学吸着分子)は基板全体からパージされ、さらに第二化学種である種B(例えば異なる金属含有化合物または反応気体)が、種Aの単分子層と反応するために用意される。種Bは通常、種A単分子層から残りの配位子を置換し、それによって化学吸着されて第二単分子層を形成する。この第二単分子層は種Aのみに反応する表面を示す。置換配位子、および他の反応副生成物だけでなく、非化学吸着種Bはその後パージされ、さらにこのステップは種Bの単分子層を蒸発した種Aに晒しながら繰り返される。第二の種は第一の種と随意に反応することができるが、それに加えてさらなる材料を化学吸着することはできない。つまり、第二の種は化学吸着された第一の種のいくらかの部分を開裂させることができ、その上に別の単分子層を形成することなくこうした単分子層を修正するが、それに続く単分子層の形成に利用可能な反応部位を残す。他のALD工程では、導入された種それぞれは、その導入の前に直ちに作り出される単分子層と反応するという理解の下に、第三の種またはそれ以上の種が引き続いて化学吸着され(あるいは反応し)、第一および第二の種でちょうど述べたようにパージされる。随意に、第二の種(または第三またはそれに続く種)は必要に応じて一種以上の反応気体を含むことができる。
従って、ALDの使用は基板上の金属含有層の厚さ、組成、および均一性の制御を改善する能力を提供する。例えば、金属含有化合物の薄層を複数サイクルで堆積させることは、最終的な膜厚のより精密な制御をもたらす。これは前駆体組成が基板に向けられ、その上で化学吸着することができる際に特に有利であり、好ましくはさらに基板上で化学吸着された種と反応する一種以上の反応気体を含み、さらにより好ましくはこのサイクルが一回以上繰り返される。
基板への堆積/化学吸着に続く、各種の余分な気体のパージ(purging)は、基板および/または単分子層を不活性搬送ガスと接触させること、ならびに/あるいは、基板および/または化学吸着種と接触する種の濃度を減らすために、堆積圧以下に圧力を下げることを含む、種々の技術を含み得るが、これらに限定はされない。搬送ガスの例は上記の通りN2、Ar、Heなどを含み得る。さらに、パージ(purging)はその代わり基板および/または単分子層を任意の物質に接触させることを含むこともあり、この物質は、化学吸着副生成物を脱着させることができ、別の種を導入する準備として接触する種の濃度を減らすようなものである。接触する種は、特定の堆積工程の生成物の規格に基づいて、当業者に既知のいくらか適切な濃度または分圧まで減らされることがある。
ALDはしばしば自己制限的工程として説明され、第一の種が化学結合を形成するかもしれない有限数の部位が基板上に存在する。第二の種は第一の種の化学吸着から作られた表面のみと反応し、従ってまた自己制限的である可能性がある。基板上の有限数の部位の全てが第一の種で結合されると、第一の種は既に基板と結合した第一の種以外とは結合しない。しかし、そのような結合を促進し、ALDを自己制限的でない状態にするために、処理条件はALDにおいて変更することができる(例えばよりパルスCVDのように)。従ってALDは、種の積み重ね、一つ以上の
原子または分子の厚さの層の形成によって、一度に一種の単分子層以外を形成する種も含むことがある。
記載した方法は、わずかな量の第二前駆体しか存在しない可能性があるため、第一前駆体の化学吸着の間における第二前駆体(すなわち第二の種)の“実質的な欠如”を示唆する。第二前駆体の許容量、および第二前駆体が実質的に存在しないようにするために選択される処理条件については、当業者の知識と選好に従って決定することができる。
従って、ALD工程の間、多数の連続的な堆積サイクルが堆積室で行われ、各サイクルは、関係する基板上に所望の厚さの層が構築されるまで、非常に薄い金属含有層(通常、増加率が平均でサイクルあたり0.2から3.0オングストロームである一種以下の単分子層)を堆積する。層堆積は、基板を含む堆積室に前駆体組成(一種または複数)を交互に導入すること(すなわちパルス化によって)、基板表面上に単分子層として前駆体組成(一種または複数)を化学吸着させること、堆積室をパージ(purging)すること、その後、反応気体および/または他の前駆体組成(一種または複数)を、金属含有層の所望の厚さが得られるまで、化学吸着された前駆体組成(一種または複数)に複数の堆積サイクルで導入することによって完成する。本発明の金属含有層の好ましい厚さは、1オングストローム(Å)以上、より好ましくは5Å以上、より好ましくは10Å以上である。さらに、好ましい膜厚は通常500Å以下で、より好ましくは400Å以下で、より好ましくは300Å以下である。
前駆体組成(一種または複数)および不活性搬送ガス(一種または複数)のパルス幅は、一般に基板表面を飽和するのに十分な幅である。通常、パルス幅は0.1以上、好ましくは0.2秒以上、より好ましくは0.5秒以上である。好ましいパルス幅は一般に5秒以下で、好ましくは3秒以下である。
主に熱駆動のCVDと比較して、ALDは主に化学的に駆動されている。従って、ALDはCVDよりもかなり低い温度で有利に行われ得る。ALD工程の間、基板温度は、化学吸着された前駆体組成(一種または複数)と内在する基板表面との間の完全な結合を維持し、前駆体組成(一種または複数)の分解を防ぐために十分低い温度で維持され得る。一方で温度は、前駆体組成(一種または複数)の凝縮を避けるために十分高くなければならない。通常、基板は25℃以上、好ましくは150℃以上、より好ましくは200℃以上の温度で維持される。通常基板は400℃以下、好ましくは300℃以下、より好ましくは250℃以下の温度で維持され、これは上記の通り、典型的なCVD工程で現在用いられる温度よりも一般に低い。従って、第一の種または前駆体組成はこの温度で化学吸着される。第二の種または前駆体組成の表面反応は、第一前駆体の化学吸着と実質的に同じ温度で、あるいは随意に、しかしより好ましくはないが、実質的に異なる温度で起こり得る。明らかに、当業者に判断されるように、温度におけるいくらか小さな違いが起こり得るが、第一前駆体の化学吸着温度で起こり得るものと統計的に同じ反応速度を提供することによって、それでもやはり実質的に同じ温度とみなされる。あるいは、化学吸着とそれに続く反応は、その代わりに実質的に全く同じ温度で起こり得る。
典型的な蒸着工程では、堆積室内の圧力は10-8トール(1.3 x 10-6 Pa)以上、好ましくは10-7トール(1.3 x 10-5 Pa)以上、より好ましくは10-6トール(1.3 x 10-4 Pa)以上である。さらに、堆積圧は通常10トール(1.3 x 103 Pa)以下、好ましくは1トール(1.3 x 102 Pa)以下、より好ましくは10-1トール(13 Pa)以下である。通常、堆積室は、気化した前駆体組成(一種または複数)が試験槽に導入された後、および/または各サイクルで反応した後、不活性搬送ガスでパージされる。不活性搬送ガス(一種または複数)は、各サイクルの間に気化した前駆体組成(一種または複数)と共に導入されることもできる。
前駆体組成の反応性はALDの処理変数に著しく影響を与えることができる。典型的なCVD工程の条件下では、反応性の高い化合物は気相内で反応し、微粒子を生成し、望ましくない表面上に早期に堆積し、質の悪い膜を生成し、および/または悪い段差被覆率をもたらし、あるいはそうでなければ不均一な堆積をもたらす。少なくともこのような理由のために、反応性の高い化合物はCVDには適さないとみなされることがある。しかし、CVDに適さないいくつかの化合物は優れたALD前駆体である。例えば、もし第一前駆体が第二前駆体と反応する気相ならば、そのような化合物の組み合わせはCVDには適さないかもしれないが、ALDにおいては利用することができる。CVDとの関連において、気相反応性の高い前駆体を用いる際、当業者に周知のように、付着係数と表面移動度の点でも懸念が存在し得るが、しかしALDとの関連においてはそのような懸念はほとんど存在しないか、あるいは皆無である。
基板上の層形成の後、還元雰囲気、不活性雰囲気、プラズマ雰囲気、または酸化雰囲気において、堆積室でin situにアニーリング処理が随意に行われることもある。好ましくは、アニーリング温度は400℃以上、より好ましくは600℃以上である。アニーリング温度は好ましくは1000℃以下、より好ましくは750℃以下、さらにより好ましくは700℃以下である。
アニーリング操作は好ましくは0.5分以上、より好ましくは1分以上の時間で行われる。さらに、アニーリング操作は好ましくは60分以下、より好ましくは10分以下の時間で行われる。
当業者は、そのような温度と時間は変更する可能性があることがわかるだろう。例えば、炉アニールと高速熱アニーリングが用いられ、さらに、こうしたアニールは一つ以上のアニーリングステップで行われる可能性がある。
上述の通り、本発明の膜形成の方法と化合物の利用は、半導体構造、特に高誘電性材料を用いるものにおいて、幅広い種類の薄膜利用に有益である。例えば、そのような利用はゲート誘電体、および平面セル、トレンチセル(例えば二重側壁トレンチコンデンサ)、スタックセル(例えばクラウンコンデンサ、V-セルコンデンサ、デルタセルコンデンサ、多指コンデンサ(multi-fingered)、または円筒容器スタックコンデンサ)などのコンデンサさらに電界効果トランジスタ装置を含む。
本発明の蒸着工程(化学蒸着または原子層堆積)を行うのに利用可能なシステムを図1に示した。システムは密閉された蒸着室 10 を含み、その中にターボポンプ 12 とバッキングポンプ 14 を用いて真空が作られ得る。一つ以上の基板 16 (例えば半導体基板またはアセンブリ基板)は蒸着室 10 に配置されている。一定の公称温度が基板 16 に設定され、これは用いられる処理によって変化することができる。基板 16 は、例えば電気抵抗加熱器 18 によって加熱され、基板 16 がその上にのっている。基板を加熱する他の既知の方法も利用されることがある。
この工程では、本明細書記載の前駆体組成 60 および/または 61 は、容器 62 に格納されている。前駆体組成(一種または複数)は気化され、例えば不活性搬送ガス 68 を用いて、ライン 64 および 66 に沿って堆積室 10 へ分けて供給される。必要に応じて反応気体 70 がライン 72 に沿って供給されることもある。また、不活性搬送ガス 68 としばしば同じであるパージガス 74 が、必要に応じてライン 76 に沿って供給されることもある。示した通り、一組の弁 80-85 は必要に応じて開閉される。
以下の実施例は本発明の様々な特定の実施形態と技術をさらに説明するために提供される。しかし当然のことながら、当業者に理解される多くの変更と修正が、本発明の範囲内にとどまりながら作られる可能性がある。従って、本発明の範囲は以下の実施例によって制限されるものではない。他に特に定めない限り、実施例に示される全ての百分率は重量百分率である。
[実施例1]:R1=tert-ブチル基;R5=イソプロピル基;R2=R4=メチル基;およびR3=Hである、構造式IIIの配位子源N-イソプロピル-(4-tert-ブチルイミノ)-2-ペンテン-2-アミンの合成と特性解析
絶乾1-L Schlenkフラスコに、38.0 gのテトラフルオロホウ酸トリエチルオキソニウム(0.2 mol)および75 mLのジエチルエーテルをアルゴン雰囲気下で詰め、滴下ロートを取り付けた。250 mLのジクロロメタンおよび28.2 gのN-イソプロピル-4-アミノ-3-ペンテン-2-オン(0.2 mol)を滴下ロートに詰め、この溶液を滴下し、その後30分攪拌した。21 mLのtert-ブチルアミン(0.2モル)および25 mLのジクロロメタンの溶液を滴下ロートに詰め、反応溶液に加え、その後一晩攪拌した。その後揮発物を真空で(in vacuo)除去し、得られた黄橙色の固体を、100 mLの一定分量の冷却エチルアセテート二回で洗浄し、その間フラスコは氷浴に置いた。各エチルアセテート洗浄液をデカントした後、黄色の固体残渣に、8.0 gの水酸化ナトリウム(0.2 mol)を含む500 mLのベンゼンと500 mLの水の混合物を加えた。混合物を3分攪拌し、その後有機溶媒を分離した。水相をそれぞれ100 mLのジエチルエーテル量で三回抽出した。全ての有機相を混合し、硫酸ナトリウムで乾燥させ、ロータリーエバポレーターで濃縮させた。粗生成物をその後20 cmガラス玉充填カラムと短経路蒸留ヘッドを通して蒸留した。所望の生成物は、34-42℃、40 mトール(5.3 Pa)の圧力で、96%の純粋な形で集められた。ガスクロマトグラフィー質量分析(GCMS)で観察された唯一の不純物は、N-イソプロピル-(4-イソプロピルイミノ)-2-ペンテン-2-アミンであった。N-イソプロピル-(4-イソプロピルイミノ)-2-ペンテン-2-アミンの量は反応時間を制限することで制限され得る(例えばtert-ブチルアミンの添加後30分)。反応を一晩攪拌させることで、さらなるN-イソプロピル-(4-イソプロピルイミノ)-2-ペンテン-2-アミンの生成につながる可能性がある。
[実施例2]:M=Sr(n=2);R1=tert-ブチル基;R5=イソプロピル基;R2=R4=メチル基;R3=H;x=2;およびz=0である、構造式Iの金属含有化合物、ストロンチウムビス(N-イソプロピル-(4-tert-ブチルイミノ)-2-ペンテン-2-アミナート)の合成と特性解析
乾燥した箱において、500 mLのSchlenkフラスコに13.819 gのストロンチウムビス(ヘキサメチルジシラザン)ビス(テトラヒドロフラン)(25 mmol)および100 mLのトルエンを詰めた。二つ目のSchlenkフラスコに9.800 gのN-イソプロピル-(4-tert-ブチルイミノ)-2-ペンテン-2-アミン(50 mmol)および100 mLのトルエンを詰めた。配位子溶液をストロンチウム溶液に加えると、直ちに鮮やかな黄色反応溶液が生成し、それを60時間攪拌した。その後真空で(in vacuo)揮発物を除去した。鮮やかな黄色固体である粗生成物を、乾燥した箱において昇華装置に詰めた。昇華装置をドラフト内の真空連結管に取り付け、100 mトール(13 Pa)以下まで脱気し、115℃まで加熱した。全部で8.204 gの灰色がかった白色の結晶性固体が、三回に分けて昇華した(収率68.5%)。C24H46N4Srで計算した元素分析:Sr, 18.3%、測定値18.5%。1H核磁気共鳴(NMR)(C6D6, 25℃, δ)4.234(s, 2H, β-CH)、3.586(septet, J=6.0 Hz, 2H, CH(CH3)2)、1.989(s, 6H, α-C-CH 3(イソプロピル側))、1.907(s, 6H, α-C-CH 3(tert-ブチル側))、1.305(s, 18H, C(CH 3) 3)、1.200(d, J=6.0 Hz, 12H, CH(CH 3)2);13C{1H}(C6D6, 25℃, δ)161.19(s, α-C-CH3(イソプロピル側))、160.44(s, α-C-CH3(tert-ブチル側))、88.33(s, β-CH)、54.07(s, C(CH3)3)、49.86(s, CH(CH3)2)、32.44(s, C(CH3)3)、26.50(s, CH(CH3)2)、24.84(s, α-C-CH3(tert-ブチル側))、22.09(s, α-C-CH3(イソプロピル側))。
[実施例3]:M=Sr(n=2);R1=R5=tert-ブチル基;R6=R10=イソプロピル基;R2=R4=R7=R9=メチル基;R3=R8=H;およびz=0である、構造式IIの金属含有化合物、ストロンチウム(N-イソプロピル-(4-イソプロピルイミノ)-2-ペンテン-2-アミナート)(N-tert-ブチル-(4-tert-ブチルイミノ)-2-ペンテン-2-アミナート)の合成と特性解析
乾燥した箱において、500 mLのSchlenkフラスコに5.526 gのストロンチウムビス(ヘキサメチルジシラザン)(10 mmol)および100 mLのトルエンを詰めた。20 mLのトルエンに2.104 gのN-tert-ブチル-(4-tert-ブチルイミノ)-2-ペンテン-2-アミン(10 mmol、文献に従って調製した)を含む溶液を反応フラスコに加えた。反応溶液を18時間攪拌した。20 mLのトルエンに1.823 gのN-イソプロピル-(4-イソプロピルイミノ)-2-ペンテン-2-アミン(10 mmol、文献に従って調製した)を含む溶液を反応フラスコに加えた。反応溶液をその後さらに24時間攪拌した。揮発物を真空で(in vacuo)除去すると、赤褐色の固体が得られ、これを乾燥した箱において昇華装置に詰めた(4.70 g、998 mmol)。昇華装置をドラフト内で真空連結管で脱気し加熱した。80℃付近で、容器残渣は融解し衝突し始めた。容器を115 mトール(15.3 Pa)で112℃で加熱しながら、黄褐色の凝縮物を冷フィンガー(cold finger)上で収集した。2.856 gの、黄色半結晶であるがいくらか油状固形物の物体が冷フィンガーから回収された(収率59.7%)。プロトンNMRによる分析は、昇華した物質が、表題化合物と、ストロンチウムビス(N-イソプロピル-(4-イソプロピルイミノ)-2-ペンテン-2-アミナート)と、ストロンチウムビス(N-tert-ブチル-(4-tert-ブチルイミノ)-2-ペンテン-2-アミナート)の1:1:1の混合物から成ることを示す。物質は相対比率0.3のN-tert-ブチル-(4-tert-ブチルイミノ)-2-ペンテン-2-アミンも含む。表題化合物の化学シフトは以下の通りである。:1HNMR(C6D6, 25℃, δ)4.218(s, 2H, β-CH)、3.586(septet, J=6.0 Hz, 2H, CH(CH3)2)、1.990(s, 6H, α-C-CH 3(tert-ブチル))、1.865(s, 6H, α-C-CH 3(イソプロピル))、1.325(s, 18H, C(CH 3)3)、1.172(d, J=6.0 Hz, 12H, CH(CH 3)2);13C{1H}(C6D6, 25℃, δ)160.95(s, α-C-CH3(イソプロピル))、160.79(s, α-C-CH3(tert-ブチル))、90.05(s, β-CH(tert-ブチル))、86.51(s, β-CH(イソプロピル))、53.99(s, C(CH3)3)、49.93(s, CH(CH3)2)、32.81(s, C(CH3)3)、25.06(s, CH(CH3)2)、24.83(s, α-C-CH3(tert-ブチル))、22.05(s, α-C-CH3(イソプロピル))。C24H46N4Srで計算した元素分析:Sr, 18.3%、測定値17.5%。
[実施例4]:異なる対称β-ジケチミナート配位子を含む金属含有化合物間の配位子交換反応による、実施例3において調製され特徴付けられた金属含有化合物の代替合成。
50 mLのSchlenkフラスコに0.50 gのビス(N-tert-ブチル-(4-tert-ブチルイミノ)-2-ペンテン-2-アミナート)ストロンチウム(1 mmol)、0.45 gのビス(N-イソプロピル-(4-イソプロピルイミノ)-2-ペンテン-2-アミナート)ストロンチウム(1 mmol)、および20 mLのトルエンを詰めた。得られた溶液を24時間還流し、その後揮発物を真空で(in vacuo)除去した。得られた黄色固体試料をプロトンNMR分析に供し、その結果は、ビス(N-tert-ブチル-(4-tert-ブチルイミノ)-2-ペンテン-2-アミナート)ストロンチウムと、ビス(N-イソプロピル-(4-イソプロピルイミノ)-2-ペンテン-2-アミナート)ストロンチウムと、(N-イソプロピル-(4-イソプロピルイミノ)-2-ペンテン-2-アミナート)(N-tert-ブチル-(4-tert-ブチルイミノ)-2-ペンテン-2-アミナート)ストロンチウムのおおよそ1:1:1の混合物と共存する、おおよそ比率0.3の遊離N-tert-ブチル-(4-tert-ブチルイミノ)-2-ペンテン-2-アミンを示した。
本明細書引用の特許、特許文献、および出版物の完全な開示は、それぞれが個別に組み込まれるように、その全体が参照によって組み込まれる。本発明に対する種々の変更と修正は、本発明の範囲と趣旨から逸脱することなく当業者に明らかとなるだろう。当然のことながら本発明は、本明細書で説明した実施形態と実施例に過度に制限されるものではなく、また、そのような実施例と実施形態は、以下の通り本明細書で説明する請求項によってのみ制限される、発明の範囲内のみの実施例としてあらわされる。
図1は、本発明の方法における使用に適した蒸着システムの概略図である。

Claims (61)

  1. 金属含有層を基板上に形成する方法であり、前記方法は、
    基板を用意することと、
    (構造式I)の化合物を一種以上含む気体を用意することと、
    (構造式I):
    ここで式中のMは、二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、
    但し以下の一つ以上が当てはまることを条件とし、
    :R1がR5と異なるか、あるいはR2がR4と異なる。
    かつ、上記構造式Iの化合物を一種以上含む上記気体が上記基板と接触し、蒸着工程を用いて金属含有層を上記基板の一つ以上の表面上に形成すること、
    を含むことを特徴とする方法。
  2. 各R1、R2、R3、R4、およびR5が独立に水素または1から10の炭素原子を持つ有機基であることを特徴とする、請求項1の方法。
  3. R1=イソプロピル基、かつR5=tert-ブチル基である、請求項2の方法。
  4. R2=R4=メチル基、かつR3=Hである、請求項2の方法。
  5. R1=イソプロピル基、かつR5=tert-ブチル基である請求項4の方法。
  6. 請求項1の方法であり、ここで一つ以上のLは、ハロゲン化物、アルコキシド基、アミド基、メルカプチド基、シアン化物、アルキル基、アミジナート基、グアニジナート基、イソウレアート基、β‐ジケトナート基、β‐イミノケトナート基、β‐ジケチミナート基、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項1の方法。
  7. 前記一つ以上のLが、構造式Iに示したβ‐ジケチミナート配位子と同じ構造を持つβ‐ジケチミナート基であることを特徴とする、請求項6の方法。
  8. 前記一つ以上のLが、構造式Iに示したβ‐ジケチミナート配位子とは異なる構造を持つβ‐ジケチミナート基であることを特徴とする、請求項6の方法。
  9. 前記一つ以上のLが対称β‐ジケチミナート基である、請求項8の方法。
  10. 前記一つ以上のLが非対称β‐ジケチミナート基である、請求項8の方法。
  11. 一つ以上のYが、カルボニル基、ニトロシル基、アンモニア、アミン、窒素、ホスフィン、アルコール、水、テトラヒドロフラン、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項1の方法。
  12. 半導体構造を製造する方法であり、前記方法は、
    半導体基板またはアセンブリ基板を用意することと、
    (構造式I)の化合物を一種以上含む気体を用意することと、
    (構造式I):
    ここで式中のMは、二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、
    但し以下の一つ以上が当てはまることを条件とし、
    :R1がR5と異なるか、あるいはR2がR4と異なる。
    かつ、前記構造式Iの化合物を一種以上含む前記気体を前記半導体基板またはアセンブリ基板に向け、蒸着工程を用いて前記半導体基板またはアセンブリ基板の一つ以上の表面上に金属含有層を形成すること、
    を含む方法。
  13. 請求項12の方法であり、構造式Iと異なる一種以上の金属含有化合物を含む気体を用意することと、ならびに、前記構造式Iと異なる一種以上の金属含有化合物を含む前記気体を前記半導体基板またはアセンブリ基板に向けることをさらに含む、請求項12の方法。
  14. 前記構造式Iと異なる一種以上の金属含有化合物の金属が、Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Alおよびそれらの組み合わせから成る群から選択されることを特徴とする、請求項13の方法。
  15. 一種以上の反応気体を用意することをさらに含む、請求項12の方法。
  16. 前記蒸着工程が化学蒸着工程である、請求項12の方法。
  17. 前記蒸着工程が、複数の堆積サイクルを含む原子層堆積工程である、請求項12の方法。
  18. 金属含有層を基板上に形成する方法であり、前記方法は
    基板を用意することと、
    (構造式II)の化合物を一種以上含む気体を用意することと、
    (構造式II):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基であり、
    R1=R5、R2=R4、R6=R10、およびR7=R9であり、
    構造式IIに示した二種のβ‐ジケチミナート配位子が異なる構造を持ち、
    かつ、前記構造式IIの化合物を一種以上含む前記気体を前記基板に接触させ、蒸着工程を用いて前記基板の一つ以上の表面上に金属含有層を形成すること、
    を含むことを特徴とする方法。
  19. 各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または1から10の炭素原子を持つ有機基であることを特徴とする、請求項18の方法。
  20. R1=R5=tert-ブチル基、かつR6=R10=イソプロピル基である、請求項19の方法。
  21. R2=R4=R7=R9=メチル基、かつR3=R8=Hである、請求項19の方法。
  22. R1=R5=tert-ブチル基、かつR6=R10=イソプロピル基である、請求項21の方法。
  23. 半導体構造を製造する方法であり、前記方法は、
    半導体基板またはアセンブリ基板を用意することと、
    (構造式II)の化合物を一種以上含む気体を用意することと、
    (構造式II):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基であり、
    R1=R5、R2=R4、R6=R10、およびR7=R9であり、
    構造式IIに示した二種のβ‐ジケチミナート配位子が異なる構造を持ち、
    かつ、前記構造式IIの化合物を一種以上含む前記気体を前記半導体基板またはアセンブリ基板に向け、蒸着工程を用いて前記半導体基板またはアセンブリ基板の一つ以上の表面上に金属含有層を形成すること、
    を含むことを特徴とする方法。
  24. 請求項23の方法であり、構造式IIと異なる一種以上の金属含有化合物を含む気体を用意することと、ならびに、前記構造式IIと異なる一種以上の金属含有化合物を含む前記気体を前記半導体基板またはアセンブリ基板に向けることをさらに含む、請求項23の方法。
  25. 前記構造式IIと異なる一種以上の金属含有化合物の金属が、Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Alおよびそれらの組み合わせから成る群から選択されることを特徴とする、請求項24の方法。
  26. 一種以上の反応気体を用意することをさらに含む、請求項23の方法。
  27. 前記蒸着工程が化学蒸着工程である、請求項23の方法。
  28. 前記蒸着工程が、複数の堆積サイクルを含む原子層堆積工程である、請求項23の方法。
  29. (構造式I)の化合物であり、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、
    但し以下の一つ以上が当てはまることを条件とする、
    :R1がR5と異なるか、あるいはR2がR4と異なる。
    構造式Iの化合物。
  30. 各R1、R2、R3、R4、およびR5が独立に水素または1から10の炭素原子を持つ有機基である、請求項29の化合物。
  31. R1=イソプロピル基、かつR5=tert-ブチル基である、請求項30の化合物。
  32. R2=R4=メチル基、かつR3=Hである、請求項30の化合物。
  33. R1=イソプロピル基、かつR5=tert-ブチル基である請求項32の化合物。
  34. MがCa、Sr、Ba、およびそれらの組み合わせから成る群から選択される、請求項29の化合物。
  35. 一つ以上のLは、ハロゲン化物、アルコキシド基、アミド基、メルカプチド基、シアン化物、アルキル基、アミジナート基、グアニジナート基、イソウレアート基、β‐ジケトナート基、β‐イミノケトナート基、β‐ジケチミナート基、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項29の化合物。
  36. 前記一つ以上のLが、構造式Iに示したβ‐ジケチミナート配位子と同じ構造を持つβ‐ジケチミナート基であることを特徴とする、請求項35の化合物。
  37. 前記一つ以上のLが、構造式Iに示したβ‐ジケチミナート配位子とは異なる構造を持つβ‐ジケチミナート基であることを特徴とする、請求項35の化合物。
  38. 前記一つ以上のLが対称β‐ジケチミナート基である、請求項37の化合物。
  39. 前記一つ以上のLが非対称β‐ジケチミナート基である、請求項37の化合物。
  40. 一つ以上のYが、カルボニル基、ニトロシル基、アンモニア、アミン、窒素、ホスフィン、アルコール、水、テトラヒドロフラン、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項29の化合物。
  41. 金属含有化合物を生成する方法であり、前記方法は、
    (構造式III)の配位子源またはその互変異性体と、
    (構造式III):
    随意にアニオン配位子Lの源と、
    随意に中性配位子Yの源と、
    金属(M)源と
    を含む成分を混合することを含み、
    ここで式中の各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、但し以下の一つ以上が当てはまることを条件とし、:R1がR5と異なるか、あるいはR2がR4と異なる、ならびに、
    前記金属(M)源が二族金属源、三族金属源、ランタニド金属源、およびそれらの組み合わせから成る群から選択され、(構造式I)の金属含有化合物をもたらすのに十分な条件下であり、
    (構造式I):
    ここで式中のM、L、Y、R1、R2、R3、R4、およびR5は上記で定めた通りで、nは金属の原子価状態をあらわし、zは0から10、xは1からnであることを特徴とする、
    金属含有化合物の生成方法。
  42. 前記金属(M)源が、M(II)ビス(ヘキサメチルジシラザン)、M(II)ビス(ヘキサメチルジシラザン)ビス(テトラヒドロフラン)、またはそれらの組み合わせを含むことを特徴とする、請求項41の方法。
  43. MがCa、Sr、Ba、およびそれらの組み合わせから成る群から選択される、請求項41の方法。
  44. 金属含有化合物を生成する方法であり、前記方法は、
    (構造式I)の化合物と、
    (構造式I):
    (構造式VI)の化合物とを含む成分を混合することを含み、
    (構造式VI):
    ここで式中の各Mは、二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    各nは金属の原子化状態をあらわし、
    各zは0から10であり、
    各xは1からnであり、
    各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基であり、
    構造式Iおよび構造式VIに示したβ‐ジケチミナート配位子は異なる構造を持ち、
    但し以下の一つ以上が当てはまることを条件とし、
    :R1がR5と異なるか、R2がR4と異なるか、R6がR10と異なるか、あるいはR7がR9と異なる、
    (構造式II)の金属含有化合物をもたらすのに十分な条件下で混合し、
    (構造式II):
    ここで式中のM、Y、L、R1、R2、R3、R4、R5、R6、R7、R8、R9、R10、nおよびzは上記で定めた通りであることを特徴とする、
    金属含有化合物の生成方法。
  45. 蒸着工程のための前駆体組成であり、前記組成は(構造式I)の化合物を一種以上含み、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、
    但し以下の一つ以上が当てはまることを条件とする、
    :R1がR5と異なるか、あるいはR2がR4と異なる
    ことを特徴とする蒸着工程のための前駆体組成。
  46. (構造式II)の化合物であり、
    (構造式II):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは前記金属の原子化状態をあらわし、
    zは0から10であり、
    各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基であり、
    R1=R5、R2=R4、R6=R10、R7=R9であり、
    構造式IIに示した二種のβ‐ジケチミナート配位子が異なる構造を持つことを特徴とする、
    構造式IIの化合物。
  47. 各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または1から10の炭素原子を持つ有機基であることを特徴とする、請求項46の化合物。
  48. R1=R5=tert-ブチル基、かつR6=R10=イソプロピル基である、請求項47の化合物。
  49. R2=R4=R7=R9=メチル基、かつR3=R8=Hである、請求項47の化合物。
  50. R1=R5=tert-ブチル基、かつR6=R10=イソプロピル基である、請求項49の化合物。
  51. MがCa、Sr、Baおよびそれらの組み合わせから成る群から選択される、請求項46の化合物。
  52. 金属含有化合物を生成する方法であり、前記方法は、
    (構造式III)の配位子源またはその互変異性体と、
    (構造式III):
    (構造式IV)の配位子源またはその互変異性体と、
    (構造式IV):
    随意にアニオン配位子Lの源と、
    随意に中性配位子Yの源と、
    金属(M)源と、を含む成分を混合することを含み、
    ここで式中の各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基であり、R1=R5、R2=R4、R6=R10、R7=R9であり、構造式IIIと構造式IVに示した配位子源が異なる構造を持つことを特徴とし、かつ、
    ここで金属(M)源は二族金属源、三族金属源、ランタニド金属源、およびそれらの組み合わせから成る群から選択され、(構造式II)の金属含有化合物をもたらすのに十分な条件下であり、
    (構造式II):
    ここで式中のM、Y、L、R1、R2、R3、R4、R5、R6、R7、R8、R9、およびR10は上記で定めた通りであり、nは金属の原子価状態をあらわし、かつzは0から10であることを特徴とする、
    金属含有化合物の生成方法。
  53. 金属含有化合物を生成する方法であり、前記方法は、
    (構造式I)の化合物と、
    (構造式I):
    (構造式VI)の化合物とを含む成分を混合することを含み、
    (構造式VI):
    ここで式中の各Mは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    各nは金属の原子価状態をあらわし、
    各zは0から10であり、
    各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基であり、
    R1=R5、R2=R4、R6=R10、R7=R9であり、構造式Iと構造式VIに示したβ‐ジケチミナート配位子が異なる構造を持ち、
    (構造式II)の金属含有化合物をもたらすのに十分な条件下で混合し、
    (構造式II):
    ここで式中のM、Y、L、R1、R2、R3、R4、R5、R6、R7、R8、R9、R10、n、およびzは上記で定めた通りであり、かつ、構造式IIに示した二種のβ‐ジケチミナート配位子が異なる構造を持つことを特徴とする、
    金属含有化合物の生成方法。
  54. 蒸着工程のための前駆体組成であり、前記組成は(構造式II)の化合物を一種以上含み、
    (構造式II):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基であり、
    R1=R5、R2=R4、R6=R10、R7=R9であり、構造式IIに示した二種のβ‐ジケチミナート配位子が異なる構造を持つことを特徴とする、
    蒸着工程のための前駆体組成。
  55. (構造式III)の配位子源またはその互変異性体であり、
    (構造式III):
    ここで式中の各R1、R2、R3、R4、およびR5は独立に水素または1から10の炭素原子を持つアルキル部分であり、但し以下の一つ以上が当てはまることを条件とする、:R1がR5と異なるか、あるいはR2がR4と異なる、
    ことを特徴とする構造式IIIの配位子源またはその互変異性体。
  56. R1=tert-ブチル基、かつR5=イソプロピル基である、請求項55の配位子源。
  57. R2=R4=メチル基、かつR3=Hである、請求項55の配位子源
  58. R1=tert-ブチル基、かつR5=イソプロピル基である、請求項55の配位子源。
  59. β‐ジケチミナート配位子源を生成する方法であり、前記方法は、
    構造式R1NH2のアミンと、
    (構造式V)の化合物またはその互変異性体と、
    (構造式V):
    アルキル化剤とを含む成分を、(構造式III)の配位子源またはその互変異性体をもたらすのに十分な条件下で混合することを含み、
    (構造式III):
    ここで式中の各R1、R2、R3、R4、およびR5は独立に水素または1から10の炭素原子を持つアルキル部分であり、但し以下の一つ以上が当てはまることを条件とする、:R1がR5と異なるか、あるいはR2がR4と異なる、
    ことを特徴とするβ‐ジケチミナート配位子源の生成方法。
  60. 蒸着システムであり、
    その中に基板を配置した堆積室と、ならびに、
    (構造式I)の化合物を一種以上含む一つ以上の容器とを含み、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、
    但し以下の一つ以上が当てはまることを条件とする、
    :R1がR5と異なるか、あるいはR2がR4と異なる、
    ことを特徴とする蒸着システム。
  61. 蒸着システムであり、
    その中に基板を配置した堆積室と、
    (構造式II)の化合物を一種以上含む一つ以上の容器とを含み、
    (構造式II):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基であり、
    R1=R5、R2=R4、R6=R10、R7=R9であり、構造式IIに示した二種のβ‐ジケチミナート配位子が異なる構造を持つことを特徴とする、
    蒸着システム。
JP2008519488A 2005-06-28 2006-06-27 非対称配位子源、低対称性金属含有化合物、およびそれらを含むシステムと方法 Active JP5181292B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/169,082 US7572731B2 (en) 2005-06-28 2005-06-28 Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
US11/169,082 2005-06-28
PCT/US2006/024997 WO2007002674A2 (en) 2005-06-28 2006-06-27 Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same

Publications (3)

Publication Number Publication Date
JP2008546914A true JP2008546914A (ja) 2008-12-25
JP2008546914A5 JP2008546914A5 (ja) 2009-07-30
JP5181292B2 JP5181292B2 (ja) 2013-04-10

Family

ID=37450910

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008519488A Active JP5181292B2 (ja) 2005-06-28 2006-06-27 非対称配位子源、低対称性金属含有化合物、およびそれらを含むシステムと方法

Country Status (8)

Country Link
US (3) US7572731B2 (ja)
EP (1) EP1907354B1 (ja)
JP (1) JP5181292B2 (ja)
KR (1) KR101273024B1 (ja)
CN (1) CN101208295B (ja)
AT (1) ATE542792T1 (ja)
TW (1) TWI410514B (ja)
WO (1) WO2007002674A2 (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7482037B2 (en) * 2004-08-20 2009-01-27 Micron Technology, Inc. Methods for forming niobium and/or vanadium containing layers using atomic layer deposition
US7439338B2 (en) * 2005-06-28 2008-10-21 Micron Technology, Inc. Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
US7416994B2 (en) * 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
US7572731B2 (en) * 2005-06-28 2009-08-11 Micron Technology, Inc. Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
DE102005033579A1 (de) * 2005-07-19 2007-01-25 H.C. Starck Gmbh Verfahren zur Herstellung dünner Hafnium- oder Zirkonnitrid-Schichten
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
EP1961755A1 (en) * 2007-02-21 2008-08-27 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Strontium silylamides, adducts thereof with Lewis bases, preparation thereof and deposition of strontium thin films
WO2009012341A2 (en) * 2007-07-16 2009-01-22 Advancaed Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films
KR20100054806A (ko) * 2007-07-24 2010-05-25 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 반도체 용품에 사용하기 위한 상이한 두 리간드를 갖는 루테늄 전구체
US20100003532A1 (en) * 2008-06-06 2010-01-07 Feist Benjamin J Beta-diketiminate precursors for metal containing film deposition
US8313807B2 (en) * 2008-08-05 2012-11-20 Air Products And Chemicals, Inc. High coordination sphere group 2 metal β-diketiminate precursors
US20100130779A1 (en) * 2008-11-21 2010-05-27 Air Products And Chemicals, Inc. Volatile Group 2 Metal 1,3,5-Triazapentadienate Compounds
US8076243B2 (en) * 2009-01-26 2011-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal precursors for deposition of metal-containing films
JP5692842B2 (ja) * 2010-06-04 2015-04-01 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
WO2012138332A1 (en) * 2011-04-06 2012-10-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Hafnium-containing or zirconium-containing precursors for vapor deposition
TWI563111B (en) * 2011-12-16 2016-12-21 Applied Materials Inc Film deposition using tantalum precursors
WO2013154779A1 (en) * 2012-04-10 2013-10-17 The Regents Of The University Of California Nanocrystal-polymer nanocomposite electrochromic device
KR102442621B1 (ko) 2015-11-30 2022-09-13 삼성전자주식회사 니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US11440929B2 (en) * 2018-06-19 2022-09-13 Versum Materials Us, Llc Bis(diazadiene)cobalt compounds, method of making and method of use thereof
WO2020050919A1 (en) * 2018-09-05 2020-03-12 Applied Materials, Inc. Gas input system for a substrate processing chamber
US11053501B2 (en) 2018-11-30 2021-07-06 The Penn State Research Foundation Methods of treating neurodegenerative disease by inhibiting N-deacetylase N-sulfotransferase
KR20220088907A (ko) 2019-11-01 2022-06-28 가부시키가이샤 아데카 신규 화합물, 그 화합물을 함유하는 박막 형성용 원료 및 박막의 제조 방법
CN117186126A (zh) * 2022-05-31 2023-12-08 华为技术有限公司 金属化合物及其制备方法和应用

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4202889C2 (de) 1992-02-01 1994-12-15 Solvay Deutschland Verfahren zur Abscheidung von ein Metall der ersten Übergangsmetallreihe oder Aluminium enthaltenden Schichten und 1,3-Diketiminato-Metall-Verbindungen
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6225237B1 (en) 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
KR100418581B1 (ko) 2001-06-12 2004-02-11 주식회사 하이닉스반도체 메모리 소자의 제조방법
KR100418569B1 (ko) 2001-12-10 2004-02-14 주식회사 하이닉스반도체 단원자층증착을 이용한 고유전체 박막 형성방법
KR20040077733A (ko) 2002-01-18 2004-09-06 이 아이 듀폰 디 네모아 앤드 캄파니 원자층 증착에 의한 구리막 증착용 휘발성 구리(ⅱ) 착물
US20040247905A1 (en) 2003-04-16 2004-12-09 Bradley Alexander Zak Volatile copper(I) complexes for deposition of copper films by atomic layer deposition
US20050227007A1 (en) 2004-04-08 2005-10-13 Bradley Alexander Z Volatile copper(I) complexes for deposition of copper films by atomic layer deposition
US7020981B2 (en) 2003-10-29 2006-04-04 Asm America, Inc Reaction system for growing a thin film
US7439338B2 (en) 2005-06-28 2008-10-21 Micron Technology, Inc. Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
US7416994B2 (en) 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
US7572731B2 (en) 2005-06-28 2009-08-11 Micron Technology, Inc. Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
US7892358B2 (en) 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
JPN6012036200; K.-H. Park et al.: 'Routes to N,N'-unsymmetrically substituted 1,3-diketimines' J. Org. Chem. Vol. 70, 20050209, pp. 2075-2081, American Chemical Society *
JPN6012036201; R. Knorr and A. Weibeta: 'Konfigurationsstabilitiit und reduzierte '.3-NMR-Verschiebungen(pseudo) tetraedrischer Nickel(I1)-Bi' Chem. Ber. Vol. 114, 1981, pp. 2104-2115, Verlag Chemie GmbH *
JPN6012036204; W. Schroth et al.: 'Nukleophile Addition von Aminsn an Diacetylen und1-Aminobut-1-en-3-ine' Zeitschrift Chem. Vol. 1969, 1969, pp. 110-111, Wiley *
JPN7012002729; B. P. Gusev and V. F. Kucherov: 'Reaction of methyldiacetylene with primary amines' Billetin of the Academy Sciences of the USSR, Division Chemical Sciences (English Ttanslation) Vol. 23, 1974, pp. 194-195 *
JPN7012002730; J. Barluenga et al.: 'Reaction of Fischer alkynylcarbene complexes with 1-azadienederivatives : unexpected formation of 3,' New J. Chem. Vol. 21, 20001115, pp. 8-10, The Royal Society of Chemistry *

Also Published As

Publication number Publication date
US9234273B2 (en) 2016-01-12
CN101208295A (zh) 2008-06-25
EP1907354B1 (en) 2012-01-25
EP1907354A2 (en) 2008-04-09
US20060292873A1 (en) 2006-12-28
TWI410514B (zh) 2013-10-01
US7572731B2 (en) 2009-08-11
ATE542792T1 (de) 2012-02-15
KR101273024B1 (ko) 2013-06-10
US20080214001A9 (en) 2008-09-04
CN101208295B (zh) 2012-03-21
WO2007002674A3 (en) 2007-02-22
KR20080017401A (ko) 2008-02-26
TW200706682A (en) 2007-02-16
US20090275199A1 (en) 2009-11-05
JP5181292B2 (ja) 2013-04-10
WO2007002674A2 (en) 2007-01-04
US7858523B2 (en) 2010-12-28
US20110071316A1 (en) 2011-03-24

Similar Documents

Publication Publication Date Title
JP5181292B2 (ja) 非対称配位子源、低対称性金属含有化合物、およびそれらを含むシステムと方法
US8017184B2 (en) β-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
JP5003978B2 (ja) アルカリ土類金属β‐ジケチミナート前駆体を用いた原子層堆積
US7462559B2 (en) Systems and methods for forming metal-containing layers using vapor deposition processes
US20100099272A1 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090603

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090603

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090603

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120717

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121016

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121016

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121211

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121226

R150 Certificate of patent or registration of utility model

Ref document number: 5181292

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160125

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250