JP2008268850A - Pattern forming method - Google Patents

Pattern forming method Download PDF

Info

Publication number
JP2008268850A
JP2008268850A JP2007286954A JP2007286954A JP2008268850A JP 2008268850 A JP2008268850 A JP 2008268850A JP 2007286954 A JP2007286954 A JP 2007286954A JP 2007286954 A JP2007286954 A JP 2007286954A JP 2008268850 A JP2008268850 A JP 2008268850A
Authority
JP
Japan
Prior art keywords
group
carbon atoms
bis
sulfonate
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007286954A
Other languages
Japanese (ja)
Other versions
JP4844756B2 (en
Inventor
Jun Hatakeyama
畠山  潤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2007286954A priority Critical patent/JP4844756B2/en
Publication of JP2008268850A publication Critical patent/JP2008268850A/en
Application granted granted Critical
Publication of JP4844756B2 publication Critical patent/JP4844756B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

<P>PROBLEM TO BE SOLVED: To provide a pattern forming method in which, in an immersion lithography process applying a protective film, a resist film surface is wetted with a 4-10C higher alcohol before coating with the protective film, so that the migration of resist additives to the protective film can be prevented to minimize a change in pattern profile, and the resist film surface is hydrophilized by slight surface roughing by the alcohol treatment to prevent the occurrence of blob defects and to reduce a dispense amount in coating with the protective film. <P>SOLUTION: The pattern forming method includes: a process of applying a photoresist material on a substrate; a process of wetting a formed photoresist film surface after heat treatment with a solution containing a 4-10C higher alcohol, and exposing the surface with a high energy line after coating with a protective film and heat treatment; and a process of performing development with a developer. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、半導体素子などの製造工程における微細加工、例えば波長193nmのArFエキシマレーザーを光源とし、投影レンズとウエハーの間に水を挿入する液浸フォトリソグラフィーにおけるパターン形成方法に関するものである。   The present invention relates to a pattern formation method in immersion photolithography in which fine processing in a manufacturing process of a semiconductor element or the like, for example, an ArF excimer laser having a wavelength of 193 nm is used as a light source, and water is inserted between a projection lens and a wafer.

近年、LSIの高集積化と高速度化に伴い、パターンルールの微細化が求められている中、現在汎用技術として用いられている光露光では、光源の波長に由来する本質的な解像度の限界に近づきつつある。   In recent years, with the higher integration and higher speed of LSIs, there is a demand for finer pattern rules. In light exposure currently used as a general-purpose technology, the intrinsic resolution limit derived from the wavelength of the light source Is approaching.

これまでレジストパターン形成の際に使用する露光光として、水銀灯のg線(436nm)もしくはi線(365nm)を光源とする光露光が広く用いられた。そして、更なる微細化のための手段として、露光波長を短波長化する方法が有効とされ、64Mビット(加工寸法が0.25μm以下)DRAM(ダイナミック・ランダム・アクセス・メモリー)以降の量産プロセスには、露光光源としてi線(365nm)に代わって短波長のKrFエキシマレーザー(248nm)が利用された。   Conventionally, light exposure using a g-ray (436 nm) or i-line (365 nm) of a mercury lamp as a light source has been widely used as exposure light used in forming a resist pattern. As a means for further miniaturization, a method of shortening the exposure wavelength is effective, and a mass production process after 64 Mbit (process size is 0.25 μm or less) DRAM (Dynamic Random Access Memory). In this case, a KrF excimer laser (248 nm) having a short wavelength was used as an exposure light source instead of i-line (365 nm).

しかし、更に微細な加工技術(加工寸法が0.2μm以下)を必要とする集積度256M及び1G以上のDRAMの製造には、より短波長の光源が必要とされ、10年ほど前からArFエキシマレーザー(193nm)を用いたフォトリソグラフィーが本格的に検討されてきた。   However, in order to manufacture DRAMs with a density of 256M and 1G or more that require finer processing technology (processing dimensions of 0.2 μm or less), a light source with a shorter wavelength is required, and an ArF excimer has been used for about 10 years. Photolithography using a laser (193 nm) has been studied in earnest.

当初ArFリソグラフィーは180nmノードのデバイス作製から適用されるはずであったが、KrFエキシマリソグラフィーは130nmノードデバイス量産まで延命され、ArFリソグラフィーの本格適用は90nmノードからである。更に、NAを0.9にまで高めたレンズと組み合わせて65nmノードデバイスの検討が行われている。   At first, ArF lithography was supposed to be applied from the device fabrication of the 180 nm node, but KrF excimer lithography was extended to 130 nm node device mass production, and full-scale application of ArF lithography is from the 90 nm node. Further, a 65 nm node device is being studied in combination with a lens whose NA is increased to 0.9.

次の45nmノードデバイスには露光波長の短波長化が推し進められ、波長157nmのF2リソグラフィーが候補に挙がった。しかしながら、投影レンズに高価なCaF2単結晶を大量に用いることによるスキャナーのコストアップ、ソフトペリクルの耐久性が極めて低いためのハードペリクル導入に伴う光学系の変更、レジスト膜のエッチング耐性低下等の種々の問題により、F2リソグラフィーの先送りと、ArF液浸リソグラフィーの早期導入が提唱された(非特許文献1参照)。 For the next 45 nm node device, the exposure wavelength has been shortened, and F 2 lithography with a wavelength of 157 nm was nominated. However, the cost of the scanner is increased by using a large amount of expensive CaF 2 single crystal for the projection lens, the optical system changes due to the introduction of the hard pellicle because the durability of the soft pellicle is extremely low, the resist film etching resistance is reduced Due to various problems, it has been proposed to advance F 2 lithography and early introduction of ArF immersion lithography (see Non-Patent Document 1).

ArF液浸リソグラフィーにおいて、投影レンズとウエハーの間に水を含浸させることが提案されている。193nmにおける水の屈折率は1.44であり、NA1.0以上のレンズを使ってもパターン形成が可能で、NAを1.35まで上げることができる。NAの向上分だけ解像力が向上し、NA1.2以上のレンズと強い超解像技術の組み合わせで45nmノードの可能性が示されている(非特許文献2参照)。   In ArF immersion lithography, it has been proposed to impregnate water between the projection lens and the wafer. The refractive index of water at 193 nm is 1.44, and pattern formation is possible even with a lens having an NA of 1.0 or more, and the NA can be increased to 1.35. The resolution is improved by the improvement of NA, and the possibility of a 45 nm node is shown by the combination of a lens of NA 1.2 or higher and strong super-resolution technology (see Non-Patent Document 2).

水よりも高屈折率液体を用いた液浸リソグラフィーの開発が行われている。液体としては屈折率1.65の材料が提案されており、屈折率2.1のLuAG(Lu3Al512ガーネット)を用いた先玉レンズと組み合わせることによって1.55のNAが可能になり、35nmLSの解像が期待される。しかしながら、LuAGは現在のところ副屈折率と吸収が大きく、実用化の目処が立っていない。屈折率1.65の液体は、温度変化に対する屈折率変化が水よりも約5倍大きいので、水よりも温度制御の精度を5倍高めなければならない。水よりも高粘度でしかもレジスト膜やトップコートとの接触角が低いために高速スキャンのためのノズルの設計が難しいなどの問題がある。更に1.7以上のNAレンズと組み合わせて32nmLSを解像するためには1.8以上の屈折率の液体が必要とされるが、高屈折率化には環状構造のアルキル基を有する化合物が必要であり、粘度が更に上昇するために高速スキャンができないことや、硫黄を入れると屈折率が上がるが吸収が増えるために屈折率1.65を超える実用的な液体は未だ見つかっていない。 Development of immersion lithography using a liquid having a higher refractive index than water has been underway. A material with a refractive index of 1.65 has been proposed as a liquid, and an NA of 1.55 is possible by combining it with a front lens using LuAG (Lu 3 Al 5 O 12 garnet) with a refractive index of 2.1. Therefore, a resolution of 35 nm LS is expected. However, LuAG has a large secondary refractive index and absorption at present, and there is no prospect of practical use. A liquid with a refractive index of 1.65 has a refractive index change with respect to a temperature change that is about five times greater than that of water, so the temperature control accuracy must be increased by a factor of five over water. There is a problem that it is difficult to design a nozzle for high-speed scanning because it has a higher viscosity than water and a low contact angle with a resist film or top coat. Furthermore, in order to resolve 32 nm LS in combination with an NA lens of 1.7 or more, a liquid having a refractive index of 1.8 or more is required. To increase the refractive index, a compound having an alkyl group having a cyclic structure is required. It is necessary, and since the viscosity further increases, high-speed scanning cannot be performed, and when sulfur is added, the refractive index increases but the absorption increases, so that a practical liquid having a refractive index exceeding 1.65 has not yet been found.

一方、水液浸露光においてレジスト膜の上に水が存在することによる様々な問題が指摘されている。即ち、レジスト組成物中の光酸発生剤や、光照射により発生した酸、アミンクエンチャーが接触している水に溶出してしまうこと(リーチング)によるパターン形状変化、フォトレジスト膜の水膨潤によるパターン倒れなどが挙げられる。   On the other hand, various problems due to the presence of water on the resist film in water immersion exposure have been pointed out. That is, the photo acid generator in the resist composition, the acid generated by light irradiation, the pattern shape change due to elution of the amine quencher in the water in contact (leaching), and the water swelling of the photoresist film Examples include pattern collapse.

特に、レジスト組成物の水への溶出については、当初は露光装置の投影レンズへの汚染防止の観点から検討が開始され、複数の露光装置メーカーより溶出量規格が提案された。   In particular, with regard to elution of the resist composition in water, studies were initially started from the viewpoint of preventing contamination of the projection lens of the exposure apparatus, and a plurality of exposure apparatus manufacturers proposed elution amount standards.

この問題を解決する方法として、レジスト膜と水との間にパーフルオロアルキル化合物からなる保護膜を設けることが有効であることが提案されている(非特許文献3参照)。   As a method for solving this problem, it has been proposed to provide a protective film made of a perfluoroalkyl compound between a resist film and water (see Non-Patent Document 3).

これらの保護膜を形成することで、フォトレジスト膜と水との直接的な接触を避けることができるため、フォトレジスト組成物の水への溶出を抑えることが可能となる。   By forming these protective films, direct contact between the photoresist film and water can be avoided, so that elution of the photoresist composition into water can be suppressed.

しかし、上記パーフルオロアルキル化合物からなる保護膜は、塗布膜厚を制御するための希釈液にはフロンなどが用いられており、周知の通りフロンは現在環境保全の観点からその使用が問題となっている。また、フォトレジスト膜の現像前に、この保護膜をフロンで剥離しなければならないため、従来装置に保護膜専用の塗布、及び剥離用ユニットを増設しなければならないことや、フロン系溶剤のコストがかさむことなど実用面での問題が大きかった。   However, in the protective film made of the perfluoroalkyl compound, chlorofluorocarbon or the like is used as a diluent for controlling the coating film thickness. As is well known, chlorofluorocarbon is currently problematic for use from the viewpoint of environmental protection. ing. In addition, since this protective film must be peeled off with chlorofluorocarbon before developing the photoresist film, it is necessary to add a dedicated coating and peeling unit for the protective film to the conventional device, and the cost of chlorofluorocarbon solvents. There were many problems in practical use, such as being voluminous.

これら溶剤剥離型の保護膜使用に伴う実用面での欠点を軽減する手段として、アルカリ現像液可溶型の保護膜が提案されている(特許文献1参照)。   As a means for reducing the practical disadvantages associated with the use of these solvent-peeling protective films, an alkali developer-soluble protective film has been proposed (see Patent Document 1).

このようなアルカリ現像液可溶型の保護膜は、フォトレジスト膜の現像工程で同時に溶解除去ができるため、保護膜剥離工程の追加や専用の剥離ユニットを必要としないという点で画期的であるといえる。しかしながら、このようなアルカリ現像液可溶型の保護膜の使用にあたっても、依然として保護膜の塗布工程は必要である。また、保護膜材料の塗布溶液を構成する希釈溶媒は、その下地膜となるフォトレジストの膜を侵してはならないという制約から、フォトレジスト膜が容易に溶解しないものから選ぶ必要があり、両薬液の混和による樹脂析出等のトラブルを避けるためには保護膜塗布専用のユニットが必要となってしまう。   Such an alkaline developer-soluble protective film can be dissolved and removed at the same time in the development process of the photoresist film, which is revolutionary in that it does not require an additional protective film peeling process or a special peeling unit. It can be said that there is. However, the use of such an alkaline developer-soluble protective film still requires a protective film coating step. In addition, the diluting solvent that constitutes the coating solution for the protective film material must be selected from those in which the photoresist film does not dissolve easily because of the restriction that the photoresist film as the underlying film must not be affected. In order to avoid troubles such as resin precipitation due to mixing, a unit dedicated to coating a protective film is required.

一方、現在までに上市されているArF液浸露光装置は、いずれもレジスト膜の塗布された基板全体を水中に浸漬するのではなく、投影レンズとウエハーとの間に部分的に水を保持し、ウエハーの載ったステージを300〜550mm毎秒の速度で走査しながら露光するローカルフィル方式となっている。このように高速な走査により、投影レンズとウエハーとの間に水を保持していることができず、走査後のフォトレジスト表面又は保護膜表面に液滴を残してしまうという問題が生じている。このように液滴を残すとパターン形成不良を誘発すると考えられている。   On the other hand, all ArF immersion exposure apparatuses marketed to date do not immerse the entire substrate coated with a resist film in water, but hold water partially between the projection lens and the wafer. The local fill method is used in which exposure is performed while scanning the stage on which the wafer is placed at a speed of 300 to 550 mm per second. Thus, due to high-speed scanning, water cannot be held between the projection lens and the wafer, and there is a problem that droplets remain on the surface of the photoresist or protective film after scanning. . It is believed that leaving the droplets in this way induces pattern formation defects.

走査後のフォトレジスト膜表面又は保護膜表面への液滴残りを解消するためには、これらの塗布膜上での水の動き易さを改善する必要がある。液浸露光による欠陥数を減少させるためには、フォトレジスト膜又は保護膜の水に対する後退接触角を高くすることが有効であることが示されている(非特許文献4参照)。   In order to eliminate remaining droplets on the surface of the photoresist film or the protective film after scanning, it is necessary to improve the ease of movement of water on these coating films. In order to reduce the number of defects due to immersion exposure, it has been shown that it is effective to increase the receding contact angle of the photoresist film or the protective film with respect to water (see Non-Patent Document 4).

フォトレジスト材料のスピンコーティングにおけるディスペンス量を削減するために、フォトレジスト溶媒あるいはフォトレジスト溶媒と混用する溶液で基板を塗らした状態でフォトレジスト材料をディスペンスしスピンコートすると、フォトレジスト溶液の基板への広がりが改善され、フォトレジスト材料のディスペンス量が削減される方法が提案されている(特許文献2参照)。レジスト保護膜のスピンコートにおいても同様のプロセスが考えられる。   In order to reduce the amount of dispensing in the spin coating of the photoresist material, when the photoresist material is dispensed and spin coated in a state where the substrate is coated with a photoresist solvent or a solution mixed with the photoresist solvent, the photoresist solution is applied to the substrate. A method has been proposed in which spread is improved and the amount of dispensed photoresist material is reduced (see Patent Document 2). A similar process can be considered for spin coating of a resist protective film.

現像後のレジスト膜上に発生するブロッブと呼ばれる残渣欠陥が問題となっている。これは、現像後のレジスト膜の疎水性が高い場合に顕著に発生する。現像後の純水リンスにおける振り切りの乾燥時において、水との接触角が低い親水性表面の場合は水がレジスト膜の全面を覆いながら中心部分から乾燥していき、ウエハー表面を水が移動することがない。一方、レジスト膜表面が疎水性表面の場合、水は弾かれながらウエハー表面を高速で移動する。この時に膜表面を削り取りながら移動し、乾燥後に染みのようなブロッブ欠陥や、ホールの口が埋めこまれているような閉塞欠陥を引き起こす。   Residual defects called blobs occurring on the resist film after development have been a problem. This occurs remarkably when the resist film after development has high hydrophobicity. In the case of a hydrophilic surface with a low contact angle with water when drying off with pure water rinse after development, the water is dried from the center while covering the entire surface of the resist film, and the water moves on the wafer surface. There is nothing. On the other hand, when the resist film surface is a hydrophobic surface, the wafer surface is moved at a high speed while being repelled. At this time, it moves while scraping the film surface, and after drying, it causes a blob defect such as a stain or a blockage defect in which the hole mouth is buried.

保護膜を用いた液浸リソグラフィー用のレジスト膜において、保護膜とレジスト膜との混合によって、現像後のレジスト膜表面に疎水性の高い保護膜が残存し、レジスト膜上にブロッブ欠陥が発生する。現像後に保護膜が残らないように、保護膜とレジスト膜とのミキシングを防いでやる必要がある。   In a resist film for immersion lithography using a protective film, mixing of the protective film and the resist film leaves a highly hydrophobic protective film on the surface of the developed resist film, resulting in blob defects on the resist film. . It is necessary to prevent mixing between the protective film and the resist film so that the protective film does not remain after development.

ブロッブ欠陥防止には、現像後のレジスト膜表面の親水性を上げるだけでなく膜表面に凹凸を付けてやることも効果的である。振り切りの遠心力で水玉を形成して移動しないようにするためには、膜表面の親水性による静電気的な力だけでなく、膜表面の凹凸によるアンカー効果も有効である。   In order to prevent blob defects, it is effective not only to increase the hydrophilicity of the resist film surface after development, but also to make the film surface uneven. In order not to move by forming polka dots by the centrifugal force of shaking, not only the electrostatic force due to the hydrophilicity of the membrane surface but also the anchor effect due to the unevenness of the membrane surface is effective.

保護膜を適用することによってレジスト膜形状が変化することが指摘されている。これはレジスト材料中に添加されている酸発生剤やアミンクエンチャーの保護膜層への移動や、保護膜とレジスト膜とのインターミキシングが原因であると考えられている。特にアルカリ現像液に溶解するタイプの保護膜において、保護膜を適用しない場合に比べて現像後のレジストパターンが大きく変化し、保護膜の種類によって頭張り形状になったり、膜減り形状になったりする。ブロッブ欠陥を防止するために現像後のレジスト膜表面に凹凸を付けるには、レジスト膜表面をアルカリ現像液で若干溶解させることが効果的である。しかしながら、レジスト膜表面が溶解すると言うことはパターンの膜減りとなり、ラインアンドスペースパターンでは頭丸形状になり、密集ホールパターンではホール間の残し部分の膜減りが生じ、好ましいことではない。全てのレジスト膜に形状変化を起こさせず、しかも欠陥を発生させない現像液可溶型の保護膜材料の開発が求められている。   It has been pointed out that the resist film shape is changed by applying a protective film. This is considered to be caused by the movement of the acid generator and amine quencher added to the resist material to the protective film layer and the intermixing of the protective film and the resist film. In particular, in the type of protective film that dissolves in an alkaline developer, the resist pattern after development changes greatly compared to the case where no protective film is applied. To do. In order to prevent unevenness on the resist film surface after development in order to prevent blob defects, it is effective to slightly dissolve the resist film surface with an alkaline developer. However, the fact that the resist film surface is dissolved is not preferable because the film thickness of the pattern is reduced, the line-and-space pattern has a round head shape, and the dense hole pattern causes a film loss of the remaining portion between the holes. There is a need to develop a developer-soluble protective film material that does not cause a shape change in all resist films and that does not generate defects.

特開2005−264131号公報JP 2005-264131 A 特開平9−246173号公報Japanese Patent Laid-Open No. 9-246173 Proc. SPIE Vol. 4690 xxixProc. SPIE Vol. 4690 xxix Proc. SPIE Vol. 5040 p724Proc. SPIE Vol. 5040 p724 2nd Immersion Work Shop, July 11, 2003, Resist and Cover Material Investigation for Immersion Lithography2nd Immersion Work Shop, July 11, 2003, Resist and Cover Material Investing for Immersion Lithography 2nd International Symposium on Immersion Lithography, 12−15/Sept.,2005, Defectivity data taken with a full−field immersion exposure tool, Nakano et., al.2nd International Symposium on Immersion Lithography, 12-15 / Sept. , 2005, Defectivity data take with a full-field immersion exposure tool, Nakano et. , Al.

本発明は、このような問題点に鑑みてなされたもので、保護膜を適用する液浸リソグラフィープロセスにおいて、保護膜を塗布する前にレジスト膜表面を炭素数4〜10の高級アルコールで濡らしておいてから保護膜を塗布することによって、レジスト添加物の保護膜層への移動を防ぐことによってパターン形状の変化を最小限に抑えることができ、また、アルコール処理によってレジスト膜表面に多少の凹凸を発生させて親水性化することによってブロッブ欠陥の発生を防ぎ、保護膜を塗布するときのディスペンス量を削減することができるパターン形成方法を提供することを目的とする。   The present invention has been made in view of such problems. In an immersion lithography process in which a protective film is applied, the resist film surface is wetted with a higher alcohol having 4 to 10 carbon atoms before the protective film is applied. By applying a protective film, the change of the pattern shape can be minimized by preventing the resist additive from moving to the protective film layer. It is an object of the present invention to provide a pattern forming method capable of preventing the occurrence of blob defects by reducing the amount of dispensing when applying a protective film and reducing the amount of dispensing when a protective film is applied.

本発明は、上記課題を解決するためになされたもので、以下に示されるパターン形成方法を提案するものである。
請求項1:
フォトレジスト材料を基板上に塗布する工程と、加熱処理後、炭素数4〜10の高級アルコールを含む溶液でフォトレジスト膜表面を濡らした後に、保護膜を塗布し、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法。
請求項2:
高級アルコールを含む溶液が、更に炭素数8〜12のエーテル化合物が混合された溶液である請求項1に記載のパターン形成方法。
請求項3:
高級アルコールを含む溶液が、更に塩酸、硝酸、硫酸、カルボン酸、スルホン酸、又はスルホン酸のアミン塩が混合された溶液である請求項1又は2に記載のパターン形成方法。
請求項4:
高級アルコールが炭素数4〜7のものである請求項1乃至3のいずれか1項記載のパターン形成方法。
請求項5:
前記高エネルギー線で露光する工程を、投影レンズの最下位面と基板との間に液体を介して露光する液浸露光により行うことを特徴とする請求項1乃至4のいずれか1項記載のパターン形成方法。
請求項6:
前記液浸露光において、180〜250nmの範囲の露光波長を用い、前記フォトレジスト膜と保護膜とが形成された基板と、投影レンズの最下位面との間に液体を挿入し、該液体を介して前記基板を露光することを特徴とする請求項5に記載のパターン形成方法。
請求項7:
前記液浸露光において、フォトレジスト膜と保護膜とが形成された基板と、投影レンズの最下位面との間に挿入する液体として水を用いて露光することを特徴とする請求項5又は6に記載のパターン形成方法。
請求項8:
保護膜材料が、α−トリフルオロメチルアルコール基を有するアルカリ溶解性ポリマーを、炭素数8〜12のエーテル化合物及び/又は炭素数4〜10の高級アルコールに溶解させたものである請求項1乃至7のいずれか1項記載のパターン形成方法。
The present invention has been made to solve the above-described problems, and proposes a pattern forming method shown below.
Claim 1:
After applying the photoresist material on the substrate, and after heat treatment, the photoresist film surface is wetted with a solution containing a higher alcohol having 4 to 10 carbon atoms, then a protective film is applied, and after the heat treatment, high energy rays are applied. The pattern formation method characterized by including the process exposed by (3) and the process developed using a developing solution.
Claim 2:
The pattern forming method according to claim 1, wherein the solution containing the higher alcohol is a solution in which an ether compound having 8 to 12 carbon atoms is further mixed.
Claim 3:
The pattern forming method according to claim 1 or 2, wherein the solution containing the higher alcohol is a solution in which hydrochloric acid, nitric acid, sulfuric acid, carboxylic acid, sulfonic acid, or an amine salt of sulfonic acid is further mixed.
Claim 4:
The pattern forming method according to claim 1, wherein the higher alcohol has 4 to 7 carbon atoms.
Claim 5:
5. The exposure according to claim 1, wherein the step of exposing with the high energy beam is performed by immersion exposure in which exposure is performed via a liquid between the lowest surface of the projection lens and the substrate. Pattern forming method.
Claim 6:
In the immersion exposure, an exposure wavelength in the range of 180 to 250 nm is used, a liquid is inserted between the substrate on which the photoresist film and the protective film are formed, and the lowest surface of the projection lens, and the liquid is used. The pattern forming method according to claim 5, wherein the substrate is exposed through the substrate.
Claim 7:
7. The liquid immersion exposure according to claim 5, wherein water is used as a liquid inserted between the substrate on which the photoresist film and the protective film are formed and the lowest surface of the projection lens. The pattern forming method according to 1.
Claim 8:
The protective film material is obtained by dissolving an alkali-soluble polymer having an α-trifluoromethyl alcohol group in an ether compound having 8 to 12 carbon atoms and / or a higher alcohol having 4 to 10 carbon atoms. 8. The pattern forming method according to any one of 7 above.

本発明のパターン形成方法によれば、フォトレジスト膜は、保護膜を適用する液浸リソグラフィープロセスにおいて、保護膜を塗布する前にレジスト膜表面を炭素数4〜10の高級アルコールで濡らしておいてから保護膜を塗布することによって、レジスト添加物の保護膜層への移動を防ぐことによってパターン形状の変化を最小限に抑えることができる。また、アルコール処理によってレジスト膜表面を親水性化することによってブロッブ欠陥の発生を防ぎ、保護膜を塗布するときのディスペンス量を削減することができる。   According to the pattern forming method of the present invention, the photoresist film is wetted with a higher alcohol having 4 to 10 carbon atoms before applying the protective film in an immersion lithography process in which the protective film is applied. By applying the protective film, the pattern shape change can be minimized by preventing the resist additive from moving to the protective film layer. Also, by making the resist film surface hydrophilic by alcohol treatment, the occurrence of blob defects can be prevented, and the amount of dispensing when applying the protective film can be reduced.

以下、本発明の実施の形態について説明するが、本発明はこれらに限定されるものではない。
現像液可溶型の保護膜を用いる液浸露光については、前述のように、保護膜の適用の有無で現像後のレジスト形状に変化がないことと、保護膜のディスペンス量の削減、特に孤立ホールパターンでのブロッブ欠陥低減が求められていた。
Hereinafter, although embodiment of this invention is described, this invention is not limited to these.
For immersion exposure using a developer-soluble protective film, as described above, there is no change in the resist shape after development with or without the application of the protective film, and the dispense amount of the protective film is reduced, especially in isolation. Reduction of blob defects in the hole pattern has been demanded.

本発明者は、この問題を解決するため鋭意検討及び研究を重ねた結果、保護膜を塗布する前にレジスト表面を炭素数4〜10の高級アルコールで濡らすプロセスによってレジスト材料中の酸発生剤やクエンチャーなどのアミン化合物をレジスト膜表面から抽出することができ、これによってレジスト膜から保護膜層への添加剤の移動を防ぐことによってレジスト膜と保護膜とのインターミキシングを防止できることを知見して、本発明を完成させたものである。   As a result of intensive studies and researches to solve this problem, the present inventor has found that the acid generator and the acid generator in the resist material can be obtained by a process of wetting the resist surface with a higher alcohol having 4 to 10 carbon atoms before applying the protective film. It was discovered that amine compounds such as quenchers can be extracted from the resist film surface, thereby preventing intermixing between the resist film and the protective film by preventing the transfer of additives from the resist film to the protective film layer. Thus, the present invention has been completed.

即ち、本発明は、フォトレジスト材料を基板上に塗布する工程と、加熱処理後、炭素数4〜10の高級アルコールを含む溶液でフォトレジスト膜表面を濡らした後に、保護膜を塗布し、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法である。   That is, the present invention includes a step of applying a photoresist material on a substrate, and after the heat treatment, after the photoresist film surface is wetted with a solution containing a higher alcohol having 4 to 10 carbon atoms, a protective film is applied, and heating is performed. It is a pattern formation method characterized by including the process exposed to a high energy ray after a process, and the process developed using a developing solution.

炭素数4〜10の高級アルコールとしては、具体的には1−ブチルアルコール、2−ブチルアルコール、イソブチルアルコール、tert−ブチルアルコール、1−ペンタノール、2−ペンタノール、3−ペンタノール、tert−アミルアルコール、ネオペンチルアルコール、2−メチル−1−ブタノール、3−メチル−1−ブタノール、3−メチル−3−ペンタノール、シクロペンタノール、1−ヘキサノール、2−ヘキサノール、3−ヘキサノール、2,3−ジメチル−2−ブタノール、3,3−ジメチル−1−ブタノール、3,3−ジメチル−2−ブタノール、2−ジエチル−1−ブタノール、2−メチル−1−ペンタノール、2−メチル−2−ペンタノール、2−メチル−3−ペンタノール、3−メチル−1−ペンタノール、3−メチル−2−ペンタノール、3−メチル−3−ペンタノール、4−メチル−1−ペンタノール、4−メチル−2−ペンタノール、4−メチル−3−ペンタノール、シクロヘキサノール、1−オクタノールが挙げられ、これらの1種を単独で又は2種以上を混合して使用することができ、より好ましくは炭素数4〜7の高級アルコールを用いる。   Specific examples of the higher alcohol having 4 to 10 carbon atoms include 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, and tert- Amyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2, 3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-diethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl-2 -Pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3- Til-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol, 1-octanol These may be used alone or in combination of two or more, and more preferably a higher alcohol having 4 to 7 carbon atoms is used.

レジスト膜がポリシルセスキオキサン、ポリノルボルネン、メタセシス開環重合(ROMP)によるポリマー等により形成されている場合、上記アルコールの表面処理によって膜厚が10nm以上減少してしまうことがあり、パターン形状が変化する場合がある。この場合、膜厚の減少を防ぐ目的で上記アルコールと炭素数8〜12のエーテル化合物を混合することが好ましい。   When the resist film is made of polysilsesquioxane, polynorbornene, a polymer by metathesis ring-opening polymerization (ROMP) or the like, the film thickness may be reduced by 10 nm or more due to the surface treatment of the alcohol. May change. In this case, it is preferable to mix the alcohol and an ether compound having 8 to 12 carbon atoms for the purpose of preventing the film thickness from decreasing.

炭素数8〜12のエーテル化合物として具体的には、ジ−n−ブチルエーテル、ジ−イソブチルエーテル、ジ−sec−ブチルエーテル、ジ−n−ペンチルエーテル、ジイソペンチルエーテル、ジ−sec−ペンチルエーテル、ジ−t−アミルエーテル、ジ−n−ヘキシルエーテルから選ばれる1種以上の溶媒が挙げられる。   Specific examples of the ether compound having 8 to 12 carbon atoms include di-n-butyl ether, di-isobutyl ether, di-sec-butyl ether, di-n-pentyl ether, diisopentyl ether, di-sec-pentyl ether, Examples thereof include one or more solvents selected from di-t-amyl ether and di-n-hexyl ether.

混合比率としては、溶媒全体に対して炭素数4〜10の高級アルコールが10〜100質量%、好ましくは20〜100質量%、より好ましくは30〜100質量%であるが、上記エーテル化合物を配合する場合、エーテル化合物の割合は10質量%以上、特に20質量%以上である。更に、n−ヘキサン、n−ヘプタン、n−オクタン、n−ノナン、シクロヘキサン、シクロヘプタン、n−デカンなどのアルカン、トルエンやキシレンなどの他の溶剤を混合してもかまわない。この場合においても溶媒全体に対して炭素数4〜10の高級アルコールの割合は10〜100質量%、好ましくは20〜100質量%、より好ましくは30〜100質量%の範囲内に混合される。   As a mixing ratio, the higher alcohol having 4 to 10 carbon atoms is 10 to 100% by mass, preferably 20 to 100% by mass, more preferably 30 to 100% by mass with respect to the whole solvent, but the above ether compound is blended. In this case, the proportion of the ether compound is 10% by mass or more, particularly 20% by mass or more. Furthermore, other solvents such as alkane such as n-hexane, n-heptane, n-octane, n-nonane, cyclohexane, cycloheptane and n-decane, toluene and xylene may be mixed. Even in this case, the proportion of the higher alcohol having 4 to 10 carbon atoms is mixed within the range of 10 to 100% by mass, preferably 20 to 100% by mass, and more preferably 30 to 100% by mass with respect to the entire solvent.

レジスト膜の表面の親水性を高めるために、塩酸、硝酸、硫酸、カルボン酸、スルホン酸あるいはスルホン酸のアミン塩を有する化合物を溶媒に混合することもできる。
カルボン酸としては、蟻酸、酢酸、プロパン酸、ブタン酸、イソブタン酸、ペンタン酸、シクロヘキシルカルボン酸、ラウリン酸、パルミチック酸、ステアリン酸、オギザリック酸、マロン酸、シュウ酸、グルタリック酸、アジピン酸、アクリル酸、メタクリル酸、プロピン酸、クロトン酸、オレイン酸、マレイン酸、スルホン酸として0は、メタンスルホン酸、エタンスルホン酸、プロパンスルホン酸、ブタンスルホン酸、ペンタンスルホン酸、カンファースルホン酸などのアルキルスルホン酸、ベンゼンスルホン酸、トシル酸、メジチレンスルホン酸、ナフタレンスルホン酸などのアリールスルホン酸、トリフルオロメタンスルホン酸、トリフルオロエチルスルホン酸、パーフルオロブタンスルホン酸などのパーフルオロアルキルスルホン酸等が挙げられる。アミン塩としては上記スルホン酸の1級、2級、3級あるいは芳香族、複素芳香族アミン塩が挙げられる。これらの化合物の添加割合は、溶媒全体に対して0.0001〜30質量%、好ましくは0.001〜20質量%、より好ましくは0.01〜10質量%である。
In order to enhance the hydrophilicity of the resist film surface, hydrochloric acid, nitric acid, sulfuric acid, carboxylic acid, sulfonic acid, or a compound having an amine salt of sulfonic acid may be mixed in a solvent.
Carboxylic acids include formic acid, acetic acid, propanoic acid, butanoic acid, isobutanoic acid, pentanoic acid, cyclohexyl carboxylic acid, lauric acid, palmitic acid, stearic acid, oxalic acid, malonic acid, oxalic acid, glutaric acid, adipic acid, acrylic acid 0 as an acid, methacrylic acid, propionic acid, crotonic acid, oleic acid, maleic acid or sulfonic acid is an alkyl sulfone such as methanesulfonic acid, ethanesulfonic acid, propanesulfonic acid, butanesulfonic acid, pentanesulfonic acid or camphorsulfonic acid. Perfluoroalkyl sulfones such as acid, benzene sulfonic acid, tosylic acid, dimethylene sulfonic acid, aryl sulfonic acid such as naphthalene sulfonic acid, trifluoromethane sulfonic acid, trifluoroethyl sulfonic acid, perfluorobutane sulfonic acid Acid and the like. Examples of the amine salt include primary, secondary, tertiary, aromatic and heteroaromatic amine salts of the above sulfonic acid. The addition ratio of these compounds is 0.0001-30 mass% with respect to the whole solvent, Preferably it is 0.001-20 mass%, More preferably, it is 0.01-10 mass%.

炭素数4〜10の高級アルコールを含む溶液でフォトレジスト表面を濡らすには、プリベーク後のレジスト膜表面に前記溶液をディスペンスし、ウエハーを回転させる。ウエハーを回転させながらディスペンスすると、ディスペンス時間を短縮できる。この場合、回転数は500〜5,000rpm、特には700〜4,000rpmが好ましく、0.1〜200秒間、特に0.5〜60秒間処理することが好ましい。その後、連続してレジスト保護膜溶液をディスペンスして回転塗布し、ベークしてレジスト保護膜を形成する。   In order to wet the photoresist surface with a solution containing a higher alcohol having 4 to 10 carbon atoms, the solution is dispensed on the surface of the resist film after pre-baking, and the wafer is rotated. Dispensing time can be shortened by dispensing while rotating the wafer. In this case, the rotational speed is preferably 500 to 5,000 rpm, particularly preferably 700 to 4,000 rpm, and the treatment is preferably performed for 0.1 to 200 seconds, particularly 0.5 to 60 seconds. Thereafter, the resist protective film solution is continuously dispensed and spin-coated, and baked to form a resist protective film.

炭素数4〜10の高級アルコールで湿らされたレジスト膜表面は、その上にレジスト保護膜溶液をディスペンスするときに溶液が広がり易くなるために少量のレジスト保護膜のディスペンス量でウエハー全面を覆うことができる。また、酸発生剤やアミンクエンチャーをアルコールのディスペンスによりレジスト膜表面から抽出することによって、保護膜を介して水への酸発生剤やアミンクエンチャーのリーチング量を低減させることができる。更には、炭素数4〜10の高級アルコールのディスペンスでレジスト膜表面に凹凸を付けることによって表面の親水性を上げ、ブロッブ欠陥の発生を抑えることができる。   The resist film surface moistened with a higher alcohol having 4 to 10 carbon atoms covers the entire surface of the wafer with a small amount of the resist protective film dispensed because the solution easily spreads when the resist protective film solution is dispensed thereon. Can do. In addition, by extracting the acid generator and amine quencher from the resist film surface with alcohol dispense, the amount of leaching of the acid generator and amine quencher to water can be reduced through the protective film. Furthermore, the surface of the resist film can be made uneven by dispensing a higher alcohol having 4 to 10 carbon atoms, thereby increasing the hydrophilicity of the surface and suppressing the occurrence of blob defects.

ここで、保護膜としては、α−トリフルオロメチルアルコール基を有するアルカリ溶解性ポリマーを、前記炭素数8〜12のエーテル化合物や炭素数4〜10の高級アルコール及びこれらの混合溶媒に0.5〜20質量%、特に1〜10質量%濃度で溶解させた材料が好ましく用いられる。   Here, as the protective film, an alkali-soluble polymer having an α-trifluoromethyl alcohol group is added to the ether compound having 8 to 12 carbon atoms, the higher alcohol having 4 to 10 carbon atoms, and a mixed solvent thereof in an amount of 0.5. A material dissolved at a concentration of ˜20 mass%, particularly 1 to 10 mass%, is preferably used.

この場合、α−トリフルオロメチルアルコール基は、一般的には下記式(1)で示されるものが挙げられる。

Figure 2008268850
In this case, the α-trifluoromethyl alcohol group generally includes those represented by the following formula (1).
Figure 2008268850

ここで、R1は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基もしくはフッ素化されたアルキル基、又は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基もしくはフッ素化されたアルキレン基であり、エーテル基(−O−)を有していてもよい。上記一般式(1)で示されるα−トリフルオロメチルアルコール基を有するアルカリ溶解性ポリマーとしては、この式(1)のα−トリフルオロメチルアルコール基を有する下記のいずれかの繰り返し単位aを有することが好ましい。 Here, R 1 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms or a fluorinated alkyl group, or a linear, branched or cyclic group having 1 to 10 carbon atoms. It is an alkylene group or a fluorinated alkylene group, and may have an ether group (—O—). The alkali-soluble polymer having an α-trifluoromethyl alcohol group represented by the general formula (1) has one of the following repeating units a having the α-trifluoromethyl alcohol group of the formula (1). It is preferable.

Figure 2008268850
Figure 2008268850

Figure 2008268850
Figure 2008268850

Figure 2008268850
Figure 2008268850

Figure 2008268850
Figure 2008268850

Figure 2008268850
Figure 2008268850

上記繰り返し単位の中でも、(メタ)アクリレートの繰り返し単位を有するものが好ましく、下記一般式(2)で示される構造単位が特に好ましく用いられる。

Figure 2008268850
(式中、R2、R3は水素原子、又は炭素数1〜12の直鎖状、分岐状又は環状のアルキル基で、R2とR3が結合してこれらが結合する炭素原子と共に炭素数3〜12の脂環を形成していてもよく、R4は水素原子又はメチル基である。) Among the above repeating units, those having a repeating unit of (meth) acrylate are preferable, and a structural unit represented by the following general formula (2) is particularly preferably used.
Figure 2008268850
(Wherein R 2 and R 3 are a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 12 carbon atoms, carbon atoms together with the carbon atom to which R 2 and R 3 are bonded to each other) ( 3-4 alicyclic rings may be formed, and R 4 is a hydrogen atom or a methyl group.)

上記繰り返し単位aに加えて、下記一般式(3)で示される繰り返し単位bを共重合することができる。

Figure 2008268850
(式中、R5は炭素数2〜10の少なくとも1つのフッ素原子で置換された直鎖状又は分岐状のアルキル基であり、R6は水素原子又はメチル基である。) In addition to the repeating unit a, a repeating unit b represented by the following general formula (3) can be copolymerized.
Figure 2008268850
(In the formula, R 5 is a linear or branched alkyl group substituted with at least one fluorine atom having 2 to 10 carbon atoms, and R 6 is a hydrogen atom or a methyl group.)

5としては、具体的には下記に例示することができる。

Figure 2008268850
Specific examples of R 5 include the following.
Figure 2008268850

レジスト保護膜用ポリマーの繰り返し単位としては、上記した単位a、特に一般式(2)で示される単位aが必須であるが、アルカリ溶解性と、レジスト膜とのミキシングを防止するためにカルボキシル基を有する繰り返し単位cを共重合することができる。繰り返し単位cとしては、具体的には下記一般式(4)で示される。   As the repeating unit of the resist protective film polymer, the above-mentioned unit a, particularly the unit a represented by the general formula (2) is essential, but in order to prevent alkali solubility and mixing with the resist film, a carboxyl group The repeating unit c having can be copolymerized. The repeating unit c is specifically represented by the following general formula (4).

Figure 2008268850
Figure 2008268850

ここで、R7は単結合、又は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、エーテル基(−O−)又はエステル基(−COO−)を有していてもよく、アルキレン基がフッ素で置換されていてもよい。R8は水素原子、フッ素原子、メチル基又はトリフルオロメチル基である。繰り返し単位cは、具体的には下記に例示される。 Here, R 7 is a single bond or a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, and has an ether group (—O—) or an ester group (—COO—). Alternatively, the alkylene group may be substituted with fluorine. R 8 is a hydrogen atom, a fluorine atom, a methyl group or a trifluoromethyl group. The repeating unit c is specifically exemplified below.

Figure 2008268850
Figure 2008268850

Figure 2008268850
Figure 2008268850

上記繰り返し単位a、b、cの比率は0<a≦1.0、0≦b<1.0、0≦c<1.0、好ましくは0.1≦a≦1.0、0≦b≦0.9、0≦c≦0.9の範囲であり、a+b+c=1である。   The ratio of the repeating units a, b, c is 0 <a ≦ 1.0, 0 ≦ b <1.0, 0 ≦ c <1.0, preferably 0.1 ≦ a ≦ 1.0, 0 ≦ b. ≦ 0.9, 0 ≦ c ≦ 0.9, and a + b + c = 1.

なお、ここで、a+b+c=1とは、繰り返し単位a、b、cを含む高分子化合物において、繰り返し単位a、b、cの合計量が全繰り返し単位の合計量に対して100モル%であることを示す。   Here, a + b + c = 1 means that in the polymer compound containing repeating units a, b and c, the total amount of repeating units a, b and c is 100 mol% with respect to the total amount of all repeating units. It shows that.

本発明のパターン形成方法に係るレジスト保護膜用の高分子化合物は、ゲルパーミエーションクロマトグラフィー(GPC)によるポリスチレン換算の重量平均分子量が1,000〜500,000、好ましくは2,000〜30,000であることが望ましい。重量平均分子量が小さすぎるとレジスト材料とミキシングを起こしたり、水に溶解し易くなったりする。大きすぎるとスピンコート後の成膜性に問題が生じたり、アルカリ溶解性が低下したりすることがある。   The polymer compound for the resist protective film according to the pattern forming method of the present invention has a polystyrene-equivalent weight average molecular weight of 1,000 to 500,000, preferably 2,000 to 30, as determined by gel permeation chromatography (GPC). 000 is desirable. If the weight average molecular weight is too small, mixing with the resist material occurs, or it becomes easy to dissolve in water. If it is too large, there may be a problem in film formability after spin coating, or the alkali solubility may be lowered.

また、本発明のパターン形成方法に係るレジスト材料は、化学増幅ポジ型レジスト材料であることが好ましく、更に少なくとも酸不安定基を有する繰り返し単位、及びヒドロキシ基及び/又はラクトン環の密着性基を有する繰り返し単位を含むベース樹脂を含むものであることが好ましい。   The resist material according to the pattern forming method of the present invention is preferably a chemically amplified positive resist material, and further has at least a repeating unit having an acid labile group, and an adhesive group of a hydroxy group and / or a lactone ring. It is preferable to include a base resin containing a repeating unit.

このような化学増幅ポジ型レジスト材料であれば、ベース樹脂がヒドロキシ基及び/又はラクトン環の密着性基を有する繰り返し単位を含むことで、基板との高い密着性を実現できる。更に、ベース樹脂が酸不安定基を有する繰り返し単位を有することで、露光時に酸発生剤が発生する酸により酸不安定基を脱離させて、レジスト露光部を現像液に溶解させるように変換することにより、極めて高精度なパターンを得ることができる。   With such a chemically amplified positive resist material, the base resin includes a repeating unit having a hydroxy group and / or an adhesive group of a lactone ring, whereby high adhesion to the substrate can be realized. Furthermore, since the base resin has repeating units having acid labile groups, the acid labile groups are eliminated by the acid generated by the acid generator during exposure, so that the resist exposed area is dissolved in the developer. By doing so, a very highly accurate pattern can be obtained.

上記ベース樹脂としては、下記式(R1)及び/又は下記式(R2)で示されるGPCによるポリスチレン換算重量平均分子量1,000〜100,000、好ましくは3,000〜30,000の高分子化合物を挙げることができるが、これらに限定されるものではない。   As said base resin, the high molecular compound of polystyrene conversion weight average molecular weight 1,000-100,000 by GPC shown by following formula (R1) and / or following formula (R2), Preferably it is 3,000-30,000 However, it is not limited to these.

Figure 2008268850
Figure 2008268850

上記式中、R001は、水素原子、メチル基又は−CH2CO2003を示す。
002は、水素原子、メチル基又は−CO2003を示す。
003は、炭素数1〜15の直鎖状、分岐状又は環状のアルキル基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基、エチルシクロペンチル基、ブチルシクロペンチル基、エチルシクロヘキシル基、ブチルシクロヘキシル基、アダマンチル基、エチルアダマンチル基、ブチルアダマンチル基等を例示できる。
In the above formula, R 001 represents a hydrogen atom, a methyl group, or —CH 2 CO 2 R 003 .
R 002 represents a hydrogen atom, a methyl group or a -CO 2 R 003.
R 003 represents a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, specifically a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group, ethylcyclopentyl group, butylcyclopentyl group, ethylcyclohexyl group, butylcyclohexyl group, adamantyl group, ethyladamantyl group, butyl Examples thereof include an adamantyl group.

004は、水素原子、炭素数1〜15の含フッ素置換基及び/又はカルボキシ基、水酸基を含有する1価の炭化水素基を示し、具体的には水素原子、カルボキシエチル、カルボキシブチル、カルボキシシクロペンチル、カルボキシシクロヘキシル、カルボキシノルボルニル、カルボキシアダマンチル、ヒドロキシエチル、ヒドロキシブチル、ヒドロキシシクロペンチル、ヒドロキシシクロヘキシル、ヒドロキシノルボルニル、ヒドロキシアダマンチル、ヒドロキシヘキサフルオロイソプロピルシクロヘキシル、ジ(ヒドロキシヘキサフルオロイソプロピル)シクロヘキシル等が例示できる。 R 004 represents a hydrogen atom, a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group or a monovalent hydrocarbon group containing a hydroxyl group, specifically a hydrogen atom, carboxyethyl, carboxybutyl, carboxy Examples include cyclopentyl, carboxycyclohexyl, carboxynorbornyl, carboxyadamantyl, hydroxyethyl, hydroxybutyl, hydroxycyclopentyl, hydroxycyclohexyl, hydroxynorbornyl, hydroxyadamantyl, hydroxyhexafluoroisopropylcyclohexyl, di (hydroxyhexafluoroisopropyl) cyclohexyl, etc. it can.

005〜R008の少なくとも1個は炭素数1〜15の含フッ素置換基及び/又はカルボキシ基、水酸基を含有する1価の炭化水素基を示し、残りはそれぞれ独立に水素原子又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基を示す。炭素数1〜15の含フッ素置換基及び/又はカルボキシ基、水酸基を含有する1価の炭化水素基としては、具体的にはカルボキシ、カルボキシメチル、カルボキシエチル、カルボキシブチル、ヒドロキシメチル、ヒドロキシエチル、ヒドロキシブチル、2−カルボキシエトキシカルボニル、4−カルボキシブトキシカルボニル、2−ヒドロキシエトキシカルボニル、4−ヒドロキシブトキシカルボニル、カルボキシシクロペンチルオキシカルボニル、カルボキシシクロヘキシルオキシカルボニル、カルボキシノルボルニルオキシカルボニル、カルボキシアダマンチルオキシカルボニル、ヒドロキシシクロペンチルオキシカルボニル、ヒドロキシシクロヘキシルオキシカルボニル、ヒドロキシノルボルニルオキシカルボニル、ヒドロキシアダマンチルオキシカルボニル、ヒドロキシヘキサフルオロイソプロピルシクロヘキシルオキシカルボニル、ジ(ヒドロキシヘキサフルオロイソプロピル)シクロヘキシルオキシカルボニル等が例示できる。
炭素数1〜15の直鎖状、分岐状、環状のアルキル基としては、具体的にはR003で例示したものと同様のものが例示できる。
At least one of R 005 to R 008 represents a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group and a monovalent hydrocarbon group containing a hydroxyl group, and the rest each independently represents a hydrogen atom or a carbon number of 1 -15 linear, branched or cyclic alkyl groups are shown. Specific examples of the monovalent hydrocarbon group containing a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group and a hydroxyl group include carboxy, carboxymethyl, carboxyethyl, carboxybutyl, hydroxymethyl, hydroxyethyl, Hydroxybutyl, 2-carboxyethoxycarbonyl, 4-carboxybutoxycarbonyl, 2-hydroxyethoxycarbonyl, 4-hydroxybutoxycarbonyl, carboxycyclopentyloxycarbonyl, carboxycyclohexyloxycarbonyl, carboxynorbornyloxycarbonyl, carboxyadamantyloxycarbonyl, hydroxy Cyclopentyloxycarbonyl, hydroxycyclohexyloxycarbonyl, hydroxynorbornyloxycarbonyl, hydroxyadam Chill oxycarbonyl, hydroxy hexafluoroisopropyl cyclohexyl oxycarbonyl, di (hydroxy hexafluoroisopropyl) cyclohexyl oxycarbonyl like.
Examples of the straight, branched, the alkyl group of cyclic, specifically exemplified the same ones as exemplified for R 003.

005〜R008(例えばR005と006、R006と007)は互いに結合して環を形成していてもよく、その場合には環の形成に関与するR005〜R008の少なくとも1個は炭素数1〜15の含フッ素置換基及び/又はカルボキシ基、水酸基を含有する2価の炭化水素基を示し、環の形成に関与する残りはそれぞれ独立に単結合又は炭素数1〜15の直鎖状、分岐状又は環状のアルキレン基を示す。炭素数1〜15の含フッ素置換基及び/又はカルボキシ基、水酸基を含有する2価の炭化水素基としては、具体的には上記含フッ素置換基及び/又はカルボキシ基、水酸基を含有する1価の炭化水素基で例示したものから水素原子を1個除いたもの等を例示できる。炭素数1〜15の直鎖状、分岐状、環状のアルキレン基としては、具体的にはR003で例示したものから水素原子を1個除いたもの等を例示できる。 R 005 to R 008 (for example, R 005 and R 006 , R 006 and R 007 ) may be bonded to each other to form a ring, and in that case, at least one of R 005 to R 008 involved in the formation of the ring 1 represents a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group and a divalent hydrocarbon group containing a hydroxyl group, and the remainder involved in ring formation is independently a single bond or 1 to 1 carbon atom. 15 linear, branched or cyclic alkylene groups are shown. The divalent hydrocarbon group containing a fluorine-containing substituent and / or carboxy group or hydroxyl group having 1 to 15 carbon atoms is specifically a monovalent containing the fluorine-containing substituent and / or carboxyl group or hydroxyl group. The thing etc. which remove | excluded one hydrogen atom from what was illustrated by the hydrocarbon group of this can be illustrated. Specific examples of the linear, branched or cyclic alkylene group having 1 to 15 carbon atoms include those obtained by removing one hydrogen atom from those exemplified for R003 .

009は、炭素数3〜15の−CO2−部分構造を含有する1価の炭化水素基を示し、具体的には2−オキソオキソラン−3−イル、4,4−ジメチル−2−オキソオキソラン−3−イル、4−メチル−2−オキソオキサン−4−イル、2−オキソ−1,3−ジオキソラン−4−イルメチル、5−メチル−2−オキソオキソラン−5−イル等を例示できる。 R 009 represents a monovalent hydrocarbon group containing a —CO 2 — partial structure having 3 to 15 carbon atoms, specifically 2-oxooxolan-3-yl, 4,4-dimethyl-2- Examples include oxooxolan-3-yl, 4-methyl-2-oxooxan-4-yl, 2-oxo-1,3-dioxolan-4-ylmethyl, 5-methyl-2-oxooxolan-5-yl and the like it can.

010〜R013の少なくとも1個は炭素数2〜15の−CO2−部分構造を含有する1価の炭化水素基を示し、残りはそれぞれ独立に水素原子又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基を示す。炭素数2〜15の−CO2−部分構造を含有する1価の炭化水素基としては、具体的には2−オキソオキソラン−3−イルオキシカルボニル、4,4−ジメチル−2−オキソオキソラン−3−イルオキシカルボニル、4−メチル−2−オキソオキサン−4−イルオキシカルボニル、2−オキソ−1,3−ジオキソラン−4−イルメチルオキシカルボニル、5−メチル−2−オキソオキソラン−5−イルオキシカルボニル等を例示できる。炭素数1〜15の直鎖状、分岐状、環状のアルキル基としては、具体的にはR003で例示したものと同様のものが例示できる。 At least one of R 010 to R 013 represents a monovalent hydrocarbon group containing a —CO 2 — partial structure having 2 to 15 carbon atoms, and the rest each independently represents a hydrogen atom or a straight chain having 1 to 15 carbon atoms. -Like, branched or cyclic alkyl groups. Specific examples of the monovalent hydrocarbon group containing a —CO 2 — partial structure having 2 to 15 carbon atoms include 2-oxooxolan-3-yloxycarbonyl and 4,4-dimethyl-2-oxooxo. Lan-3-yloxycarbonyl, 4-methyl-2-oxooxan-4-yloxycarbonyl, 2-oxo-1,3-dioxolan-4-ylmethyloxycarbonyl, 5-methyl-2-oxooxolane-5 -Ilyloxycarbonyl and the like can be exemplified. Examples of the straight, branched, the alkyl group of cyclic, specifically exemplified the same ones as exemplified for R 003.

010〜R013(例えばR010と011、R011と012)は互いに結合して環を形成していてもよく、その場合には環の形成に関与するR010〜R013の少なくとも1個は炭素数1〜15の−CO2−部分構造を含有する2価の炭化水素基を示し、環の形成に関与する残りはそれぞれ独立に単結合又は炭素数1〜15の直鎖状、分岐状、環状のアルキレン基を示す。炭素数1〜15の−CO2−部分構造を含有する2価の炭化水素基としては、具体的には1−オキソ−2−オキサプロパン−1,3−ジイル、1,3−ジオキソ−2−オキサプロパン−1,3−ジイル、1−オキソ−2−オキサブタン−1,4−ジイル、1,3−ジオキソ−2−オキサブタン−1,4−ジイル等の他、上記−CO2−部分構造を含有する1価の炭化水素基で例示したものから水素原子を1個除いたもの等を例示できる。炭素数1〜15の直鎖状、分岐状、環状のアルキレン基としては、具体的にはR003で例示したものから水素原子を1個除いたもの等を例示できる。 R 010 to R 013 (for example, R 010 and R 011 , R 011 and R 012 ) may be bonded to each other to form a ring, in which case at least one of R 010 to R 013 involved in the formation of the ring 1 represents a divalent hydrocarbon group containing a —CO 2 — partial structure having 1 to 15 carbon atoms, and the remainder involved in ring formation is each independently a single bond or a straight chain having 1 to 15 carbon atoms. Represents a branched or cyclic alkylene group. Specific examples of the divalent hydrocarbon group containing a —CO 2 — partial structure having 1 to 15 carbon atoms include 1-oxo-2-oxapropane-1,3-diyl and 1,3-dioxo-2. - oxa-1,3-diyl, 1-oxo-2-Okisabutan-1,4-diyl, other like 1,3-dioxo-2-Okisabutan-1,4-diyl, the -CO 2 - partial structure The thing etc. which remove | excluded one hydrogen atom from what was illustrated with the monovalent | monohydric hydrocarbon group containing this can be illustrated. Specific examples of the linear, branched or cyclic alkylene group having 1 to 15 carbon atoms include those obtained by removing one hydrogen atom from those exemplified for R003 .

014は、炭素数7〜15の多環式炭化水素基又は多環式炭化水素基を含有するアルキル基を示し、具体的にはノルボルニル、ビシクロ[3.3.1]ノニル、トリシクロ[5.2.1.02,6]デシル、アダマンチル、エチルアダマンチル、ブチルアダマンチル、ノルボルニルメチル、アダマンチルメチル等を例示できる。 R 014 represents a polycyclic hydrocarbon group having 7 to 15 carbon atoms or an alkyl group containing a polycyclic hydrocarbon group, and specifically includes norbornyl, bicyclo [3.3.1] nonyl, tricyclo [5 .2.1.0 2,6 ] decyl, adamantyl, ethyladamantyl, butyladamantyl, norbornylmethyl, adamantylmethyl and the like.

015は、酸不安定基を示し、具体例については後述する。
Xは、−CH2又は酸素原子を示す。
kは、0又は1である。
R 015 represents an acid labile group, and specific examples will be described later.
X represents —CH 2 or an oxygen atom.
k is 0 or 1.

015の酸不安定基としては、種々用いることができるが、具体的には下記一般式(L1)〜(L4)で示される基、炭素数4〜20、好ましくは4〜15の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基等を挙げることができる。 As the acid labile group for R 015 , various groups can be used. Specifically, groups represented by the following general formulas (L1) to (L4), tertiary groups having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms are preferred. Examples of the alkyl group include trialkylsilyl groups having 1 to 6 carbon atoms and oxoalkyl groups having 4 to 20 carbon atoms.

Figure 2008268850
Figure 2008268850

上記式中、破線は結合手を示す。
また、式(L1)において、RL01、RL02は水素原子又は炭素数1〜18、好ましくは1〜10の直鎖状、分岐状又は環状のアルキル基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、シクロペンチル基、シクロヘキシル基、2−エチルヘキシル基、n−オクチル基、アダマンチル基等が例示できる。RL03は炭素数1〜18、好ましくは炭素数1〜10の酸素原子等のヘテロ原子を有してもよい一価の炭化水素基を示し、直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、オキソ基、アミノ基、アルキルアミノ基等に置換されたものを挙げることができ、具体的には、直鎖状、分岐状又は環状のアルキル基としては上記RL01、RL02と同様のものが例示でき、置換アルキル基としては下記の基等が例示できる。
In the above formula, a broken line indicates a bond.
In the formula (L1), R L01 and R L02 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, specifically a methyl group, Examples thereof include an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, a cyclopentyl group, a cyclohexyl group, a 2-ethylhexyl group, an n-octyl group, and an adamantyl group. R L03 represents a monovalent hydrocarbon group which may have a hetero atom such as an oxygen atom having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, and is a linear, branched or cyclic alkyl group, Examples in which a part of these hydrogen atoms are substituted with a hydroxyl group, an alkoxy group, an oxo group, an amino group, an alkylamino group, and the like can be given. Specifically, a linear, branched or cyclic alkyl group Examples thereof include those similar to R L01 and R L02 above, and examples of the substituted alkyl group include the following groups.

Figure 2008268850
Figure 2008268850

L01とRL02、RL01とRL03、RL02とRL03とは互いに結合してこれらが結合する炭素原子や酸素原子と共に環を形成してもよく、環を形成する場合にはRL01、RL02、RL03はそれぞれ炭素数1〜18、好ましくは炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。 R L01 and R L02 , R L01 and R L03 , R L02 and R L03 may be bonded to each other to form a ring together with the carbon atom or oxygen atom to which they are bonded, and in the case of forming a ring, R L01 , R L02 and R L03 each represents a linear or branched alkylene group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms.

式(L2)において、RL04は炭素数4〜20、好ましくは炭素数4〜15の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基又は上記一般式(L1)で示される基を示し、三級アルキル基としては、具体的にはtert−ブチル基、tert−アミル基、1,1−ジエチルプロピル基、2−シクロペンチルプロパン−2−イル基、2−シクロヘキシルプロパン−2−イル基、2−(ビシクロ[2.2.1]ヘプタン−2−イル)プロパン−2−イル基、2−(アダマンタン−1−イル)プロパン−2−イル基、1−エチルシクロペンチル基、1−ブチルシクロペンチル基、1−エチルシクロヘキシル基、1−ブチルシクロヘキシル基、1−エチル−2−シクロペンテニル基、1−エチル−2−シクロヘキセニル基、2−メチル−2−アダマンチル基、2−エチル−2−アダマンチル基等が例示でき、トリアルキルシリル基としては、具体的にはトリメチルシリル基、トリエチルシリル基、ジメチル−tert−ブチルシリル基等が例示でき、オキソアルキル基としては、具体的には3−オキソシクロヘキシル基、4−メチル−2−オキソオキサン−4−イル基、5−メチル−2−オキソオキソラン−5−イル基等が例示できる。yは0〜6の整数である。 In the formula (L2), R L04 is a tertiary alkyl group having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, each alkyl group is a trialkylsilyl group having 1 to 6 carbon atoms, and 4 to 20 carbon atoms. An oxoalkyl group or a group represented by the above general formula (L1) is shown. Specific examples of the tertiary alkyl group include a tert-butyl group, a tert-amyl group, a 1,1-diethylpropyl group, and 2-cyclopentylpropane. 2-yl group, 2-cyclohexylpropan-2-yl group, 2- (bicyclo [2.2.1] heptan-2-yl) propan-2-yl group, 2- (adamantan-1-yl) propane 2-yl group, 1-ethylcyclopentyl group, 1-butylcyclopentyl group, 1-ethylcyclohexyl group, 1-butylcyclohexyl group, 1-ethyl-2-cyclopentenyl group 1-ethyl-2-cyclohexenyl group, 2-methyl-2-adamantyl group, 2-ethyl-2-adamantyl group and the like. Specific examples of the trialkylsilyl group include trimethylsilyl group and triethylsilyl group. Dimethyl-tert-butylsilyl group and the like. Specific examples of the oxoalkyl group include 3-oxocyclohexyl group, 4-methyl-2-oxooxan-4-yl group, and 5-methyl-2-oxooxolane. A -5-yl group etc. can be illustrated. y is an integer of 0-6.

式(L3)において、RL05は炭素数1〜10の置換されていてもよい直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示し、置換されていてもよいアルキル基としては、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基、ビシクロ[2.2.1]ヘプチル基等の直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、カルボキシ基、アルコキシカルボニル基、オキソ基、アミノ基、アルキルアミノ基、シアノ基、メルカプト基、アルキルチオ基、スルホ基等に置換されたもの、又はこれらのメチレン基の一部が酸素原子又は硫黄原子に置換されたもの等が例示でき、置換されていてもよいアリール基としては、具体的にはフェニル基、メチルフェニル基、ナフチル基、アンスリル基、フェナンスリル基、ピレニル基等が例示できる。mは0又は1、nは0,1,2,3のいずれかであり、2m+n=2又は3を満足する数である。 In the formula (L3), R L05 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may be substituted, or an aryl group which may be substituted having 6 to 20 carbon atoms, Specific examples of the optionally substituted alkyl group include a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, a tert-amyl group, and an n-pentyl group. A linear, branched or cyclic alkyl group such as a group, n-hexyl group, cyclopentyl group, cyclohexyl group, bicyclo [2.2.1] heptyl group, and a part of these hydrogen atoms are a hydroxyl group, an alkoxy group, A carboxy group, an alkoxycarbonyl group, an oxo group, an amino group, an alkylamino group, a cyano group, a mercapto group, an alkylthio group, a sulfo group or the like, or these Examples include those in which a part of the methylene group is substituted with an oxygen atom or a sulfur atom. Specific examples of the aryl group which may be substituted include a phenyl group, a methylphenyl group, a naphthyl group, an anthryl group, and a phenanthryl. Examples thereof include a group and a pyrenyl group. m is 0 or 1, and n is 0, 1, 2, or 3, and 2m + n = 2 or 3.

式(L4)において、RL06は炭素数1〜10の置換されていてもよい直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示し、具体的にはRL05と同様のもの等が例示できる。RL07〜RL16はそれぞれ独立に水素原子又は炭素数1〜15の一価の炭化水素基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、n−オクチル基、n−ノニル基、n−デシル基、シクロペンチル基、シクロヘキシル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基等の直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、カルボキシ基、アルコキシカルボニル基、オキソ基、アミノ基、アルキルアミノ基、シアノ基、メルカプト基、アルキルチオ基、スルホ基等に置換されたもの等が例示できる。RL07〜RL16は互いに結合して環を形成していてもよく(例えば、RL07とRL08、RL07とRL09、RL08とRL10、RL09とRL10、RL11とRL12、RL13とRL14等)、その場合には炭素数1〜15の二価の炭化水素基を示し、具体的には上記一価の炭化水素基で例示したものから水素原子を1個除いたもの等が例示できる。また、RL07〜RL16は隣接する炭素に結合するもの同士で何も介さずに結合し、二重結合を形成してもよい(例えば、RL07とRL09、RL09とRL15、RL13とRL15等)。 In the formula (L4), R L06 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may be substituted or an aryl group having 6 to 20 carbon atoms which may be substituted; Specifically, the same thing as R L05 can be illustrated. R L07 to R L16 each independently represent a hydrogen atom or a monovalent hydrocarbon group having 1 to 15 carbon atoms, specifically, methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec- Butyl, tert-butyl, tert-amyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl Group, cyclopentylbutyl group, cyclohexylmethyl group, cyclohexylethyl group, cyclohexylbutyl group, etc., linear, branched or cyclic alkyl groups, and some of these hydrogen atoms are hydroxyl groups, alkoxy groups, carboxy groups, alkoxycarbonyl groups Oxo group, amino group, alkylamino group, cyano group, mercapto group, alkylthio group, Or the like can be exemplified those substituted in sulfo group. R L07 to R L16 may be bonded to each other to form a ring (for example, R L07 and R L08 , R L07 and R L09 , R L08 and R L10 , R L09 and R L10 , R L11 and R L12). , R L13 and R L14, etc.), in which case a divalent hydrocarbon group having 1 to 15 carbon atoms is shown. Specifically, one hydrogen atom is removed from those exemplified for the monovalent hydrocarbon group above Can be exemplified. R L07 to R L16 may be bonded to each other adjacent to each other to form a double bond (for example, R L07 and R L09 , R L09 and R L15 , R L13 and R L15 etc.).

上記式(L1)で示される酸不安定基のうち直鎖状又は分岐状のものとしては、具体的には下記の基が例示できる。

Figure 2008268850
Of the acid labile groups represented by the above formula (L1), specific examples of the linear or branched groups include the following groups.
Figure 2008268850

上記式(L1)で示される酸不安定基のうち環状のものとしては、具体的にはテトラヒドロフラン−2−イル基、2−メチルテトラヒドロフラン−2−イル基、テトラヒドロピラン−2−イル基、2−メチルテトラヒドロピラン−2−イル基等が例示できる。   Among the acid labile groups represented by the above formula (L1), specific examples of cyclic groups include tetrahydrofuran-2-yl group, 2-methyltetrahydrofuran-2-yl group, tetrahydropyran-2-yl group, 2 -A methyltetrahydropyran-2-yl group etc. can be illustrated.

上記式(L2)の酸不安定基としては、具体的にはtert−ブトキシカルボニル基、tert−ブトキシカルボニルメチル基、tert−アミロキシカルボニル基、tert−アミロキシカルボニルメチル基、1,1−ジエチルプロピルオキシカルボニル基、1,1−ジエチルプロピルオキシカルボニルメチル基、1−エチルシクロペンチルオキシカルボニル基、1−エチルシクロペンチルオキシカルボニルメチル基、1−エチル−2−シクロペンテニルオキシカルボニル基、1−エチル−2−シクロペンテニルオキシカルボニルメチル基、1−エトキシエトキシカルボニルメチル基、2−テトラヒドロピラニルオキシカルボニルメチル基、2−テトラヒドロフラニルオキシカルボニルメチル基等が例示できる。   Specific examples of the acid labile group of the above formula (L2) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group, 1,1-diethyl. Propyloxycarbonyl group, 1,1-diethylpropyloxycarbonylmethyl group, 1-ethylcyclopentyloxycarbonyl group, 1-ethylcyclopentyloxycarbonylmethyl group, 1-ethyl-2-cyclopentenyloxycarbonyl group, 1-ethyl-2 Examples include -cyclopentenyloxycarbonylmethyl group, 1-ethoxyethoxycarbonylmethyl group, 2-tetrahydropyranyloxycarbonylmethyl group, 2-tetrahydrofuranyloxycarbonylmethyl group and the like.

上記式(L3)の酸不安定基としては、具体的には1−メチルシクロペンチル、1−エチルシクロペンチル、1−n−プロピルシクロペンチル、1−イソプロピルシクロペンチル、1−n−ブチルシクロペンチル、1−sec−ブチルシクロペンチル、1−シクロヘキシルシクロペンチル、1−(4−メトキシ−n−ブチル)シクロペンチル、1−(ビシクロ[2.2.1]ヘプタン−2−イル)シクロペンチル、1−(7−オキサビシクロ[2.2.1]ヘプタン−2−イル)シクロペンチル、1−メチルシクロヘキシル、1−エチルシクロヘキシル、3−メチル−1−シクロペンテン−3−イル、3−エチル−1−シクロペンテン−3−イル、3−メチル−1−シクロヘキセン−3−イル、3−エチル−1−シクロヘキセン−3−イル等が例示できる。   Specific examples of the acid labile group of the above formula (L3) include 1-methylcyclopentyl, 1-ethylcyclopentyl, 1-n-propylcyclopentyl, 1-isopropylcyclopentyl, 1-n-butylcyclopentyl, 1-sec- Butylcyclopentyl, 1-cyclohexylcyclopentyl, 1- (4-methoxy-n-butyl) cyclopentyl, 1- (bicyclo [2.2.1] heptan-2-yl) cyclopentyl, 1- (7-oxabicyclo [2. 2.1] heptan-2-yl) cyclopentyl, 1-methylcyclohexyl, 1-ethylcyclohexyl, 3-methyl-1-cyclopenten-3-yl, 3-ethyl-1-cyclopenten-3-yl, 3-methyl- 1-cyclohexen-3-yl, 3-ethyl-1-cyclohexen-3-yl, etc. It can be exemplified.

上記式(L4)の酸不安定基としては、下記式(L4−1)〜(L4−4)で示される基が特に好ましい。

Figure 2008268850
As the acid labile group of the above formula (L4), groups represented by the following formulas (L4-1) to (L4-4) are particularly preferable.
Figure 2008268850

前記一般式(L4−1)〜(L4−4)中、破線は結合位置及び結合方向を示す。RL41はそれぞれ独立に炭素数1〜10の直鎖状、分岐状又は環状のアルキル基等の一価炭化水素基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基等を例示できる。 In the general formulas (L4-1) to (L4-4), a broken line indicates a coupling position and a coupling direction. R L41 each independently represents a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, specifically a methyl group, an ethyl group, a propyl group, an isopropyl group, n Examples include -butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group and the like.

前記一般式(L4−1)〜(L4−4)には、エナンチオ異性体(enantiomer)やジアステレオ異性体(diastereomer)が存在しえるが、前記一般式(L4−1)〜(L4−4)は、これらの立体異性体の全てを代表して表す。これらの立体異性体は単独で用いてもよいし、混合物として用いてもよい。   In the general formulas (L4-1) to (L4-4), enantiomers and diastereomers may exist, but the general formulas (L4-1) to (L4-4) may exist. ) Represents all of these stereoisomers. These stereoisomers may be used alone or as a mixture.

例えば、前記一般式(L4−3)は下記一般式(L4−3−1)、(L4−3−2)で示される基から選ばれる1種又は2種の混合物を代表して表すものとする。

Figure 2008268850
(式中、RL41は前述と同様である。) For example, the general formula (L4-3) represents one or a mixture of two selected from the groups represented by the following general formulas (L4-3-1) and (L4-3-2). To do.
Figure 2008268850
(In the formula, R L41 is the same as described above.)

また、上記一般式(L4−4)は下記一般式(L4−4−1)〜(L4−4−4)で示される基から選ばれる1種又は2種以上の混合物を代表して表すものとする。

Figure 2008268850
(式中、RL41は前述と同様である。) The general formula (L4-4) represents one or a mixture of two or more selected from groups represented by the following general formulas (L4-4-1) to (L4-4-4). And
Figure 2008268850
(In the formula, R L41 is the same as described above.)

上記一般式(L4−1)〜(L4−4)、(L4−3−1)、(L4−3−2)、及び式(L4−4−1)〜(L4−4−4)は、それらのエナンチオ異性体及びエナンチオ異性体混合物をも代表して示すものとする。   The general formulas (L4-1) to (L4-4), (L4-3-1), (L4-3-2), and formulas (L4-4-1) to (L4-4-4) are Their enantiomers and enantiomeric mixtures are also shown representatively.

なお、式(L4−1)〜(L4−4)、(L4−3−1)、(L4−3−2)、及び式(L4−4−1)〜(L4−4−4)の結合方向がそれぞれビシクロ[2.2.1]ヘプタン環に対してexo側であることによって、酸触媒脱離反応における高反応性が実現される(特開2000−336121号公報参照)。これらビシクロ[2.2.1]ヘプタン骨格を有する三級exo−アルキル基を置換基とする単量体の製造において、下記一般式(L4−1−endo)〜(L4−4−endo)で示されるendo−アルキル基で置換された単量体を含む場合があるが、良好な反応性の実現のためにはexo比率が50%以上であることが好ましく、exo比率が80%以上であることが更に好ましい。

Figure 2008268850
(式中、RL41は前述と同様である。) In addition, the coupling | bonding of Formula (L4-1)-(L4-4), (L4-3-1), (L4-3-2), and Formula (L4-4-1)-(L4-4-4) High reactivity in the acid-catalyzed elimination reaction is realized by the fact that each direction is on the exo side with respect to the bicyclo [2.2.1] heptane ring (see JP 2000-336121 A). In the production of a monomer having a tertiary exo-alkyl group having a bicyclo [2.2.1] heptane skeleton as a substituent, the following general formulas (L4-1-endo) to (L4-4-endo) are used. In some cases, a monomer substituted with the indicated endo-alkyl group may be included, but in order to achieve good reactivity, the exo ratio is preferably 50% or more, and the exo ratio is 80% or more. More preferably.
Figure 2008268850
(In the formula, R L41 is the same as described above.)

上記式(L4)の酸不安定基としては、具体的には下記の基が例示できる。

Figure 2008268850
Specific examples of the acid labile group of the above formula (L4) include the following groups.
Figure 2008268850

また、炭素数4〜20の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基としては、具体的にはRL04で挙げたものと同様のもの等が例示できる。 Further, tertiary alkyl groups having 4 to 20 carbon atoms, trialkylsilyl groups each having 1 to 6 carbon atoms, and oxoalkyl groups having 4 to 20 carbon atoms are specifically exemplified as R L04 . The thing similar to a thing etc. can be illustrated.

前記(R2)中、R016は水素原子又はメチル基を示す。R017は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基を示す。 In the (R2), R016 represents a hydrogen atom or a methyl group. R 017 represents a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms.

前記(R1)において、a1’、a2’、a3’、b1’、b2’、b3’、c1’、c2’、c3’、d1’、d2’、d3’、e’は0以上1未満の数であり、a1’+a2’+a3’+b1’+b2’+b3’+c1’+c2’+c3’+d1’+d2’+d3’+e’=1を満足する。前記(R2)において、f’、g’、h’、i’、j’は0以上1未満の数であり、f’+g’+h’+i’+j’=1を満足する。x’、y’、z’は0〜3の整数であり、1≦x’+y’+z’≦5、1≦y’+z’≦3を満足する。   In (R1), a1 ′, a2 ′, a3 ′, b1 ′, b2 ′, b3 ′, c1 ′, c2 ′, c3 ′, d1 ′, d2 ′, d3 ′, and e ′ are 0 or more and less than 1. A1 ′ + a2 ′ + a3 ′ + b1 ′ + b2 ′ + b3 ′ + c1 ′ + c2 ′ + c3 ′ + d1 ′ + d2 ′ + d3 ′ + e ′ = 1. In the above (R2), f ′, g ′, h ′, i ′, and j ′ are numbers of 0 or more and less than 1, and satisfy f ′ + g ′ + h ′ + i ′ + j ′ = 1. x ′, y ′ and z ′ are integers of 0 to 3, which satisfy 1 ≦ x ′ + y ′ + z ′ ≦ 5 and 1 ≦ y ′ + z ′ ≦ 3.

上記式(R1)において、組成比a1’で導入される繰り返し単位として具体的には以下のものが例示できるが、これらに限定されるものではない。   In the above formula (R1), specific examples of the repeating unit introduced at the composition ratio a1 'include the following, but are not limited thereto.

Figure 2008268850
Figure 2008268850

Figure 2008268850
Figure 2008268850

上記式(R1)において、組成比b1’で導入される繰り返し単位として具体的には以下のものが例示できるが、これらに限定されるものではない。   In the above formula (R1), specific examples of the repeating unit introduced at the composition ratio b1 'include the following, but are not limited thereto.

Figure 2008268850
Figure 2008268850

Figure 2008268850
Figure 2008268850

上記式(R1)において、組成比d1’で導入される繰り返し単位として具体的には以下のものが例示できるが、これらに限定されるものではない。   Specific examples of the repeating unit introduced at the composition ratio d1 ′ in the above formula (R1) include the following, but are not limited thereto.

Figure 2008268850
Figure 2008268850

Figure 2008268850
Figure 2008268850

Figure 2008268850
Figure 2008268850

Figure 2008268850
Figure 2008268850

上記式(R1)において、組成比a3’、b3’、c3’、d3’の繰り返し単位で構成される高分子化合物として具体的には以下のものが例示できるが、これらに限定されるものではない。   In the above formula (R1), specific examples of the polymer compound composed of repeating units having a composition ratio of a3 ′, b3 ′, c3 ′, and d3 ′ include the following, but the polymer compounds are not limited thereto. Absent.

Figure 2008268850
Figure 2008268850

Figure 2008268850
Figure 2008268850

なお、上記ベース樹脂を構成する高分子化合物は1種に限らず2種以上を添加することができる。複数種の高分子化合物を用いることにより、レジスト材料の性能を調整することができる。   The polymer compound constituting the base resin is not limited to one type, and two or more types can be added. The performance of the resist material can be adjusted by using a plurality of types of polymer compounds.

本発明のパターン形成方法におけるレジスト材料は、化学増幅ポジ型レジスト材料として機能するために酸発生剤を含んでもよく、例えば、活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)を含有してもよい。光酸発生剤の成分としては、高エネルギー線照射により酸を発生する化合物であればいずれでもかまわない。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート型酸発生剤等がある。以下に詳述するがこれらは単独であるいは2種以上混合して用いることができる。   The resist material in the pattern forming method of the present invention may contain an acid generator in order to function as a chemically amplified positive resist material. For example, a compound that generates an acid in response to actinic rays or radiation (photoacid generator) ) May be contained. The component of the photoacid generator may be any compound that generates an acid upon irradiation with high energy rays. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like. Although described in detail below, these can be used alone or in admixture of two or more.

スルホニウム塩はスルホニウムカチオンとスルホネートあるいはビス(置換アルキルスルホニル)イミド、トリス(置換アルキルスルホニル)メチドの塩であり、スルホニウムカチオンとしてトリフェニルスルホニウム、(4−tert−ブトキシフェニル)ジフェニルスルホニウム、ビス(4−tert−ブトキシフェニル)フェニルスルホニウム、トリス(4−tert−ブトキシフェニル)スルホニウム、(3−tert−ブトキシフェニル)ジフェニルスルホニウム、ビス(3−tert−ブトキシフェニル)フェニルスルホニウム、トリス(3−tert−ブトキシフェニル)スルホニウム、(3,4−ジtert−ブトキシフェニル)ジフェニルスルホニウム、ビス(3,4−ジtert−ブトキシフェニル)フェニルスルホニウム、トリス(3,4−ジtert−ブトキシフェニル)スルホニウム、ジフェニル(4−チオフェノキシフェニル)スルホニウム、(4−tert−ブトキシカルボニルメチルオキシフェニル)ジフェニルスルホニウム、トリス(4−tert−ブトキシカルボニルメチルオキシフェニル)スルホニウム、(4−tert−ブトキシフェニル)ビス(4−ジメチルアミノフェニル)スルホニウム、トリス(4−ジメチルアミノフェニル)スルホニウム、2−ナフチルジフェニルスルホニウム、ジメチル2−ナフチルスルホニウム、4−ヒドロキシフェニルジメチルスルホニウム、4−メトキシフェニルジメチルスルホニウム、トリメチルスルホニウム、2−オキソシクロヘキシルシクロヘキシルメチルスルホニウム、トリナフチルスルホニウム、トリベンジルスルホニウム、ジフェニルメチルスルホニウム、ジメチルフェニルスルホニウム、2−オキソ−2−フェニルエチルチアシクロペンタニウム、4−n−ブトキシナフチル−1−チアシクロペンタニウム、2−n−ブトキシナフチル−1−チアシクロペンタニウム等が挙げられ、スルホネートとしては、トリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられ、ビス(置換アルキルスルホニル)イミドとしてはビストリフルオロメチルスルホニルイミド、ビスペンタフルオロエチルスルホニルイミド、ビスヘプタフルオロプロピルスルホニルイミド、1,3−プロピレンビススルホニルイミド等が挙げられ、トリス(置換アルキルスルホニル)メチドとしてはトリストリフルオロメチルスルホニルメチドが挙げられ、これらの組み合わせのスルホニウム塩が挙げられる。 The sulfonium salt is a salt of a sulfonium cation and a sulfonate or bis (substituted alkylsulfonyl) imide or tris (substituted alkylsulfonyl) methide. As the sulfonium cation, triphenylsulfonium, (4-tert-butoxyphenyl) diphenylsulfonium, bis (4- tert-butoxyphenyl) phenylsulfonium, tris (4-tert-butoxyphenyl) sulfonium, (3-tert-butoxyphenyl) diphenylsulfonium, bis (3-tert-butoxyphenyl) phenylsulfonium, tris (3-tert-butoxyphenyl) ) Sulfonium, (3,4-ditert-butoxyphenyl) diphenylsulfonium, bis (3,4-ditert-butoxyphenyl) phenylsulfoni , Tris (3,4-ditert-butoxyphenyl) sulfonium, diphenyl (4-thiophenoxyphenyl) sulfonium, (4-tert-butoxycarbonylmethyloxyphenyl) diphenylsulfonium, tris (4-tert-butoxycarbonylmethyloxy) Phenyl) sulfonium, (4-tert-butoxyphenyl) bis (4-dimethylaminophenyl) sulfonium, tris (4-dimethylaminophenyl) sulfonium, 2-naphthyldiphenylsulfonium, dimethyl 2-naphthylsulfonium, 4-hydroxyphenyldimethylsulfonium 4-methoxyphenyldimethylsulfonium, trimethylsulfonium, 2-oxocyclohexylcyclohexylmethylsulfonium, trinaphthylsulfonyl , Tribenzylsulfonium, diphenylmethylsulfonium, dimethylphenylsulfonium, 2-oxo-2-phenylethylthiacyclopentanium, 4-n-butoxynaphthyl-1-thiacyclopentanium, 2-n-butoxynaphthyl-1- Examples of the sulfonate include trifluoromethane sulfonate, pentafluoroethane sulfonate, nonafluorobutane sulfonate, dodecafluorohexane sulfonate, pentafluoroethyl perfluorocyclohexane sulfonate, heptadecafluorooctane sulfonate, 2,2, and the like. 2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenes Lulfonate, mesitylene sulfonate, 2,4,6-triisopropylbenzene sulfonate, toluene sulfonate, benzene sulfonate, 4- (4′-toluenesulfonyloxy) benzene sulfonate, naphthalene sulfonate, camphor sulfonate, octane sulfonate, dodecyl benzene sulfonate, butane sulfonate Methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, , 1,3,3,3-pentafluoro-2-pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate 1,1,3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4-tert -Butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1, 1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane Sulfonate, 1,1-difluoro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2- ( Ruborunan 2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2, 5. 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate, etc., and bis (substituted alkylsulfonyl) imide includes bistrifluoromethylsulfonylimide, bispentafluoroethylsulfonylimide, bisheptafluoropropylsulfonylimide 1,3-propylenebissulfonylimide and the like, and tris (substituted alkylsulfonyl) methides include tristrifluoromethylsulfonylmethide, and sulfonium salts of these combinations.

ヨードニウム塩はヨードニウムカチオンとスルホネートあるいはビス(置換アルキルスルホニル)イミド、トリス(置換アルキルスルホニル)メチドの塩であり、ジフェニルヨードニウム、ビス(4−tert−ブチルフェニル)ヨードニウム、4−tert−ブトキシフェニルフェニルヨードニウム、4−メトキシフェニルフェニルヨードニウム等のアリールヨードニウムカチオンとスルホネートとしてトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、4−(4−トルエンスルホニルオキシ)ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられ、ビス(置換アルキルスルホニル)イミドとしてはビストリフルオロメチルスルホニルイミド、ビスペンタフルオロエチルスルホニルイミド、ビスヘプタフルオロプロピルスルホニルイミド、1,3−プロピレンビススルホニルイミド等が挙げられ、トリス(置換アルキルスルホニル)メチドとしてはトリストリフルオロメチルスルホニルメチドが挙げられ、これらの組み合わせのヨードニウム塩が挙げられる。 Iodonium salt is a salt of iodonium cation and sulfonate or bis (substituted alkylsulfonyl) imide, tris (substituted alkylsulfonyl) methide, diphenyliodonium, bis (4-tert-butylphenyl) iodonium, 4-tert-butoxyphenylphenyliodonium. Aryliodonium cations such as 4-methoxyphenylphenyliodonium and sulfonates such as trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2, 2,2-trifluoroethanesulfonate, pentafluorobenzenes Phonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2,4,6-triisopropylbenzenesulfonate, toluenesulfonate, benzenesulfonate, 4- (4-toluenesulfonyloxy) benzenesulfonate, naphthalenesulfonate , Camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro- 2- (4-phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclo Xancarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1, 3,3,3-pentafluoropropane sulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3 , 3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1, , 1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro Ro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4. 4.0.1 2,5 . 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate and the like, and bis (substituted alkylsulfonyl) imide includes bistrifluoromethylsulfonylimide, bispentafluoroethylsulfonylimide, bisheptafluoropropylsulfonylimide 1,3-propylenebissulfonylimide and the like, and tris (substituted alkylsulfonyl) methide includes tristrifluoromethylsulfonylmethide, and iodonium salts of these combinations.

スルホニルジアゾメタンとしては、ビス(エチルスルホニル)ジアゾメタン、ビス(1−メチルプロピルスルホニル)ジアゾメタン、ビス(2−メチルプロピルスルホニル)ジアゾメタン、ビス(1,1−ジメチルエチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(パーフルオロイソプロピルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(4−メチルフェニルスルホニル)ジアゾメタン、ビス(2,4−ジメチルフェニルスルホニル)ジアゾメタン、ビス(2−ナフチルスルホニル)ジアゾメタン、ビス(4−アセチルオキシフェニルスルホニル)ジアゾメタン、ビス(4−メタンスルホニルオキシフェニルスルホニル)ジアゾメタン、ビス(4−(4−トルエンスルホニルオキシ)フェニルスルホニル)ジアゾメタン、ビス(4−n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(3,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−5−イソプロピル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、4−メチルフェニルスルホニルベンゾイルジアゾメタン、tertブチルカルボニル−4−メチルフェニルスルホニルジアゾメタン、2−ナフチルスルホニルベンゾイルジアゾメタン、4−メチルフェニルスルホニル2−ナフトイルジアゾメタン、メチルスルホニルベンゾイルジアゾメタン、tertブトキシカルボニル−4−メチルフェニルスルホニルジアゾメタン等のビススルホニルジアゾメタンとスルホニル−カルボニルジアゾメタンが挙げられる。   As the sulfonyldiazomethane, bis (ethylsulfonyl) diazomethane, bis (1-methylpropylsulfonyl) diazomethane, bis (2-methylpropylsulfonyl) diazomethane, bis (1,1-dimethylethylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane , Bis (perfluoroisopropylsulfonyl) diazomethane, bis (phenylsulfonyl) diazomethane, bis (4-methylphenylsulfonyl) diazomethane, bis (2,4-dimethylphenylsulfonyl) diazomethane, bis (2-naphthylsulfonyl) diazomethane, bis ( 4-acetyloxyphenylsulfonyl) diazomethane, bis (4-methanesulfonyloxyphenylsulfonyl) diazomethane, bis (4- (4-toluenes) Phonyloxy) phenylsulfonyl) diazomethane, bis (4-n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2,5-dimethyl-4-) (N-hexyloxy) phenylsulfonyl) diazomethane, bis (3,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl-5-isopropyl-4- (n-hexyloxy) Phenylsulfonyl) diazomethane, 4-methylphenylsulfonylbenzoyldiazomethane, tertbutylcarbonyl-4-methylphenylsulfonyldiazomethane, 2-naphthylsulfonylbenzoyldiazomethane, 4-methylphenylsulfonyl-2-naphthoyldi Include carbonyl diazomethane - Zometan, bissulfonyldiazomethanes and sulfonyl such as methylsulfonyl benzoyl diazomethane, tert-butoxycarbonyl-4-methylphenyl sulfonyl diazomethane.

N−スルホニルオキシイミド型光酸発生剤としては、コハク酸イミド、ナフタレンジカルボン酸イミド、フタル酸イミド、シクロヘキシルジカルボン酸イミド、5−ノルボルネン−2,3−ジカルボン酸イミド、7−オキサビシクロ[2.2.1]−5−ヘプテン−2,3−ジカルボン酸イミド等のイミド骨格とトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等の組み合わせの化合物が挙げられる。 Examples of the N-sulfonyloxyimide photoacid generator include succinimide, naphthalene dicarboxylic imide, phthalic imide, cyclohexyl dicarboxylic imide, 5-norbornene-2,3-dicarboxylic imide, 7-oxabicyclo [2. 2.1] An imide skeleton such as 5-heptene-2,3-dicarboxylic acid imide and trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadeca Fluorooctane sulfonate, 2,2,2-trifluoroethane sulfonate, pentafluorobenzene sulfonate, 4-trifluoromethylbenzene sulfonate, 4-fluorobenzene sulfonate , Mesitylene sulfonate, 2,4,6-triisopropylbenzene sulfonate, toluene sulfonate, benzene sulfonate, naphthalene sulfonate, camphor sulfonate, octane sulfonate, dodecyl benzene sulfonate, butane sulfonate, methane sulfonate, 2-benzoyloxy-1,1, 3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2 -Pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanoate Sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-Adamantanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3 3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1,1,2, 2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetra Fluoro-2- (tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate and the like.

ベンゾインスルホネート型光酸発生剤としては、ベンゾイントシレート、ベンゾインメシレート、ベンゾインブタンスルホネート等が挙げられる。   Examples of the benzoin sulfonate photoacid generator include benzoin tosylate, benzoin mesylate, and benzoin butane sulfonate.

ピロガロールトリスルホネート型光酸発生剤としては、ピロガロール、フロログリシノール、カテコール、レゾルシノール、ヒドロキノンのヒドロキシル基のすべてをトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等で置換した化合物が挙げられる。 Pyrogallol trisulfonate photoacid generators include pyrogallol, phloroglucinol, catechol, resorcinol, and hydroquinone all hydroxyl groups trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethyl. Perfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, Camphorsulfonate, octanesulfonate, dodecylbe Zensulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) ) Propane sulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1 , 3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-ada Mantanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-penta Fluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1,1,2,2-tetra fluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10] dodeca-3 And a compound substituted with ene-8-yl) ethanesulfonate.

ニトロベンジルスルホネート型光酸発生剤としては、2,4−ジニトロベンジルスルホネート、2−ニトロベンジルスルホネート、2,6−ジニトロベンジルスルホネートが挙げられ、スルホネートとしては、具体的にトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられる。またベンジル側のニトロ基をトリフルオロメチル基で置き換えた化合物も同様に用いることができる。 Examples of the nitrobenzyl sulfonate photoacid generator include 2,4-dinitrobenzyl sulfonate, 2-nitrobenzyl sulfonate, and 2,6-dinitrobenzyl sulfonate. Specific examples of the sulfonate include trifluoromethane sulfonate and pentafluoroethane. Sulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethyl perfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-Fluorobenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, camphor Sulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-Phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoro Propanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4- tert-butylbenzoyloxy) -1,1,3,3,3 Pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1 , 3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1 , 1,2,2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10 ] dodec-3-en-8-yl) ethanesulfonate and the like. A compound in which the nitro group on the benzyl side is replaced with a trifluoromethyl group can also be used.

スルホン型光酸発生剤の例としては、ビス(フェニルスルホニル)メタン、ビス(4−メチルフェニルスルホニル)メタン、ビス(2−ナフチルスルホニル)メタン、2,2−ビス(フェニルスルホニル)プロパン、2,2−ビス(4−メチルフェニルスルホニル)プロパン、2,2−ビス(2−ナフチルスルホニル)プロパン、2−メチル−2−(p−トルエンスルホニル)プロピオフェノン、2−シクロヘキシルカルボニル)−2−(p−トルエンスルホニル)プロパン、2,4−ジメチル−2−(p−トルエンスルホニル)ペンタン−3−オン等が挙げられる。   Examples of the sulfone photoacid generator include bis (phenylsulfonyl) methane, bis (4-methylphenylsulfonyl) methane, bis (2-naphthylsulfonyl) methane, 2,2-bis (phenylsulfonyl) propane, 2, 2-bis (4-methylphenylsulfonyl) propane, 2,2-bis (2-naphthylsulfonyl) propane, 2-methyl-2- (p-toluenesulfonyl) propiophenone, 2-cyclohexylcarbonyl) -2- ( p-toluenesulfonyl) propane, 2,4-dimethyl-2- (p-toluenesulfonyl) pentan-3-one, and the like.

グリオキシム誘導体型の光酸発生剤は、特許第2906999号公報や特開平9−301948号公報に記載の化合物を挙げることができ、具体的にはビス−O−(p−トルエンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−トルエンスルホニル)−α−ジフェニルグリオキシム、ビス−O−(p−トルエンスルホニル)−α−ジシクロヘキシルグリオキシム、ビス−O−(p−トルエンスルホニル)−2,3−ペンタンジオングリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジフェニルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジシクロヘキシルグリオキシム、ビス−O−(メタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(トリフルオロメタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(2,2,2−トリフルオロエタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(10−カンファースルホニル)−α−ジメチルグリオキシム、ビス−O−(ベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−フルオロベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−トリフルオロメチルベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(キシレンスルホニル)−α−ジメチルグリオキシム、ビス−O−(トリフルオロメタンスルホニル)−ニオキシム、ビス−O−(2,2,2−トリフルオロエタンスルホニル)−ニオキシム、ビス−O−(10−カンファースルホニル)−ニオキシム、ビス−O−(ベンゼンスルホニル)−ニオキシム、ビス−O−(p−フルオロベンゼンスルホニル)−ニオキシム、ビス−O−(p−トリフルオロメチルベンゼンスルホニル)−ニオキシム、ビス−O−(キシレンスルホニル)−ニオキシム等が挙げられる。   Examples of the glyoxime derivative type photoacid generator include compounds described in Japanese Patent No. 2906999 and Japanese Patent Application Laid-Open No. 9-301948, and specifically, bis-O- (p-toluenesulfonyl) -α-. Dimethylglyoxime, bis-O- (p-toluenesulfonyl) -α-diphenylglyoxime, bis-O- (p-toluenesulfonyl) -α-dicyclohexylglyoxime, bis-O- (p-toluenesulfonyl) -2 , 3-pentanedione glyoxime, bis-O- (n-butanesulfonyl) -α-dimethylglyoxime, bis-O- (n-butanesulfonyl) -α-diphenylglyoxime, bis-O- (n-butane Sulfonyl) -α-dicyclohexylglyoxime, bis-O- (methanesulfonyl) -α-dimethylglyoxime, bis O- (trifluoromethanesulfonyl) -α-dimethylglyoxime, bis-O- (2,2,2-trifluoroethanesulfonyl) -α-dimethylglyoxime, bis-O- (10-camphorsulfonyl) -α- Dimethylglyoxime, bis-O- (benzenesulfonyl) -α-dimethylglyoxime, bis-O- (p-fluorobenzenesulfonyl) -α-dimethylglyoxime, bis-O- (p-trifluoromethylbenzenesulfonyl) -Α-dimethylglyoxime, bis-O- (xylenesulfonyl) -α-dimethylglyoxime, bis-O- (trifluoromethanesulfonyl) -nioxime, bis-O- (2,2,2-trifluoroethanesulfonyl) -Nioxime, bis-O- (10-camphorsulfonyl) -nioxime, bis O- (benzenesulfonyl) -nioxime, bis-O- (p-fluorobenzenesulfonyl) -nioxime, bis-O- (p-trifluoromethylbenzenesulfonyl) -nioxime, bis-O- (xylenesulfonyl) -nioxime, etc. Is mentioned.

また、米国特許第6004724号明細書記載のオキシムスルホネート、特に(5−(4−トルエンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(10−カンファースルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−n−オクタンスルホニルオキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(4−トルエンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル、(5−(10−カンファースルホニル)オキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル、(5−n−オクタンスルホニルオキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル等が挙げられ、更に米国特許第6916591号明細書記載の(5−(4−(4−トルエンスルホニルオキシ)ベンゼンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(2,5−ビス(4−トルエンスルホニルオキシ)ベンゼンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル等が挙げられる。   Further, oxime sulfonates described in US Pat. No. 6,0047,424, particularly (5- (4-toluenesulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (10-camphorsulfonyl) oxyimino-5H- Thiophen-2-ylidene) phenylacetonitrile, (5-n-octanesulfonyloxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (4-toluenesulfonyl) oxyimino-5H-thiophen-2-ylidene) ( 2-Methylphenyl) acetonitrile, (5- (10-camphorsulfonyl) oxyimino-5H-thiophen-2-ylidene) (2-methylphenyl) acetonitrile, (5-n-octanesulfonyloxyimino-5H-thiof N-2-ylidene) (2-methylphenyl) acetonitrile and the like, and (5- (4- (4-toluenesulfonyloxy) benzenesulfonyl) oxyimino-5H-thiophene- described in US Pat. No. 6,916,591. 2-ylidene) phenylacetonitrile, (5- (2,5-bis (4-toluenesulfonyloxy) benzenesulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, and the like.

米国特許第6261738号明細書、特開2000−314956号公報記載のオキシムスルホネート、特に2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(4−メトキシフェニルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(2,4,6−トリメチルフェニルスルホナート)、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(メチルスルホナート)、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メチルチオフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(3,4−ジメトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,3,3,4,4,4−ヘプタフルオロ−1−フェニル−ブタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−10−カンホリルスルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(2,4,6−トリメチルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(3,4−ジメトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−メチルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−ドデシルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−オクチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(4−ドデシルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−オクチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−フェニルスルホナート、2,2,2−トリフルオロ−1−(4−クロロフェニル)−エタノンオキシム−O−フェニルスルホナート、2,2,3,3,4,4,4−ヘプタフルオロ−1−(フェニル)−ブタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−ナフチル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−2−ナフチル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルフェニル]−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−[4−(フェニル−1,4−ジオキサ−ブト−1−イル)フェニル]−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−ナフチル−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−2−ナフチル−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メチルスルホニルフェニル]−エタノンオキシム−O−プロピルスルホナート、1,3−ビス[1−(4−フェノキシフェニル)−2,2,2−トリフルオロエタノンオキシム−O−スルホニル]フェニル、2,2,2−トリフルオロ−1−[4−メチルスルホニルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メチルカルボニルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[6H,7H−5,8−ジオキソナフト−2−イル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メトキシカルボニルメトキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−(メトキシカルボニル)−(4−アミノ−1−オキサ−ペンタ−1−イル)−フェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[3,5−ジメチル−4−エトキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[2−チオフェニル]−エタノンオキシム−O−プロピルスルホナート、及び2,2,2−トリフルオロ−1−[1−ジオキサ−チオフェン−2−イル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(トリフルオロメタンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(トリフルオロメタンスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(1−プロパンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(1−プロパンスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(1−ブタンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(1−ブタンスルホネート)等が挙げられ、更に米国特許第6916591号明細書記載の2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(4−(4−メチルフェニルスルホニルオキシ)フェニルスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(4−(4−メチルフェニルスルホニルオキシ)フェニルスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(2,5−ビス(4−メチルフェニルスルホニルオキシ)ベンゼンスルホニルオキシ)フェニルスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(2,5−ビス(4−メチルフェニルスルホニルオキシ)ベンゼンスルホニルオキシ)フェニルスルホネート)等が挙げられる。   U.S. Pat. No. 6,261,738, JP-A-2000-314956, oxime sulfonates, particularly 2,2,2-trifluoro-1-phenyl-ethanone oxime-O-methylsulfonate, 2,2,2- Trifluoro-1-phenyl-ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (4-methoxyphenyl sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (2-naphthyl) Sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (2,4,6-trimethylphenylsulfonate 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (4-methylphenyl) ) -Ethanone oxime-O- (methyl sulfonate), 2,2,2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2 , 2-Trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (2,4-dimethylphenyl) ) -Ethanone oxime-O- (1-naphthyl sulfonate), 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (2-naphthyl sulfonate), 2 2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (2,4 , 6-Trimethylphenyl) -ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- ( 2-naphthylsulfonate), 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (4-methylthio) Phenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (3,4-dimethoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,3 , 3,4,4,4-Heptafluoro-1-phenyl-butanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O -Methyl sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O-10-camphoryl sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone Oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1 -(Phenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (2,4,6-tri Tilphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2-trifluoro-1- (4-methyl) Phenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2- Trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime -O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (10- Morpholyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-methylsulfo Narate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (3,4-dimethoxyphenyl) -Ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O- (4-methylphenyl) sulfur Honate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) ) -Ethanone oxime-O- (4-dodecylphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-octylsulfonate, 2,2,2- Trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime O- (4-dodecylphenyl) sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O-octyl Sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2-methylphenyl) ) -Ethanone oxime-O-methyl sulfonate, 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O-phenyl sulfonate, 2,2,2-trifluoro-1 -(4-Chlorophenyl) -ethanone oxime-O-phenyl sulfonate, 2,2,3,3,4,4,4-heptafluoro-1- (phenyl) -butanone oxime-O- (10-camphoryl) Sulfonate, 2,2,2-trifluoro-1-naphthyl-ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-2-naphthyl-ethanone Shim-O-methylsulfonate, 2,2,2-trifluoro-1- [4-benzylphenyl] -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- [4- (Phenyl-1,4-dioxa-but-1-yl) phenyl] -ethanone oxime-O-methyl sulfonate, 2,2,2-trifluoro-1-naphthyl-ethanone oxime-O-propyl sulfonate 2,2,2-trifluoro-2-naphthyl-ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [4-benzylphenyl] -ethanone oxime-O-propyl sulfone Narate, 2,2,2-trifluoro-1- [4-methylsulfonylphenyl] -ethanone oxime-O-propylsulfonate, 1,3-bis [1- (4-phenyl) Enoxyphenyl) -2,2,2-trifluoroethanone oxime-O-sulfonyl] phenyl, 2,2,2-trifluoro-1- [4-methylsulfonyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [4-methylcarbonyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [6H, 7H-5,8- Dioxonaphth-2-yl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [4-methoxycarbonylmethoxyphenyl] -ethanone oxime-O-propyl sulfonate, 2,2 , 2-Trifluoro-1- [4- (methoxycarbonyl)-(4-amino-1-oxa-pent-1-yl) -fur Nyl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [3,5-dimethyl-4-ethoxyphenyl] -ethanone oxime-O-propyl sulfonate, 2,2 , 2-trifluoro-1- [4-benzyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [2-thiophenyl] -ethanone oxime-O-propyl Sulfonate and 2,2,2-trifluoro-1- [1-dioxa-thiophen-2-yl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- (4 -(3- (4- (2,2,2-trifluoro-1- (trifluoromethanesulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) Tanone oxime (trifluoromethanesulfonate), 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1- (1-propanesulfonyloxyimino) -ethyl) -Phenoxy) -propoxy) -phenyl) ethanone oxime (1-propanesulfonate), 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1) -(1-butanesulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) ethanone oxime (1-butanesulfonate) and the like, and 2,2,2 described in US Pat. No. 6,916,591 -Trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1- (4- (4-methylphenylsulfonyloxy) phenylsulfo Nyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) ethanone oxime (4- (4-methylphenylsulfonyloxy) phenylsulfonate), 2,2,2-trifluoro-1- (4- (3- ( 4- (2,2,2-trifluoro-1- (2,5-bis (4-methylphenylsulfonyloxy) benzenesulfonyloxy) phenylsulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) eta Nonoxime (2,5-bis (4-methylphenylsulfonyloxy) benzenesulfonyloxy) phenylsulfonate) and the like.

特開平9−95479号公報、特開平9−230588号公報あるいは文中の従来技術として記載のオキシムスルホネートα−(p−トルエンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(p−クロロベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(4−ニトロベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(4−ニトロ−2−トリフルオロメチルベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−4−クロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2,4−ジクロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2,6−ジクロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(2−クロロベンゼンスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2−チエニルアセトニトリル、α−(4−ドデシルベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−[(4−トルエンスルホニルオキシイミノ)−4−メトキシフェニル]アセトニトリル、α−[(ドデシルベンゼンスルホニルオキシイミノ)−4−メトキシフェニル]アセトニトリル、α−(トシルオキシイミノ)−3−チエニルアセトニトリル、α−(メチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(エチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(イソプロピルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(n−ブチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(エチルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル、α−(イソプロピルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル、α−(n−ブチルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル等が挙げられる。   JP-A-9-95479, JP-A-9-230588, or oxime sulfonate α- (p-toluenesulfonyloxyimino) -phenylacetonitrile, α- (p-chlorobenzenesulfonyloxyimino)- Phenylacetonitrile, α- (4-nitrobenzenesulfonyloxyimino) -phenylacetonitrile, α- (4-nitro-2-trifluoromethylbenzenesulfonyloxyimino) -phenylacetonitrile, α- (benzenesulfonyloxyimino) -4-chlorophenyl Acetonitrile, α- (benzenesulfonyloxyimino) -2,4-dichlorophenylacetonitrile, α- (benzenesulfonyloxyimino) -2,6-dichlorophenylacetonitrile, α- (benzene Sulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (2-chlorobenzenesulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (benzenesulfonyloxyimino) -2-thienylacetonitrile, α- (4-dodecylbenzenesulfonyl) Oxyimino) -phenylacetonitrile, α-[(4-toluenesulfonyloxyimino) -4-methoxyphenyl] acetonitrile, α-[(dodecylbenzenesulfonyloxyimino) -4-methoxyphenyl] acetonitrile, α- (tosyloxyimino ) -3-thienylacetonitrile, α- (methylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (ethylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- Isopropylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (n-butylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (ethylsulfonyloxyimino) -1-cyclohexenylacetonitrile, α- (isopropylsulfonyloxy) Imino) -1-cyclohexenylacetonitrile, α- (n-butylsulfonyloxyimino) -1-cyclohexenylacetonitrile, and the like.

下記式で示されるオキシムスルホネート(例えばWO2004/074242に具体例記載)が挙げられる。

Figure 2008268850
(上式中、RS1は置換又は非置換の炭素数1〜10のハロアルキルスルホニル、又はハロベンゼンスルホニル基を表す。RS2は炭素数1〜11のハロアルキル基を表す。ArS1は置換又は非置換の芳香族基又はヘテロ芳香族基を表す。) Examples thereof include oxime sulfonates represented by the following formula (for example, specific examples are described in WO2004 / 074242).
Figure 2008268850
(In the above formula, R S1 represents a substituted or unsubstituted haloalkylsulfonyl having 1 to 10 carbon atoms or a halobenzenesulfonyl group. R S2 represents a haloalkyl group having 1 to 11 carbon atoms. Ar S1 represents substituted or non-substituted. Represents a substituted aromatic group or heteroaromatic group.)

具体的には、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−フルオレン、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−フルオレン、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−フルオレン、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−4−ビフェニル、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−4−ビフェニル、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−4−ビフェニルなどが挙げられる。   Specifically, 2- [2,2,3,3,4,4,5,5-octafluoro-1- (nonafluorobutylsulfonyloxyimino) -pentyl] -fluorene, 2- [2,2, 3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -fluorene, 2- [2,2,3,3,4,4,5,5,6,6- Decafluoro-1- (nonafluorobutylsulfonyloxyimino) -hexyl] -fluorene, 2- [2,2,3,3,4,4,5,5-octafluoro-1- (nonafluorobutylsulfonyloxyimino) ) -Pentyl] -4-biphenyl, 2- [2,2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -4-biphenyl, 2- [2, 2, 3, 3 4,4,5,5,6,6- deca fluoro-1- (nonafluorobutylsulfonyloxy-imino) - hexyl] -4-biphenyl, and the like.

また、ビスオキシムスルホネートとして特開平9−208554号公報記載の化合物、特にビス(α−(4−トルエンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(ベンゼンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(メタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリルビス(α−(ブタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(10−カンファースルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(トリフルオロメタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−メトキシベンゼンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(ベンゼンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(メタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリルビス(α−(ブタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(10−カンファースルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(トリフルオロメタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(4−メトキシベンゼンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル等が挙げられる。   Further, as bisoxime sulfonate, compounds described in JP-A-9-208554, particularly bis (α- (4-toluenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (benzenesulfonyloxy) imino)- p-phenylenediacetonitrile, bis (α- (methanesulfonyloxy) imino) -p-phenylenediacetonitrilebis (α- (butanesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (10-camphorsulfonyl) Oxy) imino) -p-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (trifluoromethanesulfonyloxy) imino) -p-phenylenediacetonitrile, Screw (α- (4-Methoxybenzenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (benzenesulfonyloxy) imino) -m -Phenylenediacetonitrile, bis (α- (methanesulfonyloxy) imino) -m-phenylenediacetonitrilebis (α- (butanesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (10-camphorsulfonyloxy) ) Imino) -m-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (trifluoromethanesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (Α- (4-methoxybenzenesulfonyloxy) imino) -m-phenylenediacetonitrile and the like.

中でも好ましく用いられる光酸発生剤としては、スルホニウム塩、ビススルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート、グリオキシム誘導体である。より好ましく用いられる光酸発生剤としては、スルホニウム塩、ビススルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネートである。具体的にはトリフェニルスルホニウムp−トルエンスルホネート、トリフェニルスルホニウムカンファースルホネート、トリフェニルスルホニウムペンタフルオロベンゼンスルホネート、トリフェニルスルホニウムノナフルオロブタンスルホネート、トリフェニルスルホニウム4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、トリフェニルスルホニウム−2,4,6−トリイソプロピルベンゼンスルホネート、4−tert−ブトキシフェニルジフェニルスルホニウムp−トルエンスルホネート、4−tert−ブトキシフェニルジフェニルスルホニウムカンファースルホネート、4−tert−ブトキシフェニルジフェニルスルホニウム4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、トリス(4−メチルフェニル)スルホニウム、カンファースルホネート、トリス(4−tertブチルフェニル)スルホニウムカンファースルホネート、4−tert−ブチルフェニルジフェニルスルホニウムカンファースルホネート、4−tert−ブチルフェニルジフェニルスルホニウムノナフルオロ−1−ブタンスルホネート、4−tert−ブチルフェニルジフェニルスルホニウムペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、4−tert−ブチルフェニルジフェニルスルホニウムパーフルオロ−1−オクタンスルホネート、トリフェニルスルホニウム1,1−ジフルオロ−2−ナフチル−エタンスルホネート、トリフェニルスルホニウム1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、ビス(tert−ブチルスルホニル)ジアゾメタン、ビス(シクロへキシルスルホニル)ジアゾメタン、ビス(2,4−ジメチルフェニルスルホニル)ジアゾメタン、ビス(4−n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(3,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−5−イソプロピル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(4−tert−ブチルフェニルスルホニル)ジアゾメタン、N−カンファースルホニルオキシ−5−ノルボルネン−2,3−ジカルボン酸イミド、N−p−トルエンスルホニルオキシ−5−ノルボルネン−2,3−ジカルボン酸イミド、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−フルオレン、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−フルオレン、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−フルオレン等が挙げられる。   Among them, preferred photoacid generators are sulfonium salts, bissulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate, and glyoxime derivatives. More preferably used photoacid generators are sulfonium salts, bissulfonyldiazomethanes, N-sulfonyloxyimides, and oxime-O-sulfonates. Specifically, triphenylsulfonium p-toluenesulfonate, triphenylsulfonium camphorsulfonate, triphenylsulfonium pentafluorobenzenesulfonate, triphenylsulfonium nonafluorobutanesulfonate, triphenylsulfonium 4- (4′-toluenesulfonyloxy) benzenesulfonate, Triphenylsulfonium-2,4,6-triisopropylbenzenesulfonate, 4-tert-butoxyphenyldiphenylsulfonium p-toluenesulfonate, 4-tert-butoxyphenyldiphenylsulfonium camphorsulfonate, 4-tert-butoxyphenyldiphenylsulfonium 4- ( 4′-Toluenesulfonyloxy) benzenesulfonate, tris (4-methyl) Phenyl) sulfonium, camphorsulfonate, tris (4-tertbutylphenyl) sulfonium camphorsulfonate, 4-tert-butylphenyldiphenylsulfonium camphorsulfonate, 4-tert-butylphenyldiphenylsulfonium nonafluoro-1-butanesulfonate, 4-tert- Butylphenyldiphenylsulfonium pentafluoroethyl perfluorocyclohexanesulfonate, 4-tert-butylphenyldiphenylsulfonium perfluoro-1-octanesulfonate, triphenylsulfonium 1,1-difluoro-2-naphthyl-ethanesulfonate, triphenylsulfonium 1,1 , 2,2-Tetrafluoro-2- (norbornan-2-yl) ethanesulfonate Bis (tert-butylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (2,4-dimethylphenylsulfonyl) diazomethane, bis (4-n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl- 4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (3,5-dimethyl-4- (n-hexyloxy) Phenylsulfonyl) diazomethane, bis (2-methyl-5-isopropyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (4-tert-butylphenylsulfonyl) diazomethane, N-camphorsulfonyloxy-5-norbornene- 2,3-dicarboxylic imide, Np-toluenesulfonyloxy-5-norbornene-2,3-dicarboxylic imide, 2- [2,2,3,3,4,4,5,5-octafluoro- 1- (nonafluorobutylsulfonyloxyimino) -pentyl] -fluorene, 2- [2,2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -fluorene, 2- [2,2,3,3,4,4,5,5,6,6-decafluoro-1- (nonafluorobutylsulfonyloxyimino) -hexyl] -fluorene and the like.

本発明のパターン形成方法における化学増幅型レジスト材料における光酸発生剤の添加量はいずれでもよいが、レジスト材料中のベース樹脂100質量部に対して0.1〜20質量部、好ましくは0.1〜10質量部である。光酸発生剤が20質量部以下であれば、フォトレジスト膜の透過率が十分大きく、解像性能の劣化が起こるおそれが少ない。上記光酸発生剤は、単独でも2種以上混合して用いることもできる。更に露光波長における透過率が低い光酸発生剤を用い、その添加量でレジスト膜中の透過率を制御することもできる。   The amount of the photoacid generator added to the chemically amplified resist material in the pattern forming method of the present invention may be any, but is 0.1 to 20 parts by weight, preferably 0. 1 to 10 parts by mass. When the photoacid generator is 20 parts by mass or less, the transmittance of the photoresist film is sufficiently large, and there is little possibility that the resolution performance is deteriorated. The photoacid generators can be used alone or in combination of two or more. Further, a photoacid generator having a low transmittance at the exposure wavelength can be used, and the transmittance in the resist film can be controlled by the amount added.

また、本発明パターン形成方法におけるレジスト材料に、酸により分解し、酸を発生する化合物(酸増殖化合物)を添加してもよい。
これらの化合物についてはJ.Photopolym.Sci.and Tech.,8.43−44,45−46(1995)、J.Photopolym.Sci.and Tech.,9.29−30(1996)において記載されている。
Moreover, you may add the compound (acid propagation compound) which decomposes | disassembles with an acid and generate | occur | produces an acid to the resist material in this invention pattern formation method.
These compounds are described in J. Org. Photopolym. Sci. and Tech. , 8.43-44, 45-46 (1995), J. Am. Photopolym. Sci. and Tech. , 9.29-30 (1996).

酸増殖化合物の例としては、tert−ブチル2−メチル2−トシロキシメチルアセトアセテート、2−フェニル2−(2−トシロキシエチル)1,3−ジオキソラン等が挙げられるがこれらに限定されるものではない。公知の光酸発生剤の中で安定性、特に熱安定性に劣る化合物は酸増殖化合物的な性質を示す場合が多い。   Examples of acid proliferating compounds include, but are not limited to, tert-butyl 2-methyl 2-tosyloxymethyl acetoacetate, 2-phenyl 2- (2-tosyloxyethyl) 1,3-dioxolane, and the like. is not. Of the known photoacid generators, compounds that are inferior in stability, particularly thermal stability, often exhibit the properties of acid-proliferating compounds.

本発明パターン形成方法におけるレジスト材料における酸増殖化合物の添加量としては、レジスト材料中のベース樹脂100質量部に対して2質量部以下、好ましくは1質量部以下である。2質量部以下であれば、拡散が制御され解像性の劣化、パターン形状の劣化が起こるおそれが少ない。   The addition amount of the acid multiplication compound in the resist material in the pattern forming method of the present invention is 2 parts by mass or less, preferably 1 part by mass or less with respect to 100 parts by mass of the base resin in the resist material. If it is 2 parts by mass or less, diffusion is controlled, and there is little possibility that degradation of resolution and pattern shape will occur.

本発明パターン形成方法におけるレジスト材料は、更に、有機溶剤、塩基性化合物、界面活性剤のいずれか1つ以上を含有することができる。   The resist material in the pattern forming method of the present invention can further contain any one or more of an organic solvent, a basic compound, and a surfactant.

本発明のパターン形成方法におけるレジスト材料に使用される有機溶剤としては、ベース樹脂、酸発生剤、その他の添加剤等が溶解可能な有機溶剤であればいずれでもよい。このような有機溶剤としては、例えば、シクロヘキサノン、メチル−2−n−アミルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート等のエステル類、γ−ブチロラクトン等のラクトン類が挙げられ、これらの1種を単独で又は2種以上を混合して使用することができるが、これらに限定されるものではない。本発明のパターン形成方法にのレジストでは、これらの有機溶剤の中でもレジスト成分中の酸発生剤の溶解性が最も優れているジエチレングリコールジメチルエーテルや1−エトキシ−2−プロパノール、プロピレングリコールモノメチルエーテルアセテート及びその混合溶剤が好ましく使用される。   The organic solvent used in the resist material in the pattern forming method of the present invention may be any organic solvent that can dissolve the base resin, acid generator, other additives, and the like. Examples of such organic solvents include ketones such as cyclohexanone and methyl-2-n-amyl ketone, 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy- Alcohols such as 2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, and other ethers, propylene glycol monomethyl ether acetate, propylene glycol mono Ethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, 3-ethoxy Examples thereof include esters such as ethyl propionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether acetate, and lactones such as γ-butyrolactone. It can be used in a mixed manner, but is not limited thereto. In the resist for the pattern formation method of the present invention, among these organic solvents, diethylene glycol dimethyl ether, 1-ethoxy-2-propanol, propylene glycol monomethyl ether acetate and the like, which have the most excellent solubility of the acid generator in the resist component, and A mixed solvent is preferably used.

有機溶剤の使用量は、ベース樹脂100質量部に対して200〜3,000質量部、特に400〜2,500質量部が好適である。   The amount of the organic solvent used is preferably 200 to 3,000 parts by mass, particularly 400 to 2,500 parts by mass with respect to 100 parts by mass of the base resin.

更に、本発明のパターン形成方法におけるレジスト材料には、塩基性化合物として含窒素有機化合物を1種又は2種以上配合することができる。   Furthermore, the resist material in the pattern forming method of the present invention may contain one or more nitrogen-containing organic compounds as basic compounds.

含窒素有機化合物としては、酸発生剤より発生する酸がレジスト膜中に拡散する際の拡散速度を抑制することができる化合物が適している。含窒素有機化合物の配合により、レジスト膜中での酸の拡散速度が抑制されて解像度が向上し、露光後の感度変化を抑制したり、基板や環境依存性を少なくし、露光余裕度やパターンプロファイル等を向上することができる。   As the nitrogen-containing organic compound, a compound capable of suppressing the diffusion rate when the acid generated from the acid generator diffuses into the resist film is suitable. By compounding nitrogen-containing organic compounds, the acid diffusion rate in the resist film is suppressed and resolution is improved. Sensitivity changes after exposure are suppressed, and substrate and environment dependence is reduced. Profiles and the like can be improved.

このような含窒素有機化合物としては、第一級、第二級、第三級の脂肪族アミン類、混成アミン類、芳香族アミン類、複素環アミン類、カルボキシ基を有する含窒素化合物、スルホニル基を有する含窒素化合物、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物、アミド類、イミド類、カーバメート類等が挙げられる。   Such nitrogen-containing organic compounds include primary, secondary and tertiary aliphatic amines, hybrid amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxy group, sulfonyl Nitrogen-containing compounds having a group, nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, alcoholic nitrogen-containing compounds, amides, imides, carbamates and the like.

具体的には、第一級の脂肪族アミン類として、アンモニア、メチルアミン、エチルアミン、n−プロピルアミン、イソプロピルアミン、n−ブチルアミン、イソブチルアミン、sec−ブチルアミン、tert−ブチルアミン、ペンチルアミン、tert−アミルアミン、シクロペンチルアミン、ヘキシルアミン、シクロヘキシルアミン、ヘプチルアミン、オクチルアミン、ノニルアミン、デシルアミン、ドデシルアミン、セチルアミン、メチレンジアミン、エチレンジアミン、テトラエチレンペンタミン等が例示され、第二級の脂肪族アミン類として、ジメチルアミン、ジエチルアミン、ジ−n−プロピルアミン、ジイソプロピルアミン、ジ−n−ブチルアミン、ジイソブチルアミン、ジ−sec−ブチルアミン、ジペンチルアミン、ジシクロペンチルアミン、ジヘキシルアミン、ジシクロヘキシルアミン、ジヘプチルアミン、ジオクチルアミン、ジノニルアミン、ジデシルアミン、ジドデシルアミン、ジセチルアミン、N,N−ジメチルメチレンジアミン、N,N−ジメチルエチレンジアミン、N,N−ジメチルテトラエチレンペンタミン等が例示され、第三級の脂肪族アミン類として、トリメチルアミン、トリエチルアミン、トリ−n−プロピルアミン、トリイソプロピルアミン、トリ−n−ブチルアミン、トリイソブチルアミン、トリ−sec−ブチルアミン、トリペンチルアミン、トリシクロペンチルアミン、トリヘキシルアミン、トリシクロヘキシルアミン、トリヘプチルアミン、トリオクチルアミン、トリノニルアミン、トリデシルアミン、トリドデシルアミン、トリセチルアミン、N,N,N’,N’−テトラメチルメチレンジアミン、N,N,N’,N’−テトラメチルエチレンジアミン、N,N,N’,N’−テトラメチルテトラエチレンペンタミン等が例示される。   Specifically, primary aliphatic amines include ammonia, methylamine, ethylamine, n-propylamine, isopropylamine, n-butylamine, isobutylamine, sec-butylamine, tert-butylamine, pentylamine, tert- Amylamine, cyclopentylamine, hexylamine, cyclohexylamine, heptylamine, octylamine, nonylamine, decylamine, dodecylamine, cetylamine, methylenediamine, ethylenediamine, tetraethylenepentamine, etc. are exemplified as secondary aliphatic amines. Dimethylamine, diethylamine, di-n-propylamine, diisopropylamine, di-n-butylamine, diisobutylamine, di-sec-butylamine, dipentylamine, disi Lopentylamine, dihexylamine, dicyclohexylamine, diheptylamine, dioctylamine, dinonylamine, didecylamine, didodecylamine, dicetylamine, N, N-dimethylmethylenediamine, N, N-dimethylethylenediamine, N, N-dimethyltetraethylenepenta The tertiary aliphatic amines are exemplified by trimethylamine, triethylamine, tri-n-propylamine, triisopropylamine, tri-n-butylamine, triisobutylamine, tri-sec-butylamine, and tripentylamine. , Tricyclopentylamine, trihexylamine, tricyclohexylamine, triheptylamine, trioctylamine, trinonylamine, tridecylamine, tridodecylamine, Examples include cetylamine, N, N, N ′, N′-tetramethylmethylenediamine, N, N, N ′, N′-tetramethylethylenediamine, N, N, N ′, N′-tetramethyltetraethylenepentamine and the like. Is done.

また、混成アミン類としては、例えばジメチルエチルアミン、メチルエチルプロピルアミン、ベンジルアミン、フェネチルアミン、ベンジルジメチルアミン等が例示される。芳香族アミン類及び複素環アミン類の具体例としては、アニリン誘導体(例えばアニリン、N−メチルアニリン、N−エチルアニリン、N−プロピルアニリン、N,N−ジメチルアニリン、2−メチルアニリン、3−メチルアニリン、4−メチルアニリン、エチルアニリン、プロピルアニリン、トリメチルアニリン、2−ニトロアニリン、3−ニトロアニリン、4−ニトロアニリン、2,4−ジニトロアニリン、2,6−ジニトロアニリン、3,5−ジニトロアニリン、N,N−ジメチルトルイジン等)、ジフェニル(p−トリル)アミン、メチルジフェニルアミン、トリフェニルアミン、フェニレンジアミン、ナフチルアミン、ジアミノナフタレン、ピロール誘導体(例えばピロール、2H−ピロール、1−メチルピロール、2,4−ジメチルピロール、2,5−ジメチルピロール、N−メチルピロール等)、オキサゾール誘導体(例えばオキサゾール、イソオキサゾール等)、チアゾール誘導体(例えばチアゾール、イソチアゾール等)、イミダゾール誘導体(例えばイミダゾール、4−メチルイミダゾール、4−メチル−2−フェニルイミダゾール等)、ピラゾール誘導体、フラザン誘導体、ピロリン誘導体(例えばピロリン、2−メチル−1−ピロリン等)、ピロリジン誘導体(例えばピロリジン、N−メチルピロリジン、ピロリジノン、N−メチルピロリドン等)、イミダゾリン誘導体、イミダゾリジン誘導体、ピリジン誘導体(例えばピリジン、メチルピリジン、エチルピリジン、プロピルピリジン、ブチルピリジン、4−(1−ブチルペンチル)ピリジン、ジメチルピリジン、トリメチルピリジン、トリエチルピリジン、フェニルピリジン、3−メチル−2−フェニルピリジン、4−tert−ブチルピリジン、ジフェニルピリジン、ベンジルピリジン、メトキシピリジン、ブトキシピリジン、ジメトキシピリジン、4−ピロリジノピリジン、2−(1−エチルプロピル)ピリジン、アミノピリジン、ジメチルアミノピリジン等)、ピリダジン誘導体、ピリミジン誘導体、ピラジン誘導体、ピラゾリン誘導体、ピラゾリジン誘導体、ピペリジン誘導体、ピペラジン誘導体、モルホリン誘導体、インドール誘導体、イソインドール誘導体、1H−インダゾール誘導体、インドリン誘導体、キノリン誘導体(例えばキノリン、3−キノリンカルボニトリル等)、イソキノリン誘導体、シンノリン誘導体、キナゾリン誘導体、キノキサリン誘導体、フタラジン誘導体、プリン誘導体、プテリジン誘導体、カルバゾール誘導体、フェナントリジン誘導体、アクリジン誘導体、フェナジン誘導体、1,10−フェナントロリン誘導体、アデニン誘導体、アデノシン誘導体、グアニン誘導体、グアノシン誘導体、ウラシル誘導体、ウリジン誘導体等が例示される。   Examples of hybrid amines include dimethylethylamine, methylethylpropylamine, benzylamine, phenethylamine, and benzyldimethylamine. Specific examples of aromatic amines and heterocyclic amines include aniline derivatives (eg, aniline, N-methylaniline, N-ethylaniline, N-propylaniline, N, N-dimethylaniline, 2-methylaniline, 3- Methylaniline, 4-methylaniline, ethylaniline, propylaniline, trimethylaniline, 2-nitroaniline, 3-nitroaniline, 4-nitroaniline, 2,4-dinitroaniline, 2,6-dinitroaniline, 3,5- Dinitroaniline, N, N-dimethyltoluidine, etc.), diphenyl (p-tolyl) amine, methyldiphenylamine, triphenylamine, phenylenediamine, naphthylamine, diaminonaphthalene, pyrrole derivatives (eg pyrrole, 2H-pyrrole, 1-methylpyrrole, 2,4-dim Lupyrrole, 2,5-dimethylpyrrole, N-methylpyrrole, etc.), oxazole derivatives (eg oxazole, isoxazole etc.), thiazole derivatives (eg thiazole, isothiazole etc.), imidazole derivatives (eg imidazole, 4-methylimidazole, 4 -Methyl-2-phenylimidazole, etc.), pyrazole derivatives, furazane derivatives, pyrroline derivatives (eg pyrroline, 2-methyl-1-pyrroline etc.), pyrrolidine derivatives (eg pyrrolidine, N-methylpyrrolidine, pyrrolidinone, N-methylpyrrolidone etc.) ), Imidazoline derivatives, imidazolidine derivatives, pyridine derivatives (eg pyridine, methylpyridine, ethylpyridine, propylpyridine, butylpyridine, 4- (1-butylpentyl) pyridine, dimethyl) Lysine, trimethylpyridine, triethylpyridine, phenylpyridine, 3-methyl-2-phenylpyridine, 4-tert-butylpyridine, diphenylpyridine, benzylpyridine, methoxypyridine, butoxypyridine, dimethoxypyridine, 4-pyrrolidinopyridine, 2- (1-ethylpropyl) pyridine, aminopyridine, dimethylaminopyridine, etc.), pyridazine derivatives, pyrimidine derivatives, pyrazine derivatives, pyrazoline derivatives, pyrazolidine derivatives, piperidine derivatives, piperazine derivatives, morpholine derivatives, indole derivatives, isoindole derivatives, 1H- Indazole derivatives, indoline derivatives, quinoline derivatives (eg, quinoline, 3-quinolinecarbonitrile, etc.), isoquinoline derivatives, cinnoline derivatives, quinazoli Derivatives, quinoxaline derivatives, phthalazine derivatives, purine derivatives, pteridine derivatives, carbazole derivatives, phenanthridine derivatives, acridine derivatives, phenazine derivatives, 1,10-phenanthroline derivatives, adenine derivatives, adenosine derivatives, guanine derivatives, guanosine derivatives, uracil derivatives And uridine derivatives.

更に、カルボキシ基を有する含窒素化合物としては、例えばアミノ安息香酸、インドールカルボン酸、アミノ酸誘導体(例えばニコチン酸、アラニン、アルギニン、アスパラギン酸、グルタミン酸、グリシン、ヒスチジン、イソロイシン、グリシルロイシン、ロイシン、メチオニン、フェニルアラニン、スレオニン、リジン、3−アミノピラジン−2−カルボン酸、メトキシアラニン)等が例示され、スルホニル基を有する含窒素化合物として3−ピリジンスルホン酸、p−トルエンスルホン酸ピリジニウム等が例示され、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物としては、2−ヒドロキシピリジン、アミノクレゾール、2,4−キノリンジオール、3−インドールメタノールヒドレート、モノエタノールアミン、ジエタノールアミン、トリエタノールアミン、N−エチルジエタノールアミン、N,N−ジエチルエタノールアミン、トリイソプロパノールアミン、2,2’−イミノジエタノール、2−アミノエタノ−ル、3−アミノ−1−プロパノール、4−アミノ−1−ブタノール、4−(2−ヒドロキシエチル)モルホリン、2−(2−ヒドロキシエチル)ピリジン、1−(2−ヒドロキシエチル)ピペラジン、1−[2−(2−ヒドロキシエトキシ)エチル]ピペラジン、ピペリジンエタノール、1−(2−ヒドロキシエチル)ピロリジン、1−(2−ヒドロキシエチル)−2−ピロリジノン、3−ピペリジノ−1,2−プロパンジオール、3−ピロリジノ−1,2−プロパンジオール、8−ヒドロキシユロリジン、3−クイヌクリジノール、3−トロパノール、1−メチル−2−ピロリジンエタノール、1−アジリジンエタノール、N−(2−ヒドロキシエチル)フタルイミド、N−(2−ヒドロキシエチル)イソニコチンアミド等が例示される。アミド類としては、ホルムアミド、N−メチルホルムアミド、N,N−ジメチルホルムアミド、アセトアミド、N−メチルアセトアミド、N,N−ジメチルアセトアミド、プロピオンアミド、ベンズアミド、1−シクロヘキシルピロリドン等が例示される。イミド類としては、フタルイミド、サクシンイミド、マレイミド等が例示される。カーバメート類としては、N−t−ブトキシカルボニル−N,N−ジシクロヘキシルアミン、N−t−ブトキシカルボニルベンズイミダゾール、オキサゾリジノン等が例示される。   Furthermore, examples of the nitrogen-containing compound having a carboxy group include aminobenzoic acid, indolecarboxylic acid, amino acid derivatives (for example, nicotinic acid, alanine, arginine, aspartic acid, glutamic acid, glycine, histidine, isoleucine, glycylleucine, leucine, methionine. , Phenylalanine, threonine, lysine, 3-aminopyrazine-2-carboxylic acid, methoxyalanine) and the like, and examples of the nitrogen-containing compound having a sulfonyl group include 3-pyridinesulfonic acid, pyridinium p-toluenesulfonate, and the like. Nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, and alcoholic nitrogen-containing compounds include 2-hydroxypyridine, aminocresol, 2,4-quinolinediol, and 3-indolemethanol. Drate, monoethanolamine, diethanolamine, triethanolamine, N-ethyldiethanolamine, N, N-diethylethanolamine, triisopropanolamine, 2,2'-iminodiethanol, 2-aminoethanol, 3-amino-1-propanol 4-amino-1-butanol, 4- (2-hydroxyethyl) morpholine, 2- (2-hydroxyethyl) pyridine, 1- (2-hydroxyethyl) piperazine, 1- [2- (2-hydroxyethoxy) Ethyl] piperazine, piperidineethanol, 1- (2-hydroxyethyl) pyrrolidine, 1- (2-hydroxyethyl) -2-pyrrolidinone, 3-piperidino-1,2-propanediol, 3-pyrrolidino-1,2-propane Diol, 8-hydroxyuroli , 3-cuincridinol, 3-tropanol, 1-methyl-2-pyrrolidineethanol, 1-aziridineethanol, N- (2-hydroxyethyl) phthalimide, N- (2-hydroxyethyl) isonicotinamide, etc. Illustrated. Examples of amides include formamide, N-methylformamide, N, N-dimethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, propionamide, benzamide, 1-cyclohexylpyrrolidone and the like. Examples of imides include phthalimide, succinimide, maleimide and the like. Examples of carbamates include Nt-butoxycarbonyl-N, N-dicyclohexylamine, Nt-butoxycarbonylbenzimidazole, oxazolidinone, and the like.

更に、下記一般式(B)−1で示される含窒素有機化合物が例示される。
N(X)n(Y)3-n (B)−1
(上式中、n=1、2又は3である。側鎖Xは同一でも異なっていてもよく、下記一般式(X1)、(X2)又は(X3)で表すことができる。側鎖Yは同一又は異種の、水素原子もしくは直鎖状、分岐状又は環状の炭素数1〜20のアルキル基を示し、エーテル基もしくはヒドロキシル基を含んでもよい。また、X同士が結合して環を形成してもよい。)

Figure 2008268850
Furthermore, the nitrogen-containing organic compound shown by the following general formula (B) -1 is illustrated.
N (X) n (Y) 3-n (B) -1
(In the above formula, n = 1, 2 or 3. The side chain X may be the same or different and can be represented by the following general formula (X1), (X2) or (X3). Side chain Y Represents the same or different hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and may contain an ether group or a hydroxyl group, and Xs are bonded to form a ring. You may do it.)
Figure 2008268850

上記一般式(X1)〜(X3)中、R300、R302、R305は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、R301、R304は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、ヒドロキシ基、エーテル基、エステル基、ラクトン環を1あるいは複数含んでいてもよい。 In the general formulas (X1) to (X3), R 300 , R 302 and R 305 are linear or branched alkylene groups having 1 to 4 carbon atoms, and R 301 and R 304 are hydrogen atoms or carbon atoms. It is a linear, branched or cyclic alkyl group of 1 to 20, and may contain one or a plurality of hydroxy groups, ether groups, ester groups and lactone rings.

303は単結合、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、R306は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、ヒドロキシ基、エーテル基、エステル基、ラクトン環を1あるいは複数含んでいてもよい。 R 303 is a single bond or a linear or branched alkylene group having 1 to 4 carbon atoms, R 306 is a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and a hydroxy group , An ether group, an ester group, or a lactone ring may be contained.

上記一般式(B)−1で表される化合物として具体的には、トリス(2−メトキシメトキシエチル)アミン、トリス{2−(2−メトキシエトキシ)エチル}アミン、トリス{2−(2−メトキシエトキシメトキシ)エチル}アミン、トリス{2−(1−メトキシエトキシ)エチル}アミン、トリス{2−(1−エトキシエトキシ)エチル}アミン、トリス{2−(1−エトキシプロポキシ)エチル}アミン、トリス[2−{2−(2−ヒドロキシエトキシ)エトキシ}エチル]アミン、4,7,13,16,21,24−ヘキサオキサ−1,10−ジアザビシクロ[8.8.8]ヘキサコサン、4,7,13,18−テトラオキサ−1,10−ジアザビシクロ[8.5.5]エイコサン、1,4,10,13−テトラオキサ−7,16−ジアザビシクロオクタデカン、1−アザ−12−クラウン−4、1−アザ−15−クラウン−5、1−アザ−18−クラウン−6、トリス(2−ホルミルオキシエチル)アミン、トリス(2−アセトキシエチル)アミン、トリス(2−プロピオニルオキシエチル)アミン、トリス(2−ブチリルオキシエチル)アミン、トリス(2−イソブチリルオキシエチル)アミン、トリス(2−バレリルオキシエチル)アミン、トリス(2−ピバロイルオキシエチル)アミン、N,N−ビス(2−アセトキシエチル)2−(アセトキシアセトキシ)エチルアミン、トリス(2−メトキシカルボニルオキシエチル)アミン、トリス(2−tert−ブトキシカルボニルオキシエチル)アミン、トリス[2−(2−オキソプロポキシ)エチル]アミン、トリス[2−(メトキシカルボニルメチル)オキシエチル]アミン、トリス[2−(tert−ブトキシカルボニルメチルオキシ)エチル]アミン、トリス[2−(シクロヘキシルオキシカルボニルメチルオキシ)エチル]アミン、トリス(2−メトキシカルボニルエチル)アミン、トリス(2−エトキシカルボニルエチル)アミン、N,N−ビス(2−ヒドロキシエチル)2−(メトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(メトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(エトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(エトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−メトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−メトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−ヒドロキシエトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−アセトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−[(メトキシカルボニル)メトキシカルボニル]エチルアミン、N,N−ビス(2−アセトキシエチル)2−[(メトキシカルボニル)メトキシカルボニル]エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−オキソプロポキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−オキソプロポキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(テトラヒドロフルフリルオキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(テトラヒドロフルフリルオキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−[(2−オキソテトラヒドロフラン−3−イル)オキシカルボニル]エチルアミン、N,N−ビス(2−アセトキシエチル)2−[(2−オキソテトラヒドロフラン−3−イル)オキシカルボニル]エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(4−ヒドロキシブトキシカルボニル)エチルアミン、N,N−ビス(2−ホルミルオキシエチル)2−(4−ホルミルオキシブトキシカルボニル)エチルアミン、N,N−ビス(2−ホルミルオキシエチル)2−(2−ホルミルオキシエトキシカルボニル)エチルアミン、N,N−ビス(2−メトキシエチル)2−(メトキシカルボニル)エチルアミン、N−(2−ヒドロキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−アセトキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−ヒドロキシエチル)ビス[2−(エトキシカルボニル)エチル]アミン、N−(2−アセトキシエチル)ビス[2−(エトキシカルボニル)エチル]アミン、N−(3−ヒドロキシ−1−プロピル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(3−アセトキシ−1−プロピル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−メトキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−ブチルビス[2−(メトキシカルボニル)エチル]アミン、N−ブチルビス[2−(2−メトキシエトキシカルボニル)エチル]アミン、N−メチルビス(2−アセトキシエチル)アミン、N−エチルビス(2−アセトキシエチル)アミン、N−メチルビス(2−ピバロイルオキシエチル)アミン、N−エチルビス[2−(メトキシカルボニルオキシ)エチル]アミン、N−エチルビス[2−(tert−ブトキシカルボニルオキシ)エチル]アミン、トリス(メトキシカルボニルメチル)アミン、トリス(エトキシカルボニルメチル)アミン、N−ブチルビス(メトキシカルボニルメチル)アミン、N−ヘキシルビス(メトキシカルボニルメチル)アミン、β−(ジエチルアミノ)−δ−バレロラクトンが例示される。   Specific examples of the compound represented by the general formula (B) -1 include tris (2-methoxymethoxyethyl) amine, tris {2- (2-methoxyethoxy) ethyl} amine, and tris {2- (2- Methoxyethoxymethoxy) ethyl} amine, tris {2- (1-methoxyethoxy) ethyl} amine, tris {2- (1-ethoxyethoxy) ethyl} amine, tris {2- (1-ethoxypropoxy) ethyl} amine, Tris [2- {2- (2-hydroxyethoxy) ethoxy} ethyl] amine, 4,7,13,16,21,24-hexaoxa-1,10-diazabicyclo [8.8.8] hexacosane, 4,7 , 13,18-tetraoxa-1,10-diazabicyclo [8.5.5] eicosane, 1,4,10,13-tetraoxa-7,16-di Zabicyclooctadecane, 1-aza-12-crown-4, 1-aza-15-crown-5, 1-aza-18-crown-6, tris (2-formyloxyethyl) amine, tris (2-acetoxyethyl) ) Amine, tris (2-propionyloxyethyl) amine, tris (2-butyryloxyethyl) amine, tris (2-isobutyryloxyethyl) amine, tris (2-valeryloxyethyl) amine, tris (2 -Pivaloyloxyethyl) amine, N, N-bis (2-acetoxyethyl) 2- (acetoxyacetoxy) ethylamine, tris (2-methoxycarbonyloxyethyl) amine, tris (2-tert-butoxycarbonyloxyethyl) Amine, tris [2- (2-oxopropoxy) ethyl] amine, tris 2- (methoxycarbonylmethyl) oxyethyl] amine, tris [2- (tert-butoxycarbonylmethyloxy) ethyl] amine, tris [2- (cyclohexyloxycarbonylmethyloxy) ethyl] amine, tris (2-methoxycarbonylethyl) Amine, tris (2-ethoxycarbonylethyl) amine, N, N-bis (2-hydroxyethyl) 2- (methoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (methoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- (ethoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (ethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2 -(2-methoxyethoxycarboni ) Ethylamine, N, N-bis (2-acetoxyethyl) 2- (2-methoxyethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- (2-hydroxyethoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (2-acetoxyethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2-[(methoxycarbonyl) methoxycarbonyl] ethylamine, N, N-bis (2 -Acetoxyethyl) 2-[(methoxycarbonyl) methoxycarbonyl] ethylamine, N, N-bis (2-hydroxyethyl) 2- (2-oxopropoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2 -(2-oxopropoxycarbonyl) ethylamine, N, N- (2-hydroxyethyl) 2- (tetrahydrofurfuryloxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (tetrahydrofurfuryloxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) ) 2-[(2-oxotetrahydrofuran-3-yl) oxycarbonyl] ethylamine, N, N-bis (2-acetoxyethyl) 2-[(2-oxotetrahydrofuran-3-yl) oxycarbonyl] ethylamine, N, N-bis (2-hydroxyethyl) 2- (4-hydroxybutoxycarbonyl) ethylamine, N, N-bis (2-formyloxyethyl) 2- (4-formyloxybutoxycarbonyl) ethylamine, N, N-bis ( 2-formyloxyethyl) 2- (2-formyloxy) Ethoxycarbonyl) ethylamine, N, N-bis (2-methoxyethyl) 2- (methoxycarbonyl) ethylamine, N- (2-hydroxyethyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-acetoxy) Ethyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-hydroxyethyl) bis [2- (ethoxycarbonyl) ethyl] amine, N- (2-acetoxyethyl) bis [2- (ethoxycarbonyl) Ethyl] amine, N- (3-hydroxy-1-propyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (3-acetoxy-1-propyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-methoxyethyl) bis [2- (methoxycarbonyl) ethyl] amine, N-butylbi [2- (methoxycarbonyl) ethyl] amine, N-butylbis [2- (2-methoxyethoxycarbonyl) ethyl] amine, N-methylbis (2-acetoxyethyl) amine, N-ethylbis (2-acetoxyethyl) amine N-methylbis (2-pivaloyloxyethyl) amine, N-ethylbis [2- (methoxycarbonyloxy) ethyl] amine, N-ethylbis [2- (tert-butoxycarbonyloxy) ethyl] amine, tris (methoxy Examples include carbonylmethyl) amine, tris (ethoxycarbonylmethyl) amine, N-butylbis (methoxycarbonylmethyl) amine, N-hexylbis (methoxycarbonylmethyl) amine, and β- (diethylamino) -δ-valerolactone.

更に、下記一般式(B)−2に示される環状構造を持つ含窒素有機化合物が例示される。

Figure 2008268850
(上式中、Xは前述の通り、R307は炭素数2〜20の直鎖状又は分岐状のアルキレン基であり、カルボニル基、エーテル基、エステル基、スルフィドをいずれか1個あるいは複数個含んでいてもよい。) Furthermore, the nitrogen-containing organic compound which has a cyclic structure shown by the following general formula (B) -2 is illustrated.
Figure 2008268850
(In the above formula, X is as described above, R 307 is a linear or branched alkylene group having 2 to 20 carbon atoms, and any one or more of a carbonyl group, an ether group, an ester group, and a sulfide. May be included.)

上記一般式(B)−2として具体的には、1−[2−(メトキシメトキシ)エチル]ピロリジン、1−[2−(メトキシメトキシ)エチル]ピペリジン、4−[2−(メトキシメトキシ)エチル]モルホリン、1−[2−[(2−メトキシエトキシ)メトキシ]エチル]ピロリジン、1−[2−[(2−メトキシエトキシ)メトキシ]エチル]ピペリジン、4−[2−[(2−メトキシエトキシ)メトキシ]エチル]モルホリン、酢酸2−(1−ピロリジニル)エチル、酢酸2−ピペリジノエチル、酢酸2−モルホリノエチル、ギ酸2−(1−ピロリジニル)エチル、プロピオン酸2−ピペリジノエチル、アセトキシ酢酸2−モルホリノエチル、メトキシ酢酸2−(1−ピロリジニル)エチル、4−[2−(メトキシカルボニルオキシ)エチル]モルホリン、1−[2−(t−ブトキシカルボニルオキシ)エチル]ピペリジン、4−[2−(2−メトキシエトキシカルボニルオキシ)エチル]モルホリン、3−(1−ピロリジニル)プロピオン酸メチル、3−ピペリジノプロピオン酸メチル、3−モルホリノプロピオン酸メチル、3−(チオモルホリノ)プロピオン酸メチル、2−メチル−3−(1−ピロリジニル)プロピオン酸メチル、3−モルホリノプロピオン酸エチル、3−ピペリジノプロピオン酸メトキシカルボニルメチル、3−(1−ピロリジニル)プロピオン酸2−ヒドロキシエチル、3−モルホリノプロピオン酸2−アセトキシエチル、3−(1−ピロリジニル)プロピオン酸2−オキソテトラヒドロフラン−3−イル、3−モルホリノプロピオン酸テトラヒドロフルフリル、3−ピペリジノプロピオン酸グリシジル、3−モルホリノプロピオン酸2−メトキシエチル、3−(1−ピロリジニル)プロピオン酸2−(2−メトキシエトキシ)エチル、3−モルホリノプロピオン酸ブチル、3−ピペリジノプロピオン酸シクロヘキシル、α−(1−ピロリジニル)メチル−γ−ブチロラクトン、β−ピペリジノ−γ−ブチロラクトン、β−モルホリノ−δ−バレロラクトン、1−ピロリジニル酢酸メチル、ピペリジノ酢酸メチル、モルホリノ酢酸メチル、チオモルホリノ酢酸メチル、1−ピロリジニル酢酸エチル、モルホリノ酢酸2−メトキシエチル、2−メトキシ酢酸2−モルホリノエチル、2−(2−メトキシエトキシ)酢酸2−モルホリノエチル、2−[2−(2−メトキシエトキシ)エトキシ]酢酸2−モルホリノエチル、ヘキサン酸2−モルホリノエチル、オクタン酸2−モルホリノエチル、デカン酸2−モルホリノエチル、ラウリン酸2−モルホリノエチル、ミリスチン酸2−モルホリノエチル、パルミチン酸2−モルホリノエチル、ステアリン酸2−モルホリノエチルが例示される。   Specific examples of the general formula (B) -2 include 1- [2- (methoxymethoxy) ethyl] pyrrolidine, 1- [2- (methoxymethoxy) ethyl] piperidine, 4- [2- (methoxymethoxy) ethyl. ] Morpholine, 1- [2-[(2-methoxyethoxy) methoxy] ethyl] pyrrolidine, 1- [2-[(2-methoxyethoxy) methoxy] ethyl] piperidine, 4- [2-[(2-methoxyethoxy) ) Methoxy] ethyl] morpholine, 2- (1-pyrrolidinyl) ethyl acetate, 2-piperidinoethyl acetate, 2-morpholinoethyl acetate, 2- (1-pyrrolidinyl) ethyl formate, 2-piperidinoethyl propionate, 2-morpholinoethyl acetoxyacetate , 2- (1-pyrrolidinyl) ethyl methoxyacetate, 4- [2- (methoxycarbonyloxy) ethyl ] Morpholine, 1- [2- (t-butoxycarbonyloxy) ethyl] piperidine, 4- [2- (2-methoxyethoxycarbonyloxy) ethyl] morpholine, methyl 3- (1-pyrrolidinyl) propionate, 3-pi Methyl peridinopropionate, methyl 3-morpholinopropionate, methyl 3- (thiomorpholino) propionate, methyl 2-methyl-3- (1-pyrrolidinyl) propionate, ethyl 3-morpholinopropionate, 3-piperidino Methoxycarbonylmethyl propionate, 2-hydroxyethyl 3- (1-pyrrolidinyl) propionate, 2-acetoxyethyl 3-morpholinopropionate, 2-oxotetrahydrofuran-3-yl 3- (1-pyrrolidinyl) propionate, 3- Morpholinopropionic acid tetrahydrofur Furyl, glycidyl 3-piperidinopropionate, 2-methoxyethyl 3-morpholinopropionate, 2- (2-methoxyethoxy) ethyl 3- (1-pyrrolidinyl) propionate, butyl 3-morpholinopropionate, 3-pi Cyclohexyl peridinopropionate, α- (1-pyrrolidinyl) methyl-γ-butyrolactone, β-piperidino-γ-butyrolactone, β-morpholino-δ-valerolactone, methyl 1-pyrrolidinyl acetate, methyl piperidinoacetate, methyl morpholinoacetate, Methyl thiomorpholinoacetate, ethyl 1-pyrrolidinyl acetate, 2-methoxyethyl morpholinoacetate, 2-morpholinoethyl 2-methoxyacetate, 2-morpholinoethyl 2- (2-methoxyethoxy) acetate, 2- [2- (2-methoxy Ethoxy) ethoxy] acetic acid 2-mo Ruphorinoethyl, 2-morpholinoethyl hexanoate, 2-morpholinoethyl octoate, 2-morpholinoethyl decanoate, 2-morpholinoethyl laurate, 2-morpholinoethyl myristate, 2-morpholinoethyl palmitate, 2-morpholinoethyl stearate Is exemplified.

更に、下記一般式(B)−3〜(B)−6で表されるシアノ基を含む含窒素有機化合物が例示される。   Furthermore, the nitrogen-containing organic compound containing the cyano group represented by the following general formula (B) -3-(B) -6 is illustrated.

Figure 2008268850
(上式中、X、R307、nは前述の通り、R308、R309は同一又は異種の炭素数1〜4の直鎖状又は分岐状のアルキレン基である。)
Figure 2008268850
(In the above formula, X, R 307 and n are as described above, and R 308 and R 309 are the same or different linear or branched alkylene groups having 1 to 4 carbon atoms.)

上記一般式(B)−3〜(B)−6で表されるシアノ基を含む含窒素有機化合物として具体的には3−(ジエチルアミノ)プロピオノニトリル、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−メトキシエチル)−3−アミノプロピオノニトリル、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−メトキシエチル)−3−アミノプロピオン酸メチル、N−(2−シアノエチル)−N−(2−ヒドロキシエチル)−3−アミノプロピオン酸メチル、N−(2−アセトキシエチル)−N−(2−シアノエチル)−3−アミノプロピオン酸メチル、N−(2−シアノエチル)−N−エチル−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−ヒドロキシエチル)−3−アミノプロピオノニトリル、N−(2−アセトキシエチル)−N−(2−シアノエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−ホルミルオキシエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−メトキシエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−[2−(メトキシメトキシ)エチル]−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(3−ヒドロキシ−1−プロピル)−3−アミノプロピオノニトリル、N−(3−アセトキシ−1−プロピル)−N−(2−シアノエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(3−ホルミルオキシ−1−プロピル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−テトラヒドロフルフリル−3−アミノプロピオノニトリル、N,N−ビス(2−シアノエチル)−3−アミノプロピオノニトリル、ジエチルアミノアセトニトリル、N,N−ビス(2−ヒドロキシエチル)アミノアセトニトリル、N,N−ビス(2−アセトキシエチル)アミノアセトニトリル、N,N−ビス(2−ホルミルオキシエチル)アミノアセトニトリル、N,N−ビス(2−メトキシエチル)アミノアセトニトリル、N,N−ビス[2−(メトキシメトキシ)エチル]アミノアセトニトリル、N−シアノメチル−N−(2−メトキシエチル)−3−アミノプロピオン酸メチル、N−シアノメチル−N−(2−ヒドロキシエチル)−3−アミノプロピオン酸メチル、N−(2−アセトキシエチル)−N−シアノメチル−3−アミノプロピオン酸メチル、N−シアノメチル−N−(2−ヒドロキシエチル)アミノアセトニトリル、N−(2−アセトキシエチル)−N−(シアノメチル)アミノアセトニトリル、N−シアノメチル−N−(2−ホルミルオキシエチル)アミノアセトニトリル、N−シアノメチル−N−(2−メトキシエチル)アミノアセトニトリル、N−シアノメチル−N−[2−(メトキシメトキシ)エチル]アミノアセトニトリル、N−(シアノメチル)−N−(3−ヒドロキシ−1−プロピル)アミノアセトニトリル、N−(3−アセトキシ−1−プロピル)−N−(シアノメチル)アミノアセトニトリル、N−シアノメチル−N−(3−ホルミルオキシ−1−プロピル)アミノアセトニトリル、N,N−ビス(シアノメチル)アミノアセトニトリル、1−ピロリジンプロピオノニトリル、1−ピペリジンプロピオノニトリル、4−モルホリンプロピオノニトリル、1−ピロリジンアセトニトリル、1−ピペリジンアセトニトリル、4−モルホリンアセトニトリル、3−ジエチルアミノプロピオン酸シアノメチル、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−メトキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオン酸シアノメチル、3−ジエチルアミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−メトキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオン酸(2−シアノエチル)、1−ピロリジンプロピオン酸シアノメチル、1−ピペリジンプロピオン酸シアノメチル、4−モルホリンプロピオン酸シアノメチル、1−ピロリジンプロピオン酸(2−シアノエチル)、1−ピペリジンプロピオン酸(2−シアノエチル)、4−モルホリンプロピオン酸(2−シアノエチル)が例示される。   Specific examples of the nitrogen-containing organic compound containing a cyano group represented by the general formulas (B) -3 to (B) -6 include 3- (diethylamino) propiononitrile and N, N-bis (2-hydroxyethyl). ) -3-aminopropiononitrile, N, N-bis (2-acetoxyethyl) -3-aminopropiononitrile, N, N-bis (2-formyloxyethyl) -3-aminopropiononitrile, N, N-bis (2-methoxyethyl) -3-aminopropiononitrile, N, N-bis [2- (methoxymethoxy) ethyl] -3-aminopropiononitrile, N- (2-cyanoethyl) -N- ( Methyl 2-methoxyethyl) -3-aminopropionate, methyl N- (2-cyanoethyl) -N- (2-hydroxyethyl) -3-aminopropionate, N- (2-acetate) Xylethyl) -N- (2-cyanoethyl) -3-aminopropionate methyl, N- (2-cyanoethyl) -N-ethyl-3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2- Hydroxyethyl) -3-aminopropiononitrile, N- (2-acetoxyethyl) -N- (2-cyanoethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2-formyloxy Ethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2-methoxyethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- [2- (methoxymethoxy) ) Ethyl] -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (3-hydroxy-1-propyl) -3-aminopropiono Tolyl, N- (3-acetoxy-1-propyl) -N- (2-cyanoethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (3-formyloxy-1-propyl)- 3-aminopropiononitrile, N- (2-cyanoethyl) -N-tetrahydrofurfuryl-3-aminopropiononitrile, N, N-bis (2-cyanoethyl) -3-aminopropiononitrile, diethylaminoacetonitrile, N , N-bis (2-hydroxyethyl) aminoacetonitrile, N, N-bis (2-acetoxyethyl) aminoacetonitrile, N, N-bis (2-formyloxyethyl) aminoacetonitrile, N, N-bis (2- Methoxyethyl) aminoacetonitrile, N, N-bis [2- (methoxymethoxy) ethyl] aminoa Cetonitrile, methyl N-cyanomethyl-N- (2-methoxyethyl) -3-aminopropionate, methyl N-cyanomethyl-N- (2-hydroxyethyl) -3-aminopropionate, N- (2-acetoxyethyl) -N-cyanomethyl-3-aminopropionate methyl, N-cyanomethyl-N- (2-hydroxyethyl) aminoacetonitrile, N- (2-acetoxyethyl) -N- (cyanomethyl) aminoacetonitrile, N-cyanomethyl-N- (2-formyloxyethyl) aminoacetonitrile, N-cyanomethyl-N- (2-methoxyethyl) aminoacetonitrile, N-cyanomethyl-N- [2- (methoxymethoxy) ethyl] aminoacetonitrile, N- (cyanomethyl) -N -(3-Hydroxy-1-propyl) amino Cetonitrile, N- (3-acetoxy-1-propyl) -N- (cyanomethyl) aminoacetonitrile, N-cyanomethyl-N- (3-formyloxy-1-propyl) aminoacetonitrile, N, N-bis (cyanomethyl) amino Acetonitrile, 1-pyrrolidinepropiononitrile, 1-piperidinepropiononitrile, 4-morpholinepropiononitrile, 1-pyrrolidineacetonitrile, 1-piperidineacetonitrile, 4-morpholineacetonitrile, cyanomethyl 3-diethylaminopropionate, N, N-bis Cyanomethyl (2-hydroxyethyl) -3-aminopropionate, N, N-bis (2-acetoxyethyl) -3-aminopropionate cyanomethyl, N, N-bis (2-formyloxyethyl) -3-aminopro Cyanomethyl onate, cyanomethyl N, N-bis (2-methoxyethyl) -3-aminopropionate, cyanomethyl N, N-bis [2- (methoxymethoxy) ethyl] -3-aminopropionate, 3-diethylaminopropionic acid (2-cyanoethyl), N, N-bis (2-hydroxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis (2-acetoxyethyl) -3-aminopropionic acid (2-cyanoethyl) ), N, N-bis (2-formyloxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis (2-methoxyethyl) -3-aminopropionic acid (2-cyanoethyl), N , N-bis [2- (methoxymethoxy) ethyl] -3-aminopropionic acid (2-cyanoethyl), 1-pyrrolidine Cyanomethyl lopionate, cyanomethyl 1-piperidinepropionate, cyanomethyl 4-morpholine propionate, 1-pyrrolidinepropionic acid (2-cyanoethyl), 1-piperidinepropionic acid (2-cyanoethyl), 4-morpholine propionic acid (2-cyanoethyl) Is exemplified.

更に、下記一般式(B)−7で表されるイミダゾール骨格及び極性官能基を有する含窒素有機化合物が例示される。

Figure 2008268850
(上式中、R310は炭素数2〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としては水酸基、カルボニル基、エステル基、エーテル基、スルフィド基、カーボネート基、シアノ基、アセタール基のいずれかを1個あるいは複数個含む。R311、R312、R313は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、アリール基又はアラルキル基である。) Furthermore, a nitrogen-containing organic compound having an imidazole skeleton and a polar functional group represented by the following general formula (B) -7 is exemplified.
Figure 2008268850
(In the above formula, R 310 is an alkyl group having a linear, branched or cyclic polar functional group having 2 to 20 carbon atoms, and the polar functional group includes a hydroxyl group, a carbonyl group, an ester group, an ether group, a sulfide. 1 or a plurality of any of a group, carbonate group, cyano group, and acetal group, wherein R 311 , R 312 and R 313 are a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. An aryl group or an aralkyl group.)

更に、下記一般式(B)−8で示されるベンズイミダゾール骨格及び極性官能基を有する含窒素有機化合物が例示される。

Figure 2008268850
(上式中、R314は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、アリール基、又はアラルキル基である。R315は炭素数1〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としてエステル基、アセタール基、シアノ基のいずれかを一つ以上含み、その他に水酸基、カルボニル基、エーテル基、スルフィド基、カーボネート基のいずれかを一つ以上含んでいてもよい。) Furthermore, a nitrogen-containing organic compound having a benzimidazole skeleton and a polar functional group represented by the following general formula (B) -8 is exemplified.
Figure 2008268850
(In the above formula, R 314 is a hydrogen atom, a linear, branched or cyclic alkyl group, aryl group, or aralkyl group having 1 to 10 carbon atoms. R 315 is a linear structure having 1 to 20 carbon atoms. , An alkyl group having a branched or cyclic polar functional group, which includes at least one of an ester group, an acetal group, and a cyano group as a polar functional group, and in addition, a hydroxyl group, a carbonyl group, an ether group, a sulfide group, (One or more carbonate groups may be contained.)

更に、下記一般式(B)−9及び(B)−10で示される極性官能基を有する含窒素複素環化合物が例示される。

Figure 2008268850
(上式中、Aは窒素原子又は≡C−R322である。Bは窒素原子又は≡C−R323である。R316は炭素数2〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としては水酸基、カルボニル基、エステル基、エーテル基、スルフィド基、カーボネート基、シアノ基又はアセタール基を一つ以上含む。R317、R318、R319、R320は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基であるか、又はR317とR318、R319とR320はそれぞれ結合してこれらが結合する炭素原子と共にベンゼン環、ナフタレン環あるいはピリジン環を形成してもよい。R321は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基である。R322、R323は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基である。R321とR323は結合してこれらが結合する炭素原子と共にベンゼン環又はナフタレン環を形成してもよい。) Furthermore, the nitrogen-containing heterocyclic compound which has a polar functional group shown by the following general formula (B) -9 and (B) -10 is illustrated.
Figure 2008268850
(In the above formula, A is a nitrogen atom or ≡C—R 322. B is a nitrogen atom or ≡C—R 323. R 316 is a linear, branched or cyclic polarity having 2 to 20 carbon atoms. An alkyl group having a functional group, and the polar functional group includes one or more of a hydroxyl group, a carbonyl group, an ester group, an ether group, a sulfide group, a carbonate group, a cyano group, or an acetal group R 317 , R 318 , R 319 R 320 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group, or R 317 and R 318 , R 319 and R 320 are bonded to each other. A benzene ring, a naphthalene ring or a pyridine ring may be formed together with the carbon atom to which R is bonded, and R 321 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group. R 322 and R 323 are hydrogen atom and carbon A linear, branched or cyclic alkyl group or an aryl group having a number of 1 to 10. R 321 and R 323 may be bonded to form a benzene ring or a naphthalene ring together with the carbon atom to which they are bonded. .)

更に、下記一般式(B)−11〜(B)−14で示される芳香族カルボン酸エステル構造を有する含窒素有機化合物が例示される。

Figure 2008268850
(上式中、R324は炭素数6〜20のアリール基又は炭素数4〜20のヘテロ芳香族基であって、水素原子の一部又は全部が、ハロゲン原子、炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、炭素数6〜20のアリール基、炭素数7〜20のアラルキル基、炭素数1〜10のアルコキシ基、炭素数1〜10のアシルオキシ基、又は、炭素数1〜10のアルキルチオ基で置換されていてもよい。R325はCO2326、OR327又はシアノ基である。R326は一部のメチレン基が酸素原子で置換されていてもよい炭素数1〜10のアルキル基である。R327は一部のメチレン基が酸素原子で置換されていてもよい炭素数1〜10のアルキル基又はアシル基である。R328は単結合、メチレン基、エチレン基、硫黄原子又は−O(CH2CH2O)n−基である。n=0,1,2,3又は4である。R329は水素原子、メチル基、エチル基又はフェニル基である。Xは窒素原子又はCR330である。Yは窒素原子又はCR331である。Zは窒素原子又はCR332である。R330、R331、R332はそれぞれ独立に水素原子、メチル基又はフェニル基であるか、あるいはR330とR331又はR331とR332が結合してこれらが結合する炭素原子と共に炭素数6〜20の芳香環又は炭素数2〜20のヘテロ芳香環を形成してもよい。) Furthermore, the nitrogen-containing organic compound which has an aromatic carboxylic acid ester structure shown by the following general formula (B) -11- (B) -14 is illustrated.
Figure 2008268850
(In the above formula, R 324 is an aryl group having 6 to 20 carbon atoms or a heteroaromatic group having 4 to 20 carbon atoms, and a part or all of the hydrogen atoms are halogen atoms, straight carbon atoms having 1 to 20 carbon atoms. A linear, branched or cyclic alkyl group, an aryl group having 6 to 20 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, an alkoxy group having 1 to 10 carbon atoms, an acyloxy group having 1 to 10 carbon atoms, or carbon R 325 is CO 2 R 326 , OR 327 or cyano group R 326 is a carbon in which some methylene groups may be substituted with oxygen atoms R 327 is an alkyl group or acyl group having 1 to 10 carbon atoms in which a part of the methylene group may be substituted with an oxygen atom, R 328 is a single bond or a methylene group. , an ethylene group, a sulfur atom or -O (CH 2 CH 2 O n - .R 329 is .n = 0, 1, 2, 3 or 4 is a radical is a hydrogen atom, a methyl group, an ethyl group or a phenyl group .X is a nitrogen atom or CR 330 .Y nitrogen An atom or CR 331. Z is a nitrogen atom or CR 332. R 330 , R 331 and R 332 are each independently a hydrogen atom, a methyl group or a phenyl group, or R 330 and R 331 or R 331. And R 332 may be bonded together to form a C 6-20 aromatic ring or a C 2-20 heteroaromatic ring together with the carbon atom to which they are bonded.

更に、下記一般式(B)−15で示される7−オキサノルボルナン−2−カルボン酸エステル構造を有する含窒素有機化合物が例示される。

Figure 2008268850
(上式中、R333は水素、又は炭素数1〜10の直鎖状、分枝状又は環状のアルキル基である。R334及びR335はそれぞれ独立に、エーテル、カルボニル、エステル、アルコール、スルフィド、ニトリル、アミン、イミン、アミドなどの極性官能基を一つ又は複数含んでいてもよい炭素数1〜20のアルキル基、炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基であって、水素原子の一部がハロゲン原子で置換されていてもよい。R334とR335は互いに結合してこれらが結合する炭素原子と共に炭素数2〜20のヘテロ環又はヘテロ芳香環を形成してもよい。) Furthermore, a nitrogen-containing organic compound having a 7-oxanorbornane-2-carboxylic acid ester structure represented by the following general formula (B) -15 is exemplified.
Figure 2008268850
(In the above formula, R 333 is hydrogen or a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. R 334 and R 335 are each independently an ether, carbonyl, ester, alcohol, C1-C20 alkyl group, C6-C20 aryl group, or C7-C20 aralkyl which may contain one or more polar functional groups such as sulfide, nitrile, amine, imine and amide A part of hydrogen atoms may be substituted with a halogen atom, and R 334 and R 335 are bonded to each other and together with the carbon atom to which they are bonded, a C 2-20 heterocycle or heteroaromatic ring May be formed.)

なお、含窒素有機化合物の配合量は、ベース樹脂100質量部に対して0.001〜2質量部、特に0.01〜1質量部が好適である。配合量が0.001質量部以上であれば十分な配合効果が得られ、2質量部以下であれば感度が低下するおそれが少ない。   In addition, the compounding quantity of a nitrogen-containing organic compound is 0.001-2 mass parts with respect to 100 mass parts of base resins, Especially 0.01-1 mass part is suitable. If the amount is 0.001 part by mass or more, a sufficient blending effect is obtained, and if it is 2 parts by mass or less, the sensitivity is less likely to decrease.

本発明のパターン形成方法におけるレジスト材料には、上記成分以外に任意成分として塗布性を向上させるために慣用されている界面活性剤を添加することができる。なお、任意成分の添加量は、本発明の効果を妨げない範囲で通常量とすることができる。   To the resist material in the pattern forming method of the present invention, a surfactant conventionally used for improving the coatability as an optional component can be added in addition to the above components. In addition, the addition amount of an arbitrary component can be made into a normal amount in the range which does not inhibit the effect of this invention.

ここで、界面活性剤としては非イオン性のものが好ましく、パーフルオロアルキルポリオキシエチレンエタノール、フッ素化アルキルエステル、パーフルオロアルキルアミンオキサイド、パーフルオロアルキルEO付加物、含フッ素オルガノシロキサン系化合物等が挙げられる。例えばフロラード「FC−430」、「FC−431」(いずれも住友スリーエム(株)製)、サーフロン「S−141」、「S−145」、「KH−10」、「KH−20」、「KH−30」、「KH−40」(いずれも旭硝子(株)製)、ユニダイン「DS−401」、「DS−403」、「DS−451」(いずれもダイキン工業(株)製)、メガファック「F−8151」(大日本インキ工業(株)製)、「X−70−092」、「X−70−093」(いずれも信越化学工業(株)製)等を挙げることができる。好ましくは、フロラード「FC−430」(住友スリーエム(株)製)、「KH−20」、「KH−30」(いずれも旭硝子(株)製)、「X−70−093」(信越化学工業(株)製)が挙げられる。   Here, the surfactant is preferably nonionic, such as perfluoroalkyl polyoxyethylene ethanol, fluorinated alkyl ester, perfluoroalkylamine oxide, perfluoroalkyl EO adduct, fluorine-containing organosiloxane compound, and the like. Can be mentioned. For example, Florard “FC-430”, “FC-431” (all manufactured by Sumitomo 3M Limited), Surflon “S-141”, “S-145”, “KH-10”, “KH-20”, “ KH-30 "," KH-40 "(all manufactured by Asahi Glass Co., Ltd.), Unidyne" DS-401 "," DS-403 "," DS-451 "(all manufactured by Daikin Industries, Ltd.), Mega For example, “F-8151” (manufactured by Dainippon Ink Industries, Ltd.), “X-70-092”, “X-70-093” (all manufactured by Shin-Etsu Chemical Co., Ltd.) can be used. Preferably, Florard “FC-430” (manufactured by Sumitomo 3M Limited), “KH-20”, “KH-30” (all manufactured by Asahi Glass Co., Ltd.), “X-70-093” (Shin-Etsu Chemical Co., Ltd.) Product).

本発明のパターン形成方法におけるレジスト材料には、必要に応じ、任意成分として更に、溶解制御剤、カルボン酸化合物、アセチレンアルコール誘導体などの他の成分を添加してもよい。なお、任意成分の添加量は、本発明の効果を妨げない範囲で通常量とすることができる。   If necessary, the resist material in the pattern forming method of the present invention may further contain other components such as a dissolution controller, a carboxylic acid compound, and an acetylene alcohol derivative as optional components. In addition, the addition amount of an arbitrary component can be made into a normal amount in the range which does not inhibit the effect of this invention.

本発明のパターン形成方法のレジスト材料に添加することができる溶解制御剤としては、重量平均分子量が100〜1,000、好ましくは150〜800で、かつ分子内にフェノール性水酸基を2つ以上有する化合物の該フェノール性水酸基の水素原子を酸不安定基により全体として平均0〜100モル%の割合で置換した化合物又は分子内にカルボキシ基を有する化合物の該カルボキシ基の水素原子を酸不安定基により全体として平均50〜100モル%の割合で置換した化合物を配合する。   The dissolution control agent that can be added to the resist material of the pattern forming method of the present invention has a weight average molecular weight of 100 to 1,000, preferably 150 to 800, and has two or more phenolic hydroxyl groups in the molecule. A compound in which the hydrogen atom of the phenolic hydroxyl group of the compound is substituted with an acid labile group in an average of 0 to 100 mol% as a whole or a hydrogen atom of the carboxy group of a compound having a carboxy group in the molecule is an acid labile group Thus, the compound substituted at a ratio of 50 to 100 mol% on average as a whole is blended.

なお、フェノール性水酸基の水素原子の酸不安定基による置換率は、平均でフェノール性水酸基全体の0モル%以上、好ましくは30モル%以上であり、その上限は100モル%、より好ましくは80モル%である。カルボキシ基の水素原子の酸不安定基による置換率は、平均でカルボキシ基全体の50モル%以上、好ましくは70モル%以上であり、その上限は100モル%である。   The substitution rate of the hydrogen atom of the phenolic hydroxyl group by an acid labile group is on average 0 mol% or more, preferably 30 mol% or more of the entire phenolic hydroxyl group, and the upper limit is 100 mol%, more preferably 80 mol%. Mol%. The substitution rate of the hydrogen atom of the carboxy group by an acid labile group is 50 mol% or more, preferably 70 mol% or more of the entire carboxy group on average, and the upper limit is 100 mol%.

この場合、かかるフェノール性水酸基を2つ以上有する化合物又はカルボキシ基を有する化合物としては、下記式(D1)〜(D14)で示されるものが好ましい。   In this case, as the compound having two or more phenolic hydroxyl groups or the compound having a carboxy group, those represented by the following formulas (D1) to (D14) are preferable.

Figure 2008268850
Figure 2008268850

上式中、R201とR202は、それぞれ水素原子、又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基を示し、例えば、水素原子、メチル基、エチル基、ブチル基、プロピル基、エチニル基、シクロヘキシル基が挙げられる。
203は、水素原子、又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基、あるいは−(R207hCOOH(式中、R207は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。hは0又は1である。)を示し、例えば、R201、R202と同様なもの、あるいは−COOH、−CH2COOHが挙げられる。
204は、−(CH2i−(i=2〜10)、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示し、例えば、エチレン基、フェニレン基、カルボニル基、スルホニル基、酸素原子、硫黄原子等が挙げられる。
205は、炭素数1〜10のアルキレン基、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示し、例えば、メチレン基、あるいはR204と同様なものが挙げられる。
206は、水素原子、炭素数1〜8の直鎖状又は分岐状のアルキル基、アルケニル基、又はそれぞれ水酸基で置換されたフェニル基又はナフチル基を示し、例えば、水素原子、メチル基、エチル基、ブチル基、プロピル基、エチニル基、シクロヘキシル基、それぞれ水酸基で置換されたフェニル基、ナフチル基等が挙げられる。
208は、水素原子又は水酸基を示す。
In the above formula, R 201 and R 202 each represent a hydrogen atom, or a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, such as a hydrogen atom, a methyl group, an ethyl group, or a butyl group. , A propyl group, an ethynyl group, and a cyclohexyl group.
R 203 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or — (R 207 ) h COOH (wherein R 207 is a linear chain having 1 to 10 carbon atoms) And h represents 0 or 1), and examples thereof include those similar to R 201 and R 202 , or —COOH and —CH 2 COOH.
R 204 represents — (CH 2 ) i — (i = 2 to 10), an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom, such as an ethylene group, a phenylene group, A carbonyl group, a sulfonyl group, an oxygen atom, a sulfur atom, etc. are mentioned.
R 205 represents an alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom, and examples thereof include a methylene group or the same as R 204. It is done.
R 206 represents a hydrogen atom, a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, or a phenyl group or a naphthyl group each substituted with a hydroxyl group. For example, a hydrogen atom, a methyl group, an ethyl group Group, butyl group, propyl group, ethynyl group, cyclohexyl group, phenyl group substituted with hydroxyl group, naphthyl group, etc., respectively.
R 208 represents a hydrogen atom or a hydroxyl group.

jは0〜5の整数である。u、hは0又は1である。s、t、s’、t’、s’’、t’’はそれぞれs+t=8、s’+t’=5、s’’+t’’=4を満足し、かつ各フェニル骨格中に少なくとも1つの水酸基を有するような数である。αは式(D8)、(D9)の化合物の重量平均分子量を100〜1,000とする数である。   j is an integer of 0-5. u and h are 0 or 1. s, t, s ′, t ′, s ″, t ″ satisfy s + t = 8, s ′ + t ′ = 5, s ″ + t ″ = 4, respectively, and at least 1 in each phenyl skeleton The number has two hydroxyl groups. α is a number that makes the weight average molecular weight of the compounds of formulas (D8) and (D9) 100 to 1,000.

溶解制御剤の酸不安定基としては、種々用いることができるが、具体的には前記一般式(L1)〜(L4)で示される基、炭素数4〜20の三級アルキル基、各アルキル基の炭素数がそれぞれ1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基等を挙げることができる。なお、それぞれの基の具体例については、先の説明と同様である。   The acid labile group of the dissolution control agent can be variously used. Specifically, the groups represented by the general formulas (L1) to (L4), the tertiary alkyl group having 4 to 20 carbon atoms, and each alkyl Examples thereof include a trialkylsilyl group having 1 to 6 carbon atoms and an oxoalkyl group having 4 to 20 carbon atoms. Specific examples of each group are the same as described above.

上記溶解制御剤の配合量は、レジスト材料中のベース樹脂100質量部に対し、0〜50質量部、好ましくは0〜40質量部、より好ましくは0〜30質量部であり、単独又は2種以上を混合して使用できる。配合量が50質量部以下であれば、パターンの膜減りが生じて、解像度が低下するおそれが少ない。   The compounding amount of the dissolution control agent is 0 to 50 parts by mass, preferably 0 to 40 parts by mass, more preferably 0 to 30 parts by mass with respect to 100 parts by mass of the base resin in the resist material. The above can be mixed and used. When the blending amount is 50 parts by mass or less, the film thickness of the pattern is reduced, and there is little possibility that the resolution is lowered.

なお、上記のような溶解制御剤は、フェノール性水酸基又はカルボキシ基を有する化合物に対し、有機化学的処方を用いて酸不安定基を導入することにより合成される。   In addition, the above solubility control agents are synthesize | combined by introduce | transducing an acid labile group with respect to the compound which has a phenolic hydroxyl group or a carboxy group using an organic chemical prescription.

本発明のパターン形成方法に用いるレジスト材料に添加することができるカルボン酸化合物としては、例えば下記[I群]及び[II群]から選ばれる1種又は2種以上の化合物を使用することができるが、これらに限定されるものではない。本成分の配合により、レジストのPED(Post Exposure Delay)安定性が向上し、窒化膜基板上でのエッジラフネスが改善されるのである。   As the carboxylic acid compound that can be added to the resist material used in the pattern forming method of the present invention, for example, one or more compounds selected from the following [Group I] and [Group II] can be used. However, it is not limited to these. By blending this component, the PED (Post Exposure Delay) stability of the resist is improved, and the edge roughness on the nitride film substrate is improved.

[I群]
下記一般式(A1)〜(A10)で示される化合物のフェノール性水酸基の水素原子の一部又は全部を−R401−COOH(R401は炭素数1〜10の直鎖状又は分岐状のアルキレン基)により置換してなり、かつ分子中のフェノール性水酸基(C)と≡C−COOHで示される基(D)とのモル比率がC/(C+D)=0.1〜1.0である化合物。
[II群]
下記一般式(A11)〜(A15)で示される化合物。
[Group I]
A part or all of the hydrogen atoms of the phenolic hydroxyl groups of the compounds represented by the following general formulas (A1) to (A10) are converted to —R 401 —COOH (R 401 is a linear or branched alkylene having 1 to 10 carbon atoms). The molar ratio of the phenolic hydroxyl group (C) in the molecule to the group (D) represented by ≡C—COOH is C / (C + D) = 0.1 to 1.0. Compound.
[Group II]
Compounds represented by the following general formulas (A11) to (A15).

Figure 2008268850
Figure 2008268850

Figure 2008268850
Figure 2008268850

上式中、R408は水素原子又はメチル基を示す。
402、R403はそれぞれ水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基を示す。R404は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基、あるいは−(R409h−COOR’基(R’は水素原子又は−R409−COOH)を示す。
405は−(CH2i−(i=2〜10)、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示す。
406は炭素数1〜10のアルキレン基、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示す。
407は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基、アルケニル基、それぞれ水酸基で置換されたフェニル基又はナフチル基を示す。
409は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。
410は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基又は−R411−COOH基(式中、R411は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。)を示す。
412は水素原子又は水酸基を示す。
In the above formula, R 408 represents a hydrogen atom or a methyl group.
R 402 and R 403 each represent a hydrogen atom or a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms. R 404 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or a — (R 409 ) h —COOR ′ group (R ′ represents a hydrogen atom or —R 409 —COOH). Show.
R 405 represents — (CH 2 ) i — (i = 2 to 10), an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom, or a sulfur atom.
R 406 represents an alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom.
R 407 represents a hydrogen atom, a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, a phenyl group or a naphthyl group each substituted with a hydroxyl group.
R 409 represents a linear or branched alkylene group having 1 to 10 carbon atoms.
R 410 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or —R 411 —COOH group (wherein R 411 is a linear or branched group having 1 to 10 carbon atoms) Represents an alkylene group.
R 412 represents a hydrogen atom or a hydroxyl group.

jは0〜3の数であり、s1、t1、s2、t2、s3、t3、s4、t4は、それぞれs1+t1=8、s2+t2=5、s3+t3=4、s4+t4=6を満足し、かつ各フェニル骨格中に少なくとも1つの水酸基を有するような数である。
s5、t5は、s5≧0、t5≧0で、s5+t5=5を満足する数である。
uは、1≦u≦4を満足する数であり、hは、1≦h≦4を満足する数である。
κは式(A6)の化合物を重量平均分子量1,000〜5,000とする数である。
λは式(A7)の化合物を重量平均分子量1,000〜10,000とする数である。
j is a number from 0 to 3, and s1, t1, s2, t2, s3, t3, s4, and t4 satisfy s1 + t1 = 8, s2 + t2 = 5, s3 + t3 = 4, s4 + t4 = 6, and each phenyl The number is such that it has at least one hydroxyl group in the skeleton.
s5 and t5 are numbers satisfying s5 + t5 = 5 with s5 ≧ 0 and t5 ≧ 0.
u is a number satisfying 1 ≦ u ≦ 4, and h is a number satisfying 1 ≦ h ≦ 4.
κ is a number that makes the compound of formula (A6) a weight average molecular weight of 1,000 to 5,000.
λ is a number that makes the compound of formula (A7) a weight average molecular weight of 1,000 to 10,000.

本成分として、具体的には下記一般式(AI−1)〜(AI−14)及び(AII−1)〜(AII−10)で示される化合物を挙げることができるが、これらに限定されるものではない。   Specific examples of this component include, but are not limited to, compounds represented by the following general formulas (AI-1) to (AI-14) and (AII-1) to (AII-10). It is not a thing.

Figure 2008268850
Figure 2008268850

Figure 2008268850
(上式中、R’’は水素原子又はCH2COOH基を示し、各化合物においてR’’の10〜100モル%はCH2COOH基である。κとλは上記と同様の意味を示す。)
Figure 2008268850
(In the above formula, R ″ represents a hydrogen atom or a CH 2 COOH group, and in each compound, 10 to 100 mol% of R ″ is a CH 2 COOH group. Κ and λ have the same meaning as described above. .)

なお、上記分子内に≡C−COOHで示される基を有する化合物の添加量は、ベース樹脂100質量部に対して0〜5質量部、好ましくは0.1〜5質量部、より好ましくは0.1〜3質量部、更に好ましくは0.1〜2質量部である。5質量部以下であればレジスト材料の解像度が低下するおそれが少ない。   The amount of the compound having a group represented by ≡C—COOH in the molecule is 0 to 5 parts by mass, preferably 0.1 to 5 parts by mass, and more preferably 0 to 100 parts by mass of the base resin. 0.1-3 parts by mass, more preferably 0.1-2 parts by mass. If it is 5 mass parts or less, there is little possibility that the resolution of a resist material will fall.

本発明のパターン形成方法に用いるレジスト材料に添加することができるアセチレンアルコール誘導体としては、下記一般式(S1)、(S2)で示されるものを好適に使用することができる。   As the acetylene alcohol derivative that can be added to the resist material used in the pattern forming method of the present invention, those represented by the following general formulas (S1) and (S2) can be preferably used.

Figure 2008268850
(上式中、R501、R502、R503、R504、R505はそれぞれ水素原子、又は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基であり、X、Yは0又は正数を示し、下記値を満足する。0≦X≦30、0≦Y≦30、0≦X+Y≦40である。)
Figure 2008268850
(In the above formula, R 501 , R 502 , R 503 , R 504 , and R 505 are each a hydrogen atom or a linear, branched, or cyclic alkyl group having 1 to 8 carbon atoms, and X and Y are 0 Or it represents a positive number and satisfies the following values: 0 ≦ X ≦ 30, 0 ≦ Y ≦ 30, 0 ≦ X + Y ≦ 40.)

アセチレンアルコール誘導体として好ましくは、サーフィノール61、サーフィノール82、サーフィノール104、サーフィノール104E、サーフィノール104H、サーフィノール104A、サーフィノールTG、サーフィノールPC、サーフィノール440、サーフィノール465、サーフィノール485(Air Products and Chemicals Inc.製)、サーフィノールE1004(日信化学工業(株)製)等が挙げられる。   The acetylene alcohol derivative is preferably Surfinol 61, Surfinol 82, Surfinol 104, Surfinol 104E, Surfinol 104H, Surfinol 104A, Surfinol TG, Surfinol PC, Surfinol 440, Surfinol 465, Surfinol 485 (Air Products and Chemicals Inc.), Surfinol E1004 (Nisshin Chemical Industry Co., Ltd.) and the like.

上記アセチレンアルコール誘導体の添加量は、レジスト材料100質量%中0.01〜2質量%、より好ましくは0.02〜1質量%である。0.01質量%以上であれば塗布性及び保存安定性の改善効果が十分に得られ、2質量%以下であればレジスト材料の解像性が低下するおそれが少ない。   The addition amount of the acetylene alcohol derivative is 0.01 to 2% by mass, more preferably 0.02 to 1% by mass in 100% by mass of the resist material. If it is 0.01 mass% or more, the improvement effect of applicability | paintability and storage stability is fully acquired, and if it is 2 mass% or less, there is little possibility that the resolution of a resist material will fall.

また、本発明は、上記のレジスト材料を基板上に塗布する工程と、加熱処理後、炭素数4〜10の高級アルコールを含む溶液でフォトレジスト膜表面を濡らした後に、保護膜を塗布し、加熱処理後、高エネルギー線で水を用いて液浸露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法を提供するが、このとき高エネルギー線を波長180〜250nmの範囲のものとすることが好ましい。   The present invention also includes a step of applying the resist material on the substrate, and after the heat treatment, after wetting the photoresist film surface with a solution containing a higher alcohol having 4 to 10 carbon atoms, and then applying a protective film, After the heat treatment, there is provided a pattern forming method comprising a step of immersion exposure using water with a high energy ray and a step of developing using a developer. It is preferable to set it as the thing of the range of 180-250 nm.

例えば、シリコンウエハー等の基板上にスピンコーティング等の手法で膜厚が0.1〜2.0μmとなるようにレジスト材料を塗布し、これをホットプレート上で、60〜150℃で1〜10分間、好ましくは80〜140℃で1〜5分間プリベークしてフォトレジスト膜を形成する。
次いで炭素数4〜10の高級アルコールを含む溶液でフォトレジスト膜表面を前述した方法で濡らした後に、保護膜を塗布し、50〜130℃、特に60〜120℃で加熱処理を行う。場合によっては保護膜を塗布する前に加熱処理を行ってもよい。保護膜の膜厚は5〜200nmの範囲で、好ましくは10〜150nmである。
次いで目的のパターンを形成するためのマスクを上記のフォトレジスト膜上にかざし、KrFエキシマレーザー、ArFエキシマレーザー等の高エネルギー線でレジスト保護膜と投影レンズの間に露光波長における屈折率1.0以上の液体を挿入して露光量1〜200mJ/cm2、好ましくは10〜100mJ/cm2となるように液浸露光を行う。液体としては水が最も好ましく用いられる。
For example, a resist material is applied on a substrate such as a silicon wafer by spin coating or the like so that the film thickness becomes 0.1 to 2.0 μm, and this is applied on a hot plate at 1 to 10 at 60 to 150 ° C. Pre-bake for 1 minute to 5 minutes, preferably 80 to 140 ° C. to form a photoresist film.
Next, after the photoresist film surface is wetted with a solution containing a higher alcohol having 4 to 10 carbon atoms by the above-described method, a protective film is applied and heat treatment is performed at 50 to 130 ° C., particularly 60 to 120 ° C. In some cases, heat treatment may be performed before applying the protective film. The thickness of the protective film is in the range of 5 to 200 nm, preferably 10 to 150 nm.
Next, a mask for forming a target pattern is placed over the above-described photoresist film, and a refractive index of 1.0 at an exposure wavelength is provided between the resist protective film and the projection lens with a high energy beam such as a KrF excimer laser or an ArF excimer laser. The above liquid is inserted and immersion exposure is performed so that the exposure amount is 1 to 200 mJ / cm 2 , preferably 10 to 100 mJ / cm 2 . Water is most preferably used as the liquid.

本発明におけるパターン形成方法に用いられる上述したフォトレジスト保護膜は、水に対する良好なバリアー性能を有し、フォトレジスト材料の水への溶出を抑制することができ、滑水性に優れるために高速スキャン露光が可能になる。   The above-described photoresist protective film used in the pattern forming method of the present invention has a good barrier performance against water, can suppress the elution of the photoresist material into water, and is excellent in lubricity so that it can be scanned at high speed. Exposure is possible.

次いで、ホットプレート上で、60〜150℃で1〜5分間、好ましくは80〜140℃で1〜3分間ポストエクスポージャーベーク(PEB)する。更に、0.1〜5質量%、好ましくは2〜3質量%のテトラメチルアンモニウムヒドロキシド(TMAH)等のアルカリ水溶液の現像液を用い、0.1〜3分間、好ましくは0.5〜2分間、浸漬(dip)法、パドル(puddle)法、スプレー(spray)法等の常法により現像して、基板上に目的のパターンが形成される。   Next, post exposure baking (PEB) is performed on a hot plate at 60 to 150 ° C. for 1 to 5 minutes, preferably at 80 to 140 ° C. for 1 to 3 minutes. Further, 0.1 to 5% by mass, preferably 2 to 3% by mass of an aqueous developer solution such as tetramethylammonium hydroxide (TMAH) is used for 0.1 to 3 minutes, preferably 0.5 to 2%. The target pattern is formed on the substrate by developing by a conventional method such as a dip method, a paddle method, or a spray method for a minute.

以下、実施例及び比較例を示して本発明を具体的に説明するが、本発明はこれらの記載によって限定されるものではない。   EXAMPLES Hereinafter, although an Example and a comparative example are shown and this invention is demonstrated concretely, this invention is not limited by these description.

[実施例、比較例]
(レジスト保護膜用高分子化合物の調製)
レジスト保護膜材料に添加される高分子化合物として、各々のモノマーを組み合わせてイソプロピルアルコール溶媒下でラジカル共重合反応を行い、ヘキサンに晶出し、更にヘキサンで洗浄を繰り返した後に単離、乾燥して以下に示す組成の高分子化合物を得た。得られた高分子化合物の組成は1H−NMR、分子量及び分散度はゲルパーミエーションクロマトグラフにより確認した。
[Examples and Comparative Examples]
(Preparation of polymer compound for resist protective film)
As a polymer compound to be added to the resist protective film material, each monomer is combined and subjected to radical copolymerization reaction in an isopropyl alcohol solvent, crystallized in hexane, further washed with hexane, isolated and dried. A polymer compound having the composition shown below was obtained. The composition of the obtained polymer compound was confirmed by 1 H-NMR, and the molecular weight and dispersity were confirmed by gel permeation chromatography.

ポリマー1(Polymer 1)
分子量(Mw)=9,100
分散度(Mw/Mn)=1.82

Figure 2008268850
Polymer 1 (Polymer 1)
Molecular weight (Mw) = 9,100
Dispersity (Mw / Mn) = 1.82
Figure 2008268850

ポリマー2(Polymer 2)
分子量(Mw)=8,600
分散度(Mw/Mn)=1.79

Figure 2008268850
Polymer 2
Molecular weight (Mw) = 8,600
Dispersity (Mw / Mn) = 1.79
Figure 2008268850

ポリマー3(Polymer 3)
分子量(Mw)=6,300
分散度(Mw/Mn)=1.64

Figure 2008268850
Polymer 3 (Polymer 3)
Molecular weight (Mw) = 6,300
Dispersity (Mw / Mn) = 1.64
Figure 2008268850

ポリマー4(Polymer 4)
分子量(Mw)=7,500
分散度(Mw/Mn)=1.73

Figure 2008268850
Polymer 4 (Polymer 4)
Molecular weight (Mw) = 7,500
Dispersity (Mw / Mn) = 1.73
Figure 2008268850

ポリマー5(Polymer 5)
分子量(Mw)=6,100
分散度(Mw/Mn)=1.68

Figure 2008268850
Polymer 5 (Polymer 5)
Molecular weight (Mw) = 6,100
Dispersity (Mw / Mn) = 1.68
Figure 2008268850

(保護膜材料の調製)
下記に示した組成で、ベース樹脂、有機溶剤を混合、溶解後にそれらを高密度ポリエチレン製フィルター(孔径0.02μm)で濾過し、表1に示される組成で保護膜材料(TC−1〜8)を調製した。
(Preparation of protective film material)
After mixing and dissolving the base resin and organic solvent in the composition shown below, they are filtered through a high-density polyethylene filter (pore size 0.02 μm), and the protective film material (TC-1 to TC-8) having the composition shown in Table 1 ) Was prepared.

Figure 2008268850
Figure 2008268850

(レジスト材料の調製)
下記に示した組成で、ベース樹脂、光酸発生剤、塩基性化合物及び有機溶剤を混合、溶解後にそれらを高密度ポリエチレン製フィルター(孔径0.02μm)で濾過し、レジスト材料(レジスト1,2)を調製した。
(Preparation of resist material)
After mixing and dissolving the base resin, photoacid generator, basic compound and organic solvent with the composition shown below, they are filtered through a high-density polyethylene filter (pore size 0.02 μm) to obtain a resist material (resist 1, 2 ) Was prepared.

レジスト1
混合組成:ベース樹脂1(100質量部)、光酸発生剤(5質量部)、塩基性化合物(1質量部)、有機溶剤(1,800質量部)、界面活性剤KH−20(旭硝子(株)製)0.1質量部
ベース樹脂1(下記構造式参照)

Figure 2008268850
分子量(Mw)=7,600
分散度(Mw/Mn)=1.76
光酸発生剤(PAG):ノナフルオロブタンスルホン酸トリフェニルスルホニウム
塩基性化合物(クエンチャー):2−(2−メトキシエトキシメトキシ)エチルモルホリン
有機溶剤:酢酸1−メトキシイソプロピル Resist 1
Mixed composition: base resin 1 (100 parts by mass), photoacid generator (5 parts by mass), basic compound (1 part by mass), organic solvent (1,800 parts by mass), surfactant KH-20 (Asahi Glass ( Co., Ltd.) 0.1 parts by mass base resin 1 (see the following structural formula)
Figure 2008268850
Molecular weight (Mw) = 7,600
Dispersity (Mw / Mn) = 1.76
Photoacid generator (PAG): Nonafluorobutanesulfonic acid triphenylsulfonium basic compound (quencher): 2- (2-methoxyethoxymethoxy) ethylmorpholine Organic solvent: 1-methoxyisopropyl acetate

レジスト2
混合組成:ベース樹脂2(50質量部)、ベース樹脂3(50質量部)、光酸発生剤(3.5質量部)、塩基性化合物(0.5質量部)、有機溶剤:酢酸1−メトキシイソプロピル(1,200質量部)、シクロヘキサノン(300質量部)、界面活性剤KH−20(旭硝子(株)製)0.1質量部
Resist 2
Mixed composition: base resin 2 (50 parts by mass), base resin 3 (50 parts by mass), photoacid generator (3.5 parts by mass), basic compound (0.5 parts by mass), organic solvent: acetic acid 1- Methoxyisopropyl (1,200 parts by mass), cyclohexanone (300 parts by mass), surfactant KH-20 (Asahi Glass Co., Ltd.) 0.1 parts by mass

ベース樹脂2,3(下記構造式参照)

Figure 2008268850
光酸発生剤(PAG):ノナフルオロブタンスルホン酸トリフェニルスルホニウム
塩基性化合物(クエンチャー)(下記構造式参照)
Figure 2008268850
有機溶剤:酢酸1−メトキシイソプロピル、シクロヘキサノン Base resins 2 and 3 (see structural formula below)
Figure 2008268850
Photoacid generator (PAG): Nonafluorobutanesulfonic acid triphenylsulfonium basic compound (quencher) (see structural formula below)
Figure 2008268850
Organic solvent: 1-methoxyisopropyl acetate, cyclohexanone

8インチのSi基板上に作製した日産化学工業(株)製反射防止膜ARC−29Aの80nm膜厚上にレジスト溶液を塗布し、110℃で60秒間ベークして膜厚200nmのレジスト膜を作製した。各種アルコールを含有する溶液を3秒間静止ディスペンスし(プリソーキング)、2,000rpmで10秒間回転させ、その上にレジスト保護膜TC−1〜8を厚さ50nmで塗布し、100℃で60秒間ベークした。(株)ニコン製ArFエキシマレーザースキャナーS307E(NA0.85 σ0.93、Crマスク)でウエハー全面を20mm角の面積でオープンフレームの露光部と未露光部を交互に露光するチェッカーフラッグ露光を行い、110℃で60秒間ポストエクスポージャーベーク(PEB)を行い、2.38質量%TMAH現像液で30秒間現像を行った。現像後のリンス後の振り切り回転数は2,000rpmで30秒間行った。   A resist solution is applied on an 80 nm film thickness of an anti-reflective film ARC-29A manufactured by Nissan Chemical Industries, Ltd. produced on an 8-inch Si substrate, and baked at 110 ° C. for 60 seconds to produce a 200 nm thick resist film. did. A solution containing various alcohols was statically dispensed for 3 seconds (pre-soaking), rotated at 2,000 rpm for 10 seconds, and a resist protective film TC-1 to 8 was applied thereon with a thickness of 50 nm, and at 100 ° C. for 60 seconds. Baked. Perform a checkered flag exposure that exposes the exposed area and unexposed area of the open frame alternately with a 20 mm square area over the entire surface of the wafer with a Nikon ArF excimer laser scanner S307E (NA0.85 σ0.93, Cr mask), Post exposure baking (PEB) was performed at 110 ° C. for 60 seconds, and development was performed with a 2.38 mass% TMAH developer for 30 seconds. The number of rotations after rinsing after development was 2,000 rpm for 30 seconds.

チェッカーフラッグの未露光部分の欠陥個数を(株)東京精密製欠陥検査装置WinWin−50−1200を用いてピクセルサイズ0.125μmで計測した。
パターニング評価では、レジスト保護膜塗布までとPEB、現像のプロセスは前述と同様の方法を用い、ArFエキシマレーザー露光をNA0.85 σ0.93、6%ハーフトーン位相シフトマスクの条件で行い、150nmホールサイズ、ホールとホールとの間のピッチが1,200nmの孤立ホールパターンを用い、ホールパターンが120nmに仕上がる露光量でウエハー全面を露光し、ホールが開口していない閉塞欠陥の個数を(株)東京精密製欠陥検査装置WinWin−50−1200を用いてピクセルサイズ0.125μmで計測した。
結果を表2に示す。
The number of defects in the unexposed part of the checkered flag was measured at a pixel size of 0.125 μm using a defect inspection apparatus WinWin-50-1200 manufactured by Tokyo Seimitsu Co., Ltd.
In the patterning evaluation, PEB and development processes up to the application of the resist protective film were performed using the same method as described above, ArF excimer laser exposure was performed under the conditions of NA 0.85 σ 0.93, 6% halftone phase shift mask, and 150 nm hole. Using an isolated hole pattern with a size and a pitch of 1,200 nm between holes, the entire surface of the wafer is exposed with an exposure amount that the hole pattern is finished to 120 nm, and the number of closed defects that do not have holes opened is Measurement was performed at a pixel size of 0.125 μm using a Tokyo Seimitsu defect inspection device WinWin-50-1200.
The results are shown in Table 2.

Figure 2008268850
Figure 2008268850

表3には、アルコール溶液のプリソーキングを行わない場合の比較例の結果を示す。   Table 3 shows the results of the comparative example when the alcohol solution is not pre-soaked.

Figure 2008268850
Figure 2008268850

本実施例はドライ露光によって行ったが、液浸露光においても同様の結果が得られる。   Although this embodiment was performed by dry exposure, similar results can be obtained by immersion exposure.

Claims (8)

フォトレジスト材料を基板上に塗布する工程と、加熱処理後、炭素数4〜10の高級アルコールを含む溶液でフォトレジスト膜表面を濡らした後に、保護膜を塗布し、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法。   After applying the photoresist material on the substrate, and after heat treatment, the photoresist film surface is wetted with a solution containing a higher alcohol having 4 to 10 carbon atoms, then a protective film is applied, and after the heat treatment, high energy rays are applied. The pattern formation method characterized by including the process exposed by (3), and the process developed using a developing solution. 高級アルコールを含む溶液が、更に炭素数8〜12のエーテル化合物が混合された溶液である請求項1に記載のパターン形成方法。   The pattern forming method according to claim 1, wherein the solution containing the higher alcohol is a solution in which an ether compound having 8 to 12 carbon atoms is further mixed. 高級アルコールを含む溶液が、更に塩酸、硝酸、硫酸、カルボン酸、スルホン酸、又はスルホン酸のアミン塩が混合された溶液である請求項1又は2に記載のパターン形成方法。   The pattern forming method according to claim 1 or 2, wherein the solution containing the higher alcohol is a solution in which hydrochloric acid, nitric acid, sulfuric acid, carboxylic acid, sulfonic acid, or an amine salt of sulfonic acid is further mixed. 高級アルコールが炭素数4〜7のものである請求項1乃至3のいずれか1項記載のパターン形成方法。   The pattern formation method according to any one of claims 1 to 3, wherein the higher alcohol has 4 to 7 carbon atoms. 前記高エネルギー線で露光する工程を、投影レンズの最下位面と基板との間に液体を介して露光する液浸露光により行うことを特徴とする請求項1乃至4のいずれか1項記載のパターン形成方法。   5. The exposure according to claim 1, wherein the step of exposing with the high energy ray is performed by immersion exposure in which a liquid is exposed between the lowest surface of the projection lens and the substrate. Pattern forming method. 前記液浸露光において、180〜250nmの範囲の露光波長を用い、前記フォトレジスト膜と保護膜とが形成された基板と、投影レンズの最下位面との間に液体を挿入し、該液体を介して前記基板を露光することを特徴とする請求項5に記載のパターン形成方法。   In the immersion exposure, an exposure wavelength in the range of 180 to 250 nm is used, a liquid is inserted between the substrate on which the photoresist film and the protective film are formed, and the lowest surface of the projection lens, and the liquid is used. The pattern forming method according to claim 5, wherein the substrate is exposed through the substrate. 前記液浸露光において、フォトレジスト膜と保護膜とが形成された基板と、投影レンズの最下位面との間に挿入する液体として水を用いて露光することを特徴とする請求項5又は6に記載のパターン形成方法。   7. The liquid immersion exposure according to claim 5, wherein exposure is performed using water as a liquid inserted between the substrate on which the photoresist film and the protective film are formed and the lowest surface of the projection lens. The pattern formation method as described in any one of. 保護膜材料が、α−トリフルオロメチルアルコール基を有するアルカリ溶解性ポリマーを、炭素数8〜12のエーテル化合物及び/又は炭素数4〜10の高級アルコールに溶解させたものである請求項1乃至7のいずれか1項記載のパターン形成方法。   The protective film material is obtained by dissolving an alkali-soluble polymer having an α-trifluoromethyl alcohol group in an ether compound having 8 to 12 carbon atoms and / or a higher alcohol having 4 to 10 carbon atoms. 8. The pattern forming method according to any one of 7 above.
JP2007286954A 2006-12-19 2007-11-05 Pattern formation method Active JP4844756B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007286954A JP4844756B2 (en) 2006-12-19 2007-11-05 Pattern formation method

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2006341272 2006-12-19
JP2006341272 2006-12-19
JP2007087076 2007-03-29
JP2007087076 2007-03-29
JP2007286954A JP4844756B2 (en) 2006-12-19 2007-11-05 Pattern formation method

Publications (2)

Publication Number Publication Date
JP2008268850A true JP2008268850A (en) 2008-11-06
JP4844756B2 JP4844756B2 (en) 2011-12-28

Family

ID=40048383

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007286954A Active JP4844756B2 (en) 2006-12-19 2007-11-05 Pattern formation method

Country Status (1)

Country Link
JP (1) JP4844756B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014115843A1 (en) * 2013-01-24 2014-07-31 日産化学工業株式会社 Composition for forming upper layer film of lithographic resist and method for producing semiconductor device using same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09246173A (en) * 1996-03-08 1997-09-19 Canon Sales Co Inc Method for coating
JPH10123693A (en) * 1996-10-15 1998-05-15 Dainippon Printing Co Ltd Method of forming pattern of photosensitive organic film, and method of forming photomask pattern
WO2006035790A1 (en) * 2004-09-30 2006-04-06 Jsr Corporation Copolymer and upper film-forming composition
JP2006337821A (en) * 2005-06-03 2006-12-14 Fujinon Corp Lens device
WO2008035640A1 (en) * 2006-09-20 2008-03-27 Tokyo Ohka Kogyo Co., Ltd. Composition for formation of resist protection film, and method for formation of resist pattern using the same
JP2008139831A (en) * 2006-12-01 2008-06-19 Hynix Semiconductor Inc Method for forming fine pattern of semiconductor device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09246173A (en) * 1996-03-08 1997-09-19 Canon Sales Co Inc Method for coating
JPH10123693A (en) * 1996-10-15 1998-05-15 Dainippon Printing Co Ltd Method of forming pattern of photosensitive organic film, and method of forming photomask pattern
WO2006035790A1 (en) * 2004-09-30 2006-04-06 Jsr Corporation Copolymer and upper film-forming composition
JP2006337821A (en) * 2005-06-03 2006-12-14 Fujinon Corp Lens device
WO2008035640A1 (en) * 2006-09-20 2008-03-27 Tokyo Ohka Kogyo Co., Ltd. Composition for formation of resist protection film, and method for formation of resist pattern using the same
JP2008139831A (en) * 2006-12-01 2008-06-19 Hynix Semiconductor Inc Method for forming fine pattern of semiconductor device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014115843A1 (en) * 2013-01-24 2014-07-31 日産化学工業株式会社 Composition for forming upper layer film of lithographic resist and method for producing semiconductor device using same
JPWO2014115843A1 (en) * 2013-01-24 2017-01-26 日産化学工業株式会社 Composition for forming resist upper layer film for lithography and method for manufacturing semiconductor device using the same
US9746768B2 (en) 2013-01-24 2017-08-29 Nissan Chemical Industries, Ltd. Resist overlayer film forming composition for lithography and method for producing semiconductor device using the same

Also Published As

Publication number Publication date
JP4844756B2 (en) 2011-12-28

Similar Documents

Publication Publication Date Title
JP4842844B2 (en) Resist material and pattern forming method using the same
JP4993138B2 (en) Resist material and pattern forming method using the same
JP5035560B2 (en) Resist material and pattern forming method using the same
JP4288520B2 (en) Resist material and pattern forming method using the same
JP4849267B2 (en) Resist material and pattern forming method using the same
JP4900603B2 (en) Resist material and pattern forming method using the same
JP4771974B2 (en) Resist material and pattern forming method using the same
JP4435196B2 (en) Resist material and pattern forming method using the same
JP5131461B2 (en) Polymer compound, resist material, and pattern forming method
JP4849268B2 (en) Resist material and pattern forming method using the same
KR101028646B1 (en) Positive Resist Compositions and Patterning Process
JP4513990B2 (en) Positive resist material and pattern forming method
JP5105128B2 (en) Positive resist material and pattern forming method
KR101022602B1 (en) Positive Resist Composition and Patterning Process
KR101002820B1 (en) Positive Resist Composition and Patterning Process
JP4857208B2 (en) Pattern forming method using resist material
JP2008088343A (en) Polymeric compound, resist material, and pattern forming method
KR101250099B1 (en) Positive resist compositions and patterning process
JP4680944B2 (en) Pattern formation method
JP4753043B2 (en) Pattern formation method
JP4840596B2 (en) Resist material and pattern forming method
JP2008129399A (en) Positive resist material and pattern forming method
JP4844756B2 (en) Pattern formation method
JP4831360B2 (en) Positive resist composition and pattern forming method
JP4835869B2 (en) Positive resist composition and pattern forming method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091027

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110908

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110914

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110927

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141021

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4844756

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150