JP2008235811A - Method of manufacturing semiconductor device and the semiconductor device - Google Patents

Method of manufacturing semiconductor device and the semiconductor device Download PDF

Info

Publication number
JP2008235811A
JP2008235811A JP2007077165A JP2007077165A JP2008235811A JP 2008235811 A JP2008235811 A JP 2008235811A JP 2007077165 A JP2007077165 A JP 2007077165A JP 2007077165 A JP2007077165 A JP 2007077165A JP 2008235811 A JP2008235811 A JP 2008235811A
Authority
JP
Japan
Prior art keywords
insulating film
film
interlayer insulating
wiring
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007077165A
Other languages
Japanese (ja)
Inventor
Teruhiro Kuwajima
照弘 桑島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2007077165A priority Critical patent/JP2008235811A/en
Publication of JP2008235811A publication Critical patent/JP2008235811A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To improve the yield of wiring by preventing faulty connection, while increasing the EM resistance and SM resistance during the formation of a multilayer wiring structure by damascene process. <P>SOLUTION: A semiconductor device is formed by the steps of applying silicon-containing gas onto copper wiring which is formed on a semiconductor substrate and is made of a material containing copper as a main component, and forming a silicon-containing layer on a surface of the copper wiring (S102); forming a diffusion preventing film on the copper wiring (S104); forming an interlayer insulating film containing Si, O, and C on the diffusion preventing film (S106); forming a recessed portion on the interlayer insulating film so as to reach the diffusion preventing film (S108); forming a reformed layer, having a higher oxygen concentration than the other regions on a surface of the interlayer insulating film exposed to the sidewall of the recessed portion (S110); removing the diffusion preventing film to expose a surface of the copper wiring (S112); and forming wiring, by embedding a conductive material in the recessed portion (S114). <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、半導体装置の製造方法および半導体装置に関する。   The present invention relates to a semiconductor device manufacturing method and a semiconductor device.

近年、半導体装置においては、その微細化および高速化に伴い、抵抗の低い銅(Cu)配線が用いられるようになっている。銅配線は、ダマシン法により形成される。ダマシン法では、まず下層配線上に層間絶縁膜を形成する。次いで、層間絶縁膜上に所定パターンを有するレジスト膜を形成し、レジスト膜をマスクとして層間絶縁膜を選択的にエッチングし、下層配線に到達するビアホールや配線溝等の凹部を形成する。その後、凹部内に銅を埋め込む。つづいて、凹部外部に露出した銅をCMP(Chemical Mechanical Polishing:化学機械研磨法)で除去する。この手順を繰り返すことにより、多層配線構造が形成される。   In recent years, with miniaturization and speeding up of semiconductor devices, copper (Cu) wiring having a low resistance has been used. The copper wiring is formed by a damascene method. In the damascene method, first, an interlayer insulating film is formed on a lower wiring. Next, a resist film having a predetermined pattern is formed on the interlayer insulating film, and the interlayer insulating film is selectively etched using the resist film as a mask to form recesses such as via holes and wiring grooves reaching the lower layer wiring. Thereafter, copper is embedded in the recess. Subsequently, the copper exposed to the outside of the recess is removed by CMP (Chemical Mechanical Polishing). By repeating this procedure, a multilayer wiring structure is formed.

また、ダマシン法には、ビアホールと配線溝とを同時に形成するデュアルダマシン法と、ビアホールと配線溝とを別々に形成するシングルダマシン法とがある。デュアルダマシン法の一つに、銅配線を形成する絶縁膜中にビアパターンを形成した後に配線パターンを形成するビアファースト法がある。   Further, the damascene method includes a dual damascene method in which a via hole and a wiring groove are simultaneously formed, and a single damascene method in which a via hole and a wiring groove are separately formed. One of the dual damascene methods is a via first method in which a wiring pattern is formed after forming a via pattern in an insulating film for forming a copper wiring.

特許文献1(特開2005−45176号公報)および特許文献2(特開2003−309170号公報)には、このようなダマシン法で多層配線構造を形成する技術が記載されている。   Patent Document 1 (Japanese Patent Laid-Open No. 2005-45176) and Patent Document 2 (Japanese Patent Laid-Open No. 2003-309170) describe a technique for forming a multilayer wiring structure by such a damascene method.

特許文献1には、配線上に形成されたSiCバリア膜上にSi、CおよびOを含有する層間絶縁膜を形成し、層間絶縁膜にSiCバリア膜まで達する開口部を形成し、開口部に向かって露出する層間絶縁膜の側面に対して水素を含有するガスを用いたプラズマ処理を行って側面に変質層を形成し、その後にSiCバリア膜のエッチングを行って開口部を配線まで到達させ、開口部内に導電材を埋め込むようにした半導体装置の製造方法が記載されている。プラズマ処理によって層間絶縁膜の側面のSiまたはCの濃度を高めることにより変質層を形成してSiCバリア膜との選択比を向上させることができるとされている。これにより、その後のSiCバリア膜のエッチング時に層間絶縁膜のサイドエッチングを防止することができるとされている。   In Patent Document 1, an interlayer insulating film containing Si, C, and O is formed on a SiC barrier film formed on a wiring, an opening reaching the SiC barrier film is formed in the interlayer insulating film, and the opening is formed. Plasma treatment using a gas containing hydrogen is performed on the side surface of the interlayer insulating film exposed toward the surface to form an altered layer on the side surface, and then the SiC barrier film is etched to reach the opening to the wiring. A method for manufacturing a semiconductor device in which a conductive material is embedded in the opening is described. It is said that an altered layer can be formed by increasing the Si or C concentration on the side surface of the interlayer insulating film by plasma treatment to improve the selectivity with the SiC barrier film. Thereby, side etching of the interlayer insulating film can be prevented during the subsequent etching of the SiC barrier film.

また、特許文献2(特開2003−309170号公報)には、MSQ等の有機物系低誘電率膜とバリアメタル等の無機材料との密着性を向上させるために、ビアホールを形成した後、バリアメタル膜を形成する前に、Heガスを用いたプラズマ処理を行うことにより、表面の有機成分濃度を低下させ、親水性に改質してバリアメタルとの密着性を良好にする技術が記載されている。ここでは、層間絶縁膜およびその下のエッチングストップ膜(拡散防止膜)に下層配線またはビアにまで達する開口部を形成した後に、Heガスを用いたプラズマ処理を行う例が記載されている。   Patent Document 2 (Japanese Patent Application Laid-Open No. 2003-309170) discloses a barrier after forming a via hole in order to improve the adhesion between an organic low dielectric constant film such as MSQ and an inorganic material such as a barrier metal. Prior to forming the metal film, a technique is described in which plasma treatment using He gas is performed to reduce the concentration of organic components on the surface and to improve hydrophilicity so as to improve adhesion to the barrier metal. ing. Here, an example is described in which plasma treatment using He gas is performed after an opening reaching the lower layer wiring or via is formed in the interlayer insulating film and the etching stop film (diffusion prevention film) therebelow.

また、特許文献3(特開2004−228445号公報)や特許文献4(特開2004−214267号公報)には、銅を配線材料とする配線のエレクトロマイグレーション(EM)耐性およびストレスマイグレーション(SM)耐性を高めるために、配線の表面にシランを照射して、銅配線表面のシリコン原子濃度がリッチとなるようにした半導体装置が記載されている。
特開2005−45176号公報 特開2003−309170号公報 特開2004−228445号公報 特開2004−214267号公報
Further, Patent Document 3 (Japanese Patent Laid-Open No. 2004-228445) and Patent Document 4 (Japanese Patent Laid-Open No. 2004-214267) disclose electromigration (EM) resistance and stress migration (SM) of wiring using copper as a wiring material. In order to increase the resistance, a semiconductor device is described in which the surface of the wiring is irradiated with silane so that the silicon atom concentration on the surface of the copper wiring becomes rich.
JP 2005-45176 A JP 2003-309170 A JP 2004-228445 A JP 2004-214267 A

しかし、本発明者の検討により、特許文献3や特許文献4に記載されたように、銅配線表面にシリコン含有ガスを照射して銅配線の表面にシリコン含有層を形成した構成において、上層配線を形成するために銅配線に達する凹部を形成する際にエッチング不良が生じることが明らかになった。上層配線は、下層の銅配線上に拡散防止膜および層間絶縁膜を形成し、当該拡散防止膜および層間絶縁膜をエッチングして凹部を形成して下層の銅配線表面を露出させることにより形成される。この銅配線表面を露出させる際に、エッチングの抜け性が劣化し、エッチング不良が生じることが明らかになった。また、このようなエッチング不良は、層間絶縁膜としてSiOC膜等の炭素を含む絶縁膜を用いた場合に生じることも明らかになった。このようなエッチング抜け性の劣化が生じると、接続不良が生じてしまう。本発明者は、種々の検討の結果、層間絶縁膜をエッチングする際に、層間絶縁膜から炭素等の物質が発生し、それがエッチングを阻害することがこのようなエッチング不良の原因であることを見出し、本発明に想到した。   However, as described in Patent Document 3 and Patent Document 4, according to the study of the present inventor, in the configuration in which the silicon-containing gas is formed on the surface of the copper wiring by irradiating the silicon-containing gas on the surface of the copper wiring, It has become clear that an etching failure occurs when a recess reaching the copper wiring is formed in order to form the film. The upper layer wiring is formed by forming a diffusion prevention film and an interlayer insulation film on the lower layer copper wiring, etching the diffusion prevention film and the interlayer insulation film to form a recess, and exposing the lower copper wiring surface. The It has been clarified that when this copper wiring surface is exposed, the omission of etching deteriorates and etching failure occurs. It has also been clarified that such etching defects occur when an insulating film containing carbon such as a SiOC film is used as an interlayer insulating film. When such deterioration of etching loss occurs, connection failure occurs. As a result of various studies, the present inventors have found that when etching an interlayer insulating film, a substance such as carbon is generated from the interlayer insulating film, which inhibits the etching, which is the cause of such etching failure. As a result, the present invention was conceived.

本発明によれば、
半導体基板上に形成され、銅を主成分とする材料により構成された銅配線上にシリコン含有ガスを照射して、前記銅配線にシリコン含有層を形成する工程と、
前記銅配線上に、拡散防止膜を形成する工程と、
前記拡散防止膜上に、Si、O、およびCを含む層間絶縁膜を形成する工程と、
前記層間絶縁膜に、前記拡散防止膜に達する凹部を形成する工程と、
前記凹部側壁に露出した前記層間絶縁膜表面に他の領域よりも酸素濃度が高い改質層を形成する工程と、
前記拡散防止膜を除去して前記銅配線表面を露出させる工程と、
前記凹部内に導電材料を埋め込み配線を形成する工程と、
を含む半導体装置の製造方法が提供される。
According to the present invention,
Forming a silicon-containing layer on the copper wiring by irradiating a silicon-containing gas on a copper wiring formed of a material mainly composed of copper formed on a semiconductor substrate;
Forming a diffusion barrier film on the copper wiring;
Forming an interlayer insulating film containing Si, O, and C on the diffusion preventing film;
Forming a recess reaching the diffusion barrier film in the interlayer insulating film;
Forming a modified layer having a higher oxygen concentration than other regions on the surface of the interlayer insulating film exposed on the side wall of the recess;
Removing the diffusion barrier film to expose the copper wiring surface;
Forming a wiring by embedding a conductive material in the recess;
A method for manufacturing a semiconductor device is provided.

ここで、シリコン含有層は、銅配線表面に選択的に形成されてもよく、また銅配線全体にSiが拡散して形成されてもよい。いずれにしても、銅配線の表面部分にはシリコン含有層が形成される。このような構成とすると、拡散防止膜をエッチングで除去する際には凹部内の層間絶縁膜の側壁に改質層が形成されているため、層間絶縁膜から炭素等のガスが発生するのを防ぐことができる。これにより、銅配線上のシリコン含有層とガスとが反応することによるエッチング阻害物質の形成も防ぐことができ、拡散防止膜の抜け性劣化を防ぐことができる。これにより、ダマシン法で多層配線構造を形成する際に、EM耐性およびSM耐性を高めつつ、接続不良を防いで配線の歩留まりを向上させ、半導体装置の信頼性を向上することができる。   Here, the silicon-containing layer may be selectively formed on the surface of the copper wiring, or may be formed by diffusing Si throughout the copper wiring. In any case, a silicon-containing layer is formed on the surface portion of the copper wiring. With such a configuration, when the diffusion prevention film is removed by etching, a modified layer is formed on the sidewall of the interlayer insulating film in the recess, so that gas such as carbon is generated from the interlayer insulating film. Can be prevented. Thereby, the formation of an etching inhibitor due to the reaction between the silicon-containing layer on the copper wiring and the gas can also be prevented, and the loss of the diffusion preventing film can be prevented from being deteriorated. As a result, when forming a multilayer wiring structure by the damascene method, it is possible to improve connection yield and improve the yield of wiring while improving the EM resistance and SM resistance, and to improve the reliability of the semiconductor device.

このような拡散防止膜の抜け性劣化は、層間絶縁膜として、Si、O、およびCを含む膜を用いるとともに、銅配線中にシリコン含有層が形成された構成に特有に生じる。このような抜け性劣化を防止するためには、拡散防止膜をエッチングする前に層間絶縁膜に改質層を形成しておく必要がある。   Such a drop-out deterioration of the diffusion preventive film occurs specifically in a configuration in which a film containing Si, O, and C is used as an interlayer insulating film and a silicon-containing layer is formed in a copper wiring. In order to prevent such a drop-out deterioration, it is necessary to form a modified layer in the interlayer insulating film before etching the diffusion prevention film.

本発明によれば、
半導体基板と、
前記半導体基板上に形成され、シリコン含有層が形成された下層銅配線と、
前記下層銅配線上に形成された拡散防止膜と、
前記拡散防止膜上に形成され、Si、O、およびCを含む層間絶縁膜と、
前記層間絶縁膜および前記拡散防止膜中に形成され、前記下層銅配線と接続して設けられた上層配線と、
を含み、
前記シリコン含有層の表面には、シリコンを含む突起物が形成され、
前記層間絶縁膜は、他の領域よりも酸素濃度が高い改質層が前記上層配線と接する領域に設けられた半導体装置が提供される。
According to the present invention,
A semiconductor substrate;
A lower copper wiring formed on the semiconductor substrate and having a silicon-containing layer formed thereon;
A diffusion barrier film formed on the lower copper wiring;
An interlayer insulating film formed on the diffusion barrier film and containing Si, O, and C;
An upper layer wiring formed in the interlayer insulating film and the diffusion prevention film and connected to the lower layer copper wiring;
Including
Projections containing silicon are formed on the surface of the silicon-containing layer,
The interlayer insulating film is provided with a semiconductor device in which a modified layer having a higher oxygen concentration than other regions is provided in a region in contact with the upper wiring.

上述した本発明の製造方法によれば、銅配線表面にシリコンを含む突起物が形成された場合でも、その上に形成された拡散防止膜の抜け性劣化を防ぐことができる。これにより、上記構成の半導体装置を安定的に得ることができる。   According to the manufacturing method of the present invention described above, even when a protrusion containing silicon is formed on the surface of the copper wiring, it is possible to prevent the deterioration of the diffusion preventing film formed thereon. Thereby, the semiconductor device having the above configuration can be obtained stably.

本発明によれば、ダマシン法で多層配線構造を形成する際に、EM耐性およびSM耐性を高めつつ、接続不良を防いで配線の歩留まりを向上させることができる。   According to the present invention, when a multi-layer wiring structure is formed by the damascene method, it is possible to improve the EM resistance and SM resistance while preventing connection failure and improving the wiring yield.

以下、本発明の実施の形態について、図面を用いて説明する。なお、すべての図面において、同様な構成要素には同様の符号を付し、適宜説明を省略する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings. In all the drawings, the same reference numerals are given to the same components, and the description will be omitted as appropriate.

図1は、本実施の形態における半導体装置の製造手順を示すフローチャートである。以下では、デュアルダマシンで上層配線を形成する場合を例として説明する。   FIG. 1 is a flowchart showing a manufacturing procedure of a semiconductor device according to the present embodiment. Hereinafter, a case where the upper layer wiring is formed by dual damascene will be described as an example.

本実施の形態において、まず、半導体基板上に下層銅配線を形成する(S100)。つづいて、下層銅配線表面にシリコン含有ガスを照射して、シリコン含有層を形成する(S102)。   In the present embodiment, first, a lower layer copper wiring is formed on a semiconductor substrate (S100). Subsequently, the silicon-containing layer is formed by irradiating the surface of the lower copper wiring with a silicon-containing gas (S102).

次いで、下層銅配線上に拡散防止膜および層間絶縁膜を形成する(S104およびS106)。ここで、層間絶縁膜は、SiOC膜等、Si、O、およびCを含む膜とすることができる。その後、層間絶縁膜に拡散防止膜に達するデュアルダマシン配線溝である凹部を形成する(S108)。このとき、下層銅配線上には、拡散防止膜が残った状態としておく。   Next, a diffusion preventing film and an interlayer insulating film are formed on the lower copper wiring (S104 and S106). Here, the interlayer insulating film can be a film containing Si, O, and C, such as a SiOC film. Thereafter, a recess which is a dual damascene wiring groove reaching the diffusion barrier film is formed in the interlayer insulating film (S108). At this time, the diffusion preventing film remains on the lower copper wiring.

つづいて、凹部側壁に露出した層間絶縁膜表面に他の領域よりも酸素濃度が高い改質層を形成する(S110)。次いで、層間絶縁膜をマスクとして、拡散防止膜をエッチングにより除去する(S112)。これにより、下層銅配線が露出する。その後、凹部内を導電材料で埋め込み、上層配線およびビアを形成する(S114)。   Subsequently, a modified layer having a higher oxygen concentration than other regions is formed on the surface of the interlayer insulating film exposed on the side wall of the recess (S110). Next, the diffusion prevention film is removed by etching using the interlayer insulating film as a mask (S112). Thereby, the lower layer copper wiring is exposed. Thereafter, the recess is filled with a conductive material to form upper layer wiring and vias (S114).

このようにすれば、拡散防止膜をエッチングで除去する際には、層間絶縁膜の側壁が改質層で覆われているため、層間絶縁膜から炭素等のガスが発生するのを防ぐことができる。これにより、銅配線上のシリコン含有層とガスとが反応することによるエッチング阻害物質の形成も防ぐことができ、拡散防止膜の抜け性劣化を防ぐことができる。これにより、ダマシン法で多層配線構造を形成する際に、EM耐性およびSM耐性を高めつつ、接続不良を防いで配線の歩留まりを向上させ、半導体装置の信頼性を向上することができる。   In this way, when the diffusion prevention film is removed by etching, the sidewall of the interlayer insulating film is covered with the modified layer, so that generation of a gas such as carbon from the interlayer insulating film can be prevented. it can. Thereby, the formation of an etching inhibitor due to the reaction between the silicon-containing layer on the copper wiring and the gas can be prevented, and the loss of the diffusion preventing film can be prevented from being deteriorated. As a result, when forming a multi-layer wiring structure by the damascene method, it is possible to improve the yield of wiring by preventing poor connection and improving the reliability of the semiconductor device while improving the EM resistance and SM resistance.

図2〜図4は、本実施の形態における半導体装置100の製造手順を示す工程断面図である。
まず、たとえばシリコン基板である半導体基板102上に形成された絶縁膜104上に、第1の層間絶縁膜106を形成する。絶縁膜104は、複数の絶縁膜の積層構造とすることもできる。また、半導体基板102上にはトランジスタ等の素子が形成された構成とすることができる。ここで図示していないが、第1の層間絶縁膜106は、後述する拡散防止膜112と同様の拡散防止膜、後述する第2の層間絶縁膜114と同様の低誘電率膜、および後述する保護絶縁膜116と同様の保護絶縁膜との積層構造とすることができる。
2 to 4 are process cross-sectional views illustrating the manufacturing procedure of the semiconductor device 100 according to the present embodiment.
First, a first interlayer insulating film 106 is formed on an insulating film 104 formed on a semiconductor substrate 102 which is a silicon substrate, for example. The insulating film 104 can have a stacked structure of a plurality of insulating films. Further, an element such as a transistor can be formed over the semiconductor substrate 102. Although not shown here, the first interlayer insulating film 106 includes a diffusion preventing film similar to the diffusion preventing film 112 described later, a low dielectric constant film similar to the second interlayer insulating film 114 described later, and a later described. A stacked structure of the protective insulating film 116 and the same protective insulating film can be employed.

つづいて、第1の層間絶縁膜106内に下層銅配線108を形成する。下層銅配線108は、たとえば以下のようにして形成される。まず、第1の層間絶縁膜106中に配線溝を形成する。つづいて、第1の層間絶縁膜106上全面にバリアメタル膜を形成し、配線溝側壁にバリアメタル膜を形成する。次いで、第1の層間絶縁膜106上全面に銅膜を形成し、配線溝内部を銅膜で埋め込む。その後、配線溝外部に露出した銅膜およびバリアメタル膜をCMPにより除去する。これにより、下層銅配線108が形成される。   Subsequently, a lower copper wiring 108 is formed in the first interlayer insulating film 106. The lower layer copper wiring 108 is formed as follows, for example. First, a wiring trench is formed in the first interlayer insulating film 106. Subsequently, a barrier metal film is formed on the entire surface of the first interlayer insulating film 106, and a barrier metal film is formed on the side wall of the wiring trench. Next, a copper film is formed on the entire surface of the first interlayer insulating film 106, and the inside of the wiring trench is filled with the copper film. Thereafter, the copper film and the barrier metal film exposed outside the wiring trench are removed by CMP. Thereby, the lower layer copper wiring 108 is formed.

次いで、下層銅配線108表面に、シリコン含有ガスを照射する(図2(a))。ここで、シリコン含有ガスとしては、たとえばシラン(SiH)ガスを用いることができる。また、シリコン含有ガスの照射は、プラズマCVD装置内で行うことができる。具体的には、たとえばシランガスのガス流量:10〜500sccm、Nガスのガス流量:100〜5000sccm、処理圧力:20Torr、処理温度約350℃、処理時間:5秒〜100秒の条件とすることができる。処理時間を30秒以上程度とすることにより、EM、SM耐性を向上することができる。また、シリコン含有ガスの照射に先立ち、下層銅配線108表面の酸化物を除去する還元処理を行っておくこともできる。 Next, the surface of the lower layer copper wiring 108 is irradiated with a silicon-containing gas (FIG. 2A). Here, as the silicon-containing gas, for example, silane (SiH 4 ) gas can be used. Further, the irradiation with the silicon-containing gas can be performed in a plasma CVD apparatus. Specifically, for example, the gas flow rate of silane gas: 10 to 500 sccm, the gas flow rate of N 2 gas: 100 to 5000 sccm, the processing pressure: 20 Torr, the processing temperature of about 350 ° C., the processing time: 5 seconds to 100 seconds. Can do. By setting the processing time to about 30 seconds or more, EM and SM resistance can be improved. Further, prior to the irradiation with the silicon-containing gas, a reduction treatment for removing oxide on the surface of the lower layer copper wiring 108 may be performed.

これにより、下層銅配線108表面にシリコン含有層110が形成される(図2(b))。なお、ここでは、下層銅配線108表面にシリコン含有層110が選択的に形成された構成を示すが、シリコン含有ガスを照射することにより、Siが下層銅配線108中に拡散し、下層銅配線108中全体にSiとCuとの合金層であるシリコン含有層110が形成されることもある。つづいて、第1の層間絶縁膜106および下層銅配線108上に拡散防止膜112を形成する。拡散防止膜112は、たとえばSiCN、SiC、またはSiNにより構成することができる。また、拡散防止膜112は、シリコン含有層110を介して下層銅配線108上に形成される。   As a result, a silicon-containing layer 110 is formed on the surface of the lower copper interconnect 108 (FIG. 2B). Here, a configuration in which the silicon-containing layer 110 is selectively formed on the surface of the lower layer copper wiring 108 is shown. However, by irradiating the silicon-containing gas, Si diffuses into the lower layer copper wiring 108, and the lower layer copper wiring 108 A silicon-containing layer 110 that is an alloy layer of Si and Cu may be formed throughout 108. Subsequently, a diffusion prevention film 112 is formed on the first interlayer insulating film 106 and the lower copper wiring 108. Diffusion prevention film 112 can be made of, for example, SiCN, SiC, or SiN. Further, the diffusion preventing film 112 is formed on the lower copper wiring 108 via the silicon-containing layer 110.

次いで、拡散防止膜112上に第2の層間絶縁膜114を形成する。本実施の形態において、第2の層間絶縁膜114は、たとえば比誘電率が3.3以下、より好ましくは2.9以下の低誘電率膜により構成することができる。また、第2の層間絶縁膜114は、Si、O、およびCを含む膜により構成することができる。具体的には、第2の層間絶縁膜114は、たとえばSiOC(SiOCH)、メチルシルセスキオキサン(MSQ)、水素化メチルシルセスキオキサン(MHSQ)、有機ポリシロキサンまたはこれらの膜をポーラス化したもの等により構成することができる。また、これらの膜を成膜する方法は問わず、CVD法、塗布法等、任意の方法を用いることができる。   Next, a second interlayer insulating film 114 is formed on the diffusion prevention film 112. In the present embodiment, the second interlayer insulating film 114 can be formed of a low dielectric constant film having a relative dielectric constant of 3.3 or less, more preferably 2.9 or less, for example. The second interlayer insulating film 114 can be formed of a film containing Si, O, and C. Specifically, the second interlayer insulating film 114 is made of, for example, porous SiOC (SiOCH), methylsilsesquioxane (MSQ), hydrogenated methylsilsesquioxane (MHSQ), organic polysiloxane, or a film thereof. It can comprise by what did. In addition, any method such as a CVD method or a coating method can be used regardless of a method for forming these films.

その後、第2の層間絶縁膜114上に保護絶縁膜116を形成する。保護絶縁膜116は、たとえばSiO等により構成することができる。低誘電率膜は、一般的に、従来配線間絶縁膜として用いられてきたSiO膜に比べて薬液耐性および機械的強度が弱い。このため、層間絶縁膜として低誘電率膜材料を用いた場合、CMP工程で層間絶縁膜も削られてしまい、配線抵抗の増大およびばらつきが発生するという課題がある。保護絶縁膜116は、CMP工程において層間絶縁膜を保護する目的で設けられる。そのため、保護絶縁膜116は、その下層の第2の層間絶縁膜114を構成する材料よりも機械的強度の高い材料により構成される。以上により、図2(c)に示した構造が得られる。 Thereafter, a protective insulating film 116 is formed over the second interlayer insulating film 114. The protective insulating film 116 can be made of, for example, SiO 2 or the like. The low dielectric constant film is generally weaker in chemical resistance and mechanical strength than the SiO 2 film conventionally used as an insulating film between wirings. For this reason, when a low dielectric constant film material is used as the interlayer insulating film, the interlayer insulating film is also removed in the CMP process, which causes an increase in wiring resistance and variations. The protective insulating film 116 is provided for the purpose of protecting the interlayer insulating film in the CMP process. Therefore, the protective insulating film 116 is made of a material having a mechanical strength higher than that of the material constituting the second interlayer insulating film 114 below the protective insulating film 116. Thus, the structure shown in FIG. 2C is obtained.

つづいて、第2の層間絶縁膜114および保護絶縁膜116に、デュアルダマシン配線溝118を形成する(図3(a))。デュアルダマシン配線溝118の形成手順としては、ビアファースト法、トレンチファースト法、ミドルファースト法、デュアルハードマスク法等があり、本実施の形態において、いずれの形成手順を用いることもできる。以下は、例として、ビアファースト法で形成する手順を説明する。   Subsequently, a dual damascene wiring trench 118 is formed in the second interlayer insulating film 114 and the protective insulating film 116 (FIG. 3A). As a formation procedure of the dual damascene wiring trench 118, there are a via first method, a trench first method, a middle first method, a dual hard mask method, and the like, and any formation procedure can be used in this embodiment. Hereinafter, as an example, a procedure of forming by the via first method will be described.

まず、保護絶縁膜116上に、ビア形成用のビアパターンを有するビア用レジスト膜を形成する。保護絶縁膜116とビア用レジスト膜との間に、反射防止膜を形成してもよい。次いで、ビア用レジスト膜をマスクとして、保護絶縁膜116および第2の層間絶縁膜114を順次選択的にドライエッチングして、保護絶縁膜116および第2の層間絶縁膜114にビアホールを形成する。ここで、拡散防止膜112はエッチングしない。その後、ビア用レジスト膜を酸素プラズマアッシング等のアッシングにより除去する。反射防止膜を形成している場合は、反射防止膜も除去される。また、アッシングの後、剥離液等を用いてビアホール内を洗浄することができる。   First, a via resist film having a via pattern for forming vias is formed on the protective insulating film 116. An antireflection film may be formed between the protective insulating film 116 and the via resist film. Next, using the via resist film as a mask, the protective insulating film 116 and the second interlayer insulating film 114 are sequentially and selectively dry etched to form via holes in the protective insulating film 116 and the second interlayer insulating film 114. Here, the diffusion prevention film 112 is not etched. Thereafter, the via resist film is removed by ashing such as oxygen plasma ashing. When the antireflection film is formed, the antireflection film is also removed. In addition, after the ashing, the inside of the via hole can be cleaned using a stripping solution or the like.

次いで、保護絶縁膜116上に配線用レジスト膜を形成し、ビアホール内も配線用レジスト膜で埋め込む。つづいて、配線用レジスト膜、保護絶縁膜116、および第2の層間絶縁膜114を選択的にエッチングする。その後、配線用レジスト膜を酸素プラズマアッシング等のアッシングにより除去する。これにより、デュアルダマシン配線溝118が形成され、デュアルダマシン配線溝118の側壁には、第2の層間絶縁膜114が露出される(図3(a))。また、アッシングの後、剥離液等を用いてデュアルダマシン配線溝118内を洗浄することができる。   Next, a wiring resist film is formed on the protective insulating film 116, and the via hole is filled with the wiring resist film. Subsequently, the wiring resist film, the protective insulating film 116, and the second interlayer insulating film 114 are selectively etched. Thereafter, the wiring resist film is removed by ashing such as oxygen plasma ashing. Thus, a dual damascene wiring trench 118 is formed, and the second interlayer insulating film 114 is exposed on the sidewall of the dual damascene wiring trench 118 (FIG. 3A). Further, after the ashing, the inside of the dual damascene wiring groove 118 can be cleaned using a stripping solution or the like.

つづいて、デュアルダマシン配線溝118の側壁に露出した第2の層間絶縁膜114表面に他の領域よりも酸素濃度が高い改質層120を形成する。本実施の形態において、改質層120は、保護絶縁膜116上の全面からHeプラズマ照射を行い(図3(b))、デュアルダマシン配線溝118内に露出した第2の層間絶縁膜114を改質することにより形成することができる(図3(c))。ここで、Heプラズマ照射は、プラズマCVD装置内で行うことができる。具体的には、たとえば以下の条件で行うことができる。
処理圧力:0.5mTorr〜10Torr、より好ましくは10〜100mTorr
RFパワーソース:0W〜3000W、より好ましくは300W〜1000W
RFバイアスソース:0W〜3000W、より好ましくは0W〜1000W
温度:150〜450℃、より好ましくは300℃〜350℃
時間:15〜1800秒、より好ましくは45秒〜60秒
Subsequently, the modified layer 120 having a higher oxygen concentration than other regions is formed on the surface of the second interlayer insulating film 114 exposed on the side walls of the dual damascene wiring trench 118. In this embodiment, the modified layer 120 is irradiated with He plasma from the entire surface of the protective insulating film 116 (FIG. 3B), and the second interlayer insulating film 114 exposed in the dual damascene wiring trench 118 is formed. It can be formed by reforming (FIG. 3C). Here, the He plasma irradiation can be performed in a plasma CVD apparatus. Specifically, for example, it can be performed under the following conditions.
Processing pressure: 0.5 mTorr to 10 Torr, more preferably 10 to 100 mTorr
RF power source: 0 W to 3000 W, more preferably 300 W to 1000 W
RF bias source: 0 W to 3000 W, more preferably 0 W to 1000 W
Temperature: 150-450 ° C, more preferably 300 ° C-350 ° C
Time: 15 to 1800 seconds, more preferably 45 to 60 seconds

このようなHeプラズマ照射処理を行うことにより、デュアルダマシン配線溝118の側壁に露出した第2の層間絶縁膜114の酸素濃度が高くなり、改質層120が形成される。X線光電子分光法(XPS)により、Heプラズマ照射処理により、第2の層間絶縁膜114の酸素濃度が高くなることが確認された。   By performing such He plasma irradiation treatment, the oxygen concentration of the second interlayer insulating film 114 exposed on the sidewalls of the dual damascene wiring trench 118 is increased, and the modified layer 120 is formed. It was confirmed by X-ray photoelectron spectroscopy (XPS) that the oxygen concentration of the second interlayer insulating film 114 was increased by the He plasma irradiation treatment.

次いで、保護絶縁膜116および第2の層間絶縁膜114をハードマスクとして、拡散防止膜112をドライエッチングして、デュアルダマシン配線溝118内で下層銅配線108表面を露出させる(図4(a))。エッチング用ガスとしては、たとえばCF等のフルオロカーボン系ガスを用いることができる。これにより、デュアルダマシン配線溝118が下層銅配線108に到達した構成となる。なお、拡散防止膜112をエッチングする直前に、SSX-L4(関東化学製)等の有機剥離液や、Arプラズマ等を用いてデュアルダマシン配線溝118内を洗浄することができる。これにより、デュアルダマシン配線溝118の抜け性を劣化させる残留物質等を除去することができる。 Next, using the protective insulating film 116 and the second interlayer insulating film 114 as a hard mask, the diffusion prevention film 112 is dry etched to expose the surface of the lower copper wiring 108 in the dual damascene wiring trench 118 (FIG. 4A). ). As the etching gas, for example, a fluorocarbon-based gas such as CF 4 can be used. As a result, the dual damascene wiring trench 118 reaches the lower layer copper wiring 108. Note that immediately before the diffusion prevention film 112 is etched, the inside of the dual damascene wiring trench 118 can be cleaned using an organic stripping solution such as SSX-L4 (manufactured by Kanto Chemical), Ar plasma, or the like. As a result, it is possible to remove residual substances and the like that degrade the pullability of the dual damascene wiring trench 118.

図5は、半導体装置100の下層銅配線108およびシリコン含有層110の部分を拡大した拡大断面図である。
図5(a)は、拡散防止膜112を形成する前の状態を示す。下層銅配線108表面にシリコン含有層110を形成すると、シラン照射の条件によってはシリコン含有層110表面に異常成長等によるシリコン含有層の突起物110aが形成される。図9に、突起物110aが形成されたTEM写真による観察図を示す。なお、この図ではシリコン含有層110は明確には確認できないが、下層銅配線108表面にシリコンが含まれることは、XPSにより確認できた。
FIG. 5 is an enlarged cross-sectional view in which the lower copper wiring 108 and the silicon-containing layer 110 of the semiconductor device 100 are enlarged.
FIG. 5A shows a state before the diffusion prevention film 112 is formed. When the silicon-containing layer 110 is formed on the surface of the lower-layer copper wiring 108, a silicon-containing layer protrusion 110a due to abnormal growth or the like is formed on the surface of the silicon-containing layer 110 depending on the silane irradiation conditions. FIG. 9 shows an observation view by a TEM photograph in which the protrusion 110a is formed. Although the silicon-containing layer 110 cannot be clearly confirmed in this figure, it can be confirmed by XPS that silicon is contained in the surface of the lower layer copper wiring 108.

図5(b)は、第1の層間絶縁膜106および下層銅配線108上に拡散防止膜112、第2の層間絶縁膜114および保護絶縁膜116(ここでは不図示)を形成し、デュアルダマシン配線溝118を形成した状態を示す図である。シリコン含有層110表面に突起物110aが形成されていると、拡散防止膜112にもその段差が反映され、表面に凹凸が生じる。   FIG. 5B shows a dual damascene process in which a diffusion prevention film 112, a second interlayer insulation film 114, and a protective insulation film 116 (not shown here) are formed on the first interlayer insulation film 106 and the lower layer copper wiring 108. It is a figure which shows the state in which the wiring groove | channel 118 was formed. If the protrusion 110a is formed on the surface of the silicon-containing layer 110, the step is reflected in the diffusion preventing film 112, and the surface is uneven.

このように拡散防止膜112表面に凹凸が生じている場合、拡散防止膜112をエッチングする際に、場所によってエッチングされやすさが異なってくる。また、保護絶縁膜116および第2の層間絶縁膜114のエッチング時に拡散防止膜112も多少エッチングされるが、このときにも場所によってエッチングされやすさが異なってくる。そのため、場所による厚さの差が生じてしまう。とくに、デュアルダマシン法のビアファースト法でデュアルダマシン配線溝118を形成する場合、拡散防止膜112に達するビアホールを形成した後に配線溝形成のためにさらにエッチングが行われるため、場所による厚さの差が生じやすい。そのため、拡散防止膜112が除去されてシリコン含有層110が露出する部分と、拡散防止膜112が厚く残ったままの部分とが生じる。この状態で、シリコン含有層110のエッチング処理を続けると、露出したシリコン含有層110と、第2の層間絶縁膜114から発生する炭素等のガスとが反応し、エッチング阻害物質が形成されるため、拡散防止膜112の抜け性が劣化すると考えられる。   When the surface of the diffusion prevention film 112 is uneven as described above, the ease of etching varies depending on the location when the diffusion prevention film 112 is etched. Further, the diffusion prevention film 112 is also slightly etched during the etching of the protective insulating film 116 and the second interlayer insulating film 114, but the ease of etching varies depending on the location. Therefore, a difference in thickness occurs depending on the location. In particular, when the dual damascene wiring trench 118 is formed by the dual damascene via first method, after the via hole reaching the diffusion prevention film 112 is formed, further etching is performed to form the wiring trench. Is likely to occur. Therefore, a part where the diffusion preventing film 112 is removed and the silicon-containing layer 110 is exposed and a part where the diffusion preventing film 112 remains thick are generated. If the etching process of the silicon-containing layer 110 is continued in this state, the exposed silicon-containing layer 110 and a gas such as carbon generated from the second interlayer insulating film 114 react to form an etching inhibitor. It is considered that the detachability of the diffusion preventing film 112 is deteriorated.

本実施の形態において、拡散防止膜112のエッチング時に第2の層間絶縁膜114が改質層120で保護された状態とすることができるので、エッチング阻害物質の形成を防ぐことができ、抜け性の劣化を防ぐことができる。   In this embodiment mode, since the second interlayer insulating film 114 can be protected by the modified layer 120 during the etching of the diffusion prevention film 112, the formation of an etching inhibitor can be prevented, and the release property can be prevented. Can be prevented.

図6は、SiOC膜に対して、エッチングガスとしてCFおよび酸素ガスを用いて、酸素ガス流量を異ならせた以外同条件でエッチングを行った場合のビア寸法を示す図である。図示したように、エッチングガス中の酸素ガス流量が多いほど、ビアの寸法が大きくなっており、エッチングされやすい。SiOC膜中の酸素濃度が高いと、エッチング時に酸素濃度が高くなる。そのため、エッチングガス中の酸素ガス流量を多くした場合と同様の現象が生じると考えられる。すなわち、本実施の形態において、デュアルダマシン配線溝118側壁に露出した第2の層間絶縁膜114表面に他の領域よりも酸素濃度が高い改質層120を形成すると、拡散防止膜112のエッチング時に第2の層間絶縁膜114のサイドエッチが生じやすくなることになる。しかし、上述したように、下層銅配線108表面にシリコン含有層110が形成されている場合、第2の層間絶縁膜114から炭素等のガスが発生してシリコン含有層110と反応することによりエッチング阻害物質が形成されるのを防ぐことが重要である。そのため、本実施の形態においては、第2の層間絶縁膜114のサイドエッチングのされやすさを多少犠牲にしても、改質層120を形成して拡散防止膜112の抜け性劣化を防止するようにしている。 FIG. 6 is a diagram showing via dimensions when etching is performed on the SiOC film under the same conditions except that CF 4 and oxygen gas are used as the etching gas and the oxygen gas flow rate is changed. As shown in the figure, the larger the oxygen gas flow rate in the etching gas, the larger the dimension of the via and the easier the etching. If the oxygen concentration in the SiOC film is high, the oxygen concentration becomes high during etching. For this reason, it is considered that the same phenomenon occurs when the flow rate of oxygen gas in the etching gas is increased. In other words, in this embodiment, when the modified layer 120 having a higher oxygen concentration than other regions is formed on the surface of the second interlayer insulating film 114 exposed on the side wall of the dual damascene wiring trench 118, the diffusion preventing film 112 is etched. Side etching of the second interlayer insulating film 114 is likely to occur. However, as described above, when the silicon-containing layer 110 is formed on the surface of the lower layer copper wiring 108, a gas such as carbon is generated from the second interlayer insulating film 114 and reacts with the silicon-containing layer 110 for etching. It is important to prevent the formation of inhibitors. Therefore, in this embodiment, the modified layer 120 is formed to prevent the diffusion preventing film 112 from being deteriorated even if the ease of side etching of the second interlayer insulating film 114 is somewhat sacrificed. I have to.

図4に戻り、その後、デュアルダマシン配線溝118内に、配線材料を埋め込む。具体的には、たとえばスパッタ法または原子層気相成長(ALD:Atomic Layer Deposition)法により、配線パターン内にバリアメタル膜を形成する。バリアメタル膜は、たとえば、Ta/TaN、Ti、TiN、TiSiN、Ta、TaN、またはTaSiN等とすることができる。つづいて、デュアルダマシン配線溝118内を銅膜で埋め込む。銅膜は、たとえばめっき法により形成することができる。また、銅膜は、たとえばAg等銅以外の金属を含む構成とすることもできる。次いで、デュアルダマシン配線溝118外部に露出した銅膜およびバリアメタル膜をCMP法により除去する。これにより、上層銅配線122が形成される(図4(b))。さらに、その後、下層銅配線108上に形成したシリコン含有層110と同様にして、上層銅配線122表面にシリコン含有層を形成することができる。これにより、上層銅配線122のEM耐性およびSM耐性も高めることができる。以上の工程を繰り返すことにより、多層配線構造を有する半導体装置100が形成される。   Returning to FIG. 4, thereafter, a wiring material is embedded in the dual damascene wiring trench 118. Specifically, for example, a barrier metal film is formed in the wiring pattern by sputtering or atomic layer deposition (ALD). The barrier metal film can be, for example, Ta / TaN, Ti, TiN, TiSiN, Ta, TaN, or TaSiN. Subsequently, the dual damascene wiring trench 118 is filled with a copper film. The copper film can be formed by, for example, a plating method. Further, the copper film may be configured to include a metal other than copper, such as Ag. Next, the copper film and the barrier metal film exposed outside the dual damascene wiring trench 118 are removed by CMP. Thereby, the upper layer copper wiring 122 is formed (FIG. 4B). Further, after that, a silicon-containing layer can be formed on the surface of the upper copper wiring 122 in the same manner as the silicon-containing layer 110 formed on the lower copper wiring 108. Thereby, the EM resistance and SM resistance of the upper layer copper wiring 122 can also be increased. By repeating the above steps, the semiconductor device 100 having a multilayer wiring structure is formed.

(測定例1)
以下の条件で半導体装置を製造し、ビア不良率を測定した。とくに記載していない点は、以上の実施の形態において、図2から図4を参照して説明したのと同様の手順とした。いずれの例でも、拡散防止膜112としてはSiCN膜、第2の層間絶縁膜114としてはSiOC膜、保護絶縁膜116としてはSiO膜を用いた。
(Measurement Example 1)
A semiconductor device was manufactured under the following conditions, and a via defect rate was measured. Unless otherwise specified, the same procedure as described with reference to FIGS. 2 to 4 is used in the above embodiment. In any example, a SiCN film was used as the diffusion preventing film 112, a SiOC film was used as the second interlayer insulating film 114, and a SiO 2 film was used as the protective insulating film 116.

(例1):シリコン含有層110を形成するためのシラン照射あり(第1の実施例と同じ条件、シラン照射時間は45秒)、改質層120を形成するためのHeプラズマ処理あり(以下の条件)
(例2):シリコン含有層110を形成するためのシラン照射あり(第1の実施例と同じ条件、シラン照射時間は45秒)、改質層120を形成するためのHeプラズマ処理なし
(例3):シリコン含有層110を形成するためのシラン照射および改質層120を形成するためのHeプラズマ処理いずれもなし
(Heプラズマ照射条件)
処理圧力:8Torr
RFパワーソース:440W
RFバイアスソース:0W
温度:350℃
時間:30秒
(Example 1): With silane irradiation for forming the silicon-containing layer 110 (same conditions as in the first embodiment, silane irradiation time is 45 seconds), with He plasma treatment for forming the modified layer 120 (hereinafter referred to as “the modified layer 120”) Conditions)
(Example 2): With silane irradiation to form the silicon-containing layer 110 (same conditions as in the first example, silane irradiation time is 45 seconds), without He plasma treatment to form the modified layer 120 (example) 3) Neither silane irradiation for forming the silicon-containing layer 110 nor He plasma treatment for forming the modified layer 120 (He plasma irradiation conditions)
Processing pressure: 8 Torr
RF power source: 440W
RF bias source: 0W
Temperature: 350 ° C
Time: 30 seconds

図7に結果を示す。各例につき、2回ずつのデータを示す。ビア不良率は、ウェハ上にチップが100チップ存在し、チップ上にビアが1M個つながったチェーンがあるとした場合、その1Mチェーンが不良となったチップ数をビアの不良数と仮定して、ビア1個が不良となる確率を以下のように計算して求めた。
ビア不良率=ビアの不良数÷(1M個×100チップ)×1000000000[ppb]
The results are shown in FIG. Data for each case are shown twice. Assuming that there are 100 chips on the wafer and there is a chain of 1M vias on the chip, the via defect rate assumes that the number of chips in which the 1M chain is defective is the number of via defects. The probability that one via is defective was calculated as follows.
Via failure rate = number of via failures / (1M x 100 chips) x 1000000000 [ppb]

また、XPSにより、例1において、第2の層間絶縁膜114の上層銅配線122と接する領域には、第2の層間絶縁膜114の他の領域よりも酸素濃度の高い改質層120が形成されていることが確認できた。   Further, in Example 1, the modified layer 120 having a higher oxygen concentration than other regions of the second interlayer insulating film 114 is formed in the region in contact with the upper copper wiring 122 of the second interlayer insulating film 114 by XPS. It has been confirmed that.

(測定例2)
下層銅配線表面にシリコン含有層が形成された構成において、改質層が形成されていない場合の、シリコン含有層を形成する際のシラン照射の時間と、ビア不良率との関係を調べた。改質層120を形成するためのHeプラズマ処理を行っていない点を除いて、半導体装置は、以上の実施の形態において、図2から図4を参照して説明したのと同様の手順で製造した。拡散防止膜112としてはSiCN膜、第2の層間絶縁膜114としてはSiOC膜、保護絶縁膜116としてはSiO膜を形成した。
(Measurement example 2)
In the configuration in which the silicon-containing layer was formed on the surface of the lower copper wiring, the relationship between the silane irradiation time when forming the silicon-containing layer and the via defect rate when the modified layer was not formed was examined. Except that the He plasma treatment for forming the modified layer 120 is not performed, the semiconductor device is manufactured according to the same procedure as described with reference to FIGS. did. An SiCN film was formed as the diffusion preventing film 112, an SiOC film was formed as the second interlayer insulating film 114, and an SiO 2 film was formed as the protective insulating film 116.

シリコン含有層110を形成するためのシラン照射の条件は、以下のようにして、シラン照射時間を25秒、30秒、35秒、45秒、50秒とした。
シランガスのガス流量:100〜500sccm
ガスのガス流量:1000〜10000sccm
処理圧力:1〜10Torr
処理温度:100〜350℃
The silane irradiation conditions for forming the silicon-containing layer 110 were as follows: the silane irradiation time was 25 seconds, 30 seconds, 35 seconds, 45 seconds, and 50 seconds.
Silane gas flow rate: 100-500 sccm
Gas flow rate of N 2 gas: 1000~10000sccm
Processing pressure: 1-10 Torr
Processing temperature: 100-350 ° C

図8に結果を示す。横軸はシラン照射時間(秒(sec))、縦軸はビア不良率(ppp)を示す。ビア不良率は、第1の測定例と同様の方法で測定した。シラン照射時間が長くなるほどビア不良率が上昇することが示された。これは、シラン照射時間が長いほど、図5を参照して説明したような突起物110aが生じやすく、これによってエッチング阻害物質が生成されやすいために、拡散防止膜の抜け性が劣化したためだと考えられる。   The results are shown in FIG. The horizontal axis represents the silane irradiation time (second (sec)), and the vertical axis represents the via defect rate (ppp). The via defect rate was measured by the same method as in the first measurement example. It has been shown that the via defect rate increases as the silane irradiation time increases. This is because, as the silane irradiation time is longer, the protrusions 110a as described with reference to FIG. 5 are more likely to be generated, and this tends to generate an etching inhibitor. Conceivable.

以上、本発明を実施の形態および実施例に基づいて説明した。この実施の形態および実施例はあくまで例示であり、種々の変形例が可能なこと、またそうした変形例も本発明の範囲にあることは当業者に理解されるところである。   The present invention has been described based on the embodiments and examples. It is to be understood by those skilled in the art that the embodiments and examples are merely examples, and various modifications are possible and that such modifications are within the scope of the present invention.

さらに、以上の実施の形態において、下層銅配線108を例として示したが、本発明は、ビア上にデュアルダマシン構造の配線を形成する場合に適用することもできる。すなわち、下層銅配線108がビアである場合に適用することもできる。   Further, in the above embodiment, the lower layer copper wiring 108 is shown as an example, but the present invention can also be applied to the case where a dual damascene structure wiring is formed on a via. That is, the present invention can also be applied when the lower layer copper wiring 108 is a via.

さらに、以上の実施の形態において、第2の層間絶縁膜114上に保護絶縁膜116が形成された構成を示した。しかし、第2の層間絶縁膜114がCMP耐性を有する材料により構成されている場合、半導体装置100は、保護絶縁膜116を含まない構成とすることもできる。   Further, in the above embodiment, the structure in which the protective insulating film 116 is formed over the second interlayer insulating film 114 is shown. However, when the second interlayer insulating film 114 is formed of a material having CMP resistance, the semiconductor device 100 may be configured not to include the protective insulating film 116.

なお、以上の実施の形態において、デュアルダマシン配線溝118を形成してから拡散防止膜112を除去する例を示したが、本発明は、シングルダマシン法でビアホールまたは配線溝を形成する場合に適用することもできる。このような場合も、拡散防止膜に達するビアホールまたは配線溝を形成した後に、改質層を形成し、その後に拡散防止膜を除去するようにすることにより、拡散防止膜の抜け性劣化を防ぐことができる。   In the above embodiment, the example in which the diffusion preventing film 112 is removed after the dual damascene wiring trench 118 is formed is shown. However, the present invention is applied to the case where the via hole or the wiring trench is formed by the single damascene method. You can also Also in such a case, after forming the via hole or the wiring groove reaching the diffusion prevention film, the modified layer is formed, and then the diffusion prevention film is removed, thereby preventing the deterioration of the diffusion prevention film. be able to.

さらに、たとえば、デュアルダマシン法のビアファースト法で、配線溝を形成する前に、拡散防止膜を除去するような場合は、拡散防止膜に達するビアホールを形成した後に、ビアホール内に露出した層間絶縁膜表面に改質層を形成し、その後に拡散防止膜を除去するようにすることができる。この場合、拡散防止膜を除去した後に、層間絶縁膜を選択的にエッチングして配線溝を形成し、デュアルダマシン配線溝を形成することができる。   Further, for example, in the case of removing the diffusion prevention film before forming the wiring trench by the via-first method of the dual damascene method, the interlayer insulation exposed in the via hole is formed after forming the via hole reaching the diffusion prevention film. It is possible to form a modified layer on the film surface and then remove the diffusion barrier film. In this case, after removing the diffusion preventing film, the interlayer insulating film can be selectively etched to form a wiring groove, thereby forming a dual damascene wiring groove.

本発明の実施の形態における半導体装置の製造手順を示すフローチャートである。It is a flowchart which shows the manufacture procedure of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。It is process sectional drawing which shows the manufacturing procedure of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。It is process sectional drawing which shows the manufacturing procedure of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。It is process sectional drawing which shows the manufacturing procedure of the semiconductor device in embodiment of this invention. 半導体装置の下層銅配線およびシリコン含有層の部分を拡大した拡大断面図である。It is the expanded sectional view which expanded the part of the lower layer copper wiring and silicon content layer of a semiconductor device. SiOC膜中の酸素濃度と、エッチングガスとしてフルオロカーボン系ガスを用いた場合のエッチングのされやすさとの関係を示す図である。It is a figure which shows the relationship between the oxygen concentration in a SiOC film | membrane, and the ease of being etched when a fluorocarbon type gas is used as etching gas. シリコン含有層の有無および改質層の有無と、ビア不良率との関係を示す図である。It is a figure which shows the relationship between the presence or absence of a silicon containing layer, the presence or absence of a modified layer, and a via defect rate. 下層銅配線表面にシリコン含有層が形成された構成において、改質層が形成されていない場合の、シリコン含有層を形成する際のシラン照射の時間と、ビア不良率との関係を示す図である。FIG. 4 is a diagram showing the relationship between the silane irradiation time and the via defect rate when forming a silicon-containing layer when a modified layer is not formed in a configuration in which a silicon-containing layer is formed on the surface of a lower copper wiring. is there. 下層銅配線上に突起物が形成された状態を示す図である。It is a figure which shows the state in which the protrusion was formed on the lower layer copper wiring.

符号の説明Explanation of symbols

100 半導体装置
102 半導体基板
104 絶縁膜
106 第1の層間絶縁膜
108 下層銅配線
110 シリコン含有層
110a 突起物
112 拡散防止膜
114 第2の層間絶縁膜
116 保護絶縁膜
118 デュアルダマシン配線溝
120 改質層
122 上層銅配線
DESCRIPTION OF SYMBOLS 100 Semiconductor device 102 Semiconductor substrate 104 Insulating film 106 1st interlayer insulating film 108 Lower layer copper wiring 110 Silicon-containing layer 110a Protrusion 112 Diffusion prevention film 114 Second interlayer insulating film 116 Protective insulating film 118 Dual damascene wiring groove 120 Modification Layer 122 Upper layer copper wiring

Claims (7)

半導体基板上に形成され、銅を主成分とする材料により構成された銅配線上にシリコン含有ガスを照射して、前記銅配線にシリコン含有層を形成する工程と、
前記銅配線上に、拡散防止膜を形成する工程と、
前記拡散防止膜上に、Si、O、およびCを含む層間絶縁膜を形成する工程と、
前記層間絶縁膜に、前記拡散防止膜に達する凹部を形成する工程と、
前記凹部側壁に露出した前記層間絶縁膜表面に他の領域よりも酸素濃度が高い改質層を形成する工程と、
前記拡散防止膜を除去して前記銅配線表面を露出させる工程と、
前記凹部内に導電材料を埋め込み配線を形成する工程と、
を含む半導体装置の製造方法。
Forming a silicon-containing layer on the copper wiring by irradiating a silicon-containing gas on a copper wiring formed of a material mainly composed of copper formed on a semiconductor substrate;
Forming a diffusion barrier film on the copper wiring;
Forming an interlayer insulating film containing Si, O, and C on the diffusion preventing film;
Forming a recess reaching the diffusion barrier film in the interlayer insulating film;
Forming a modified layer having a higher oxygen concentration than other regions on the surface of the interlayer insulating film exposed on the side wall of the recess;
Removing the diffusion barrier film to expose the copper wiring surface;
Forming a wiring by embedding a conductive material in the recess;
A method of manufacturing a semiconductor device including:
請求項1に記載の半導体装置の製造方法において、
前記改質層を形成する工程において、前記凹部内にHeプラズマ照射を行い前記層間絶縁膜を改質することにより前記改質層を形成する半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
A method of manufacturing a semiconductor device, wherein in the step of forming the modified layer, the modified layer is formed by modifying the interlayer insulating film by irradiating He plasma in the recess.
請求項1または2に記載の半導体装置の製造方法において、
前記拡散防止膜は、SiCN、SiC、またはSiNのいずれかである半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1 or 2,
The method of manufacturing a semiconductor device, wherein the diffusion prevention film is any one of SiCN, SiC, or SiN.
請求項1から3いずれかに記載の半導体装置の製造方法において、
前記層間絶縁膜は、SiOC(SiOCH)、メチルシルセスキオキサン(MSQ)、水素化メチルシルセスキオキサン(MHSQ)、有機ポリシロキサンまたはこれらの膜をポーラス化した膜である半導体装置の製造方法。
In the manufacturing method of the semiconductor device in any one of Claim 1 to 3,
The method for manufacturing a semiconductor device, wherein the interlayer insulating film is SiOC (SiOCH), methyl silsesquioxane (MSQ), hydrogenated methyl silsesquioxane (MHSQ), organic polysiloxane, or a film obtained by porousizing these films. .
請求項1から4いずれかに記載の半導体装置の製造方法において、
前記凹部を形成する工程は、前記層間絶縁膜を選択的にエッチングして当該層間絶縁膜に前記拡散防止膜に達するビアホールを形成する工程と、前記層間絶縁膜を選択的にエッチングして配線溝を形成し、当該層間絶縁膜にデュアルダマシン構造の配線パターンを形成する工程と、を含む半導体装置の製造方法。
In the manufacturing method of the semiconductor device in any one of Claim 1 to 4,
The step of forming the recess includes a step of selectively etching the interlayer insulating film to form a via hole reaching the diffusion prevention film in the interlayer insulating film, and a step of selectively etching the interlayer insulating film to form a wiring trench. And forming a dual damascene structure wiring pattern on the interlayer insulating film.
請求項1から5いずれかに記載の半導体装置の製造方法において、
前記改質層を形成する工程の後、前記銅配線表面を露出させる工程の前に、前記凹部内を洗浄する工程をさらに含む半導体装置の製造方法。
In the manufacturing method of the semiconductor device in any one of Claim 1 to 5,
A method for manufacturing a semiconductor device, further comprising a step of cleaning the inside of the recess after the step of forming the modified layer and before the step of exposing the surface of the copper wiring.
半導体基板と、
前記半導体基板上に形成され、シリコン含有層が形成された下層銅配線と、
前記下層銅配線上に形成された拡散防止膜と、
前記拡散防止膜上に形成され、Si、O、およびCを含む層間絶縁膜と、
前記層間絶縁膜および前記拡散防止膜中に形成され、前記下層銅配線と接続して設けられた上層配線と、
を含み、
前記シリコン含有層の表面には、シリコンを含む突起物が形成され、
前記層間絶縁膜は、他の領域よりも酸素濃度が高い改質層が前記上層配線と接する領域に設けられた半導体装置。
A semiconductor substrate;
A lower copper wiring formed on the semiconductor substrate and having a silicon-containing layer formed thereon;
A diffusion barrier film formed on the lower copper wiring;
An interlayer insulating film formed on the diffusion barrier film and containing Si, O, and C;
An upper layer wiring formed in the interlayer insulating film and the diffusion prevention film and connected to the lower layer copper wiring;
Including
Projections containing silicon are formed on the surface of the silicon-containing layer,
The interlayer insulating film is a semiconductor device in which a modified layer having a higher oxygen concentration than other regions is provided in a region in contact with the upper wiring.
JP2007077165A 2007-03-23 2007-03-23 Method of manufacturing semiconductor device and the semiconductor device Pending JP2008235811A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007077165A JP2008235811A (en) 2007-03-23 2007-03-23 Method of manufacturing semiconductor device and the semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007077165A JP2008235811A (en) 2007-03-23 2007-03-23 Method of manufacturing semiconductor device and the semiconductor device

Publications (1)

Publication Number Publication Date
JP2008235811A true JP2008235811A (en) 2008-10-02

Family

ID=39908215

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007077165A Pending JP2008235811A (en) 2007-03-23 2007-03-23 Method of manufacturing semiconductor device and the semiconductor device

Country Status (1)

Country Link
JP (1) JP2008235811A (en)

Similar Documents

Publication Publication Date Title
US8101524B2 (en) Technique for enhancing the fill capabilities in an electrochemical deposition process by edge rounding of trenches
US20090017563A1 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US20080026579A1 (en) Copper damascene process
JP2005072384A (en) Method for manufacturing electronic device
US20060261483A1 (en) Semiconductor device and method for manufacturing the same
WO2007091574A1 (en) Multilayer wiring structure, and method for fabricating multilayer wiring
US7202160B2 (en) Method of forming an insulating structure having an insulating interlayer and a capping layer and method of forming a metal wiring structure using the same
US8097536B2 (en) Reducing metal voids in a metallization layer stack of a semiconductor device by providing a dielectric barrier layer
US7602061B2 (en) Semiconductor device and method for manufacturing semiconductor device
JP4567587B2 (en) Manufacturing method of semiconductor device
JP4619747B2 (en) Manufacturing method of semiconductor device
US20040152336A1 (en) Semiconductor device and its manufacturing method
JP4684866B2 (en) Manufacturing method of semiconductor device
US6881661B2 (en) Manufacturing method of semiconductor device
US8390135B2 (en) Semiconductor device
JP5200436B2 (en) Manufacturing method of semiconductor device
JP4525534B2 (en) Manufacturing method of semiconductor device
JP2009010016A (en) Wiring forming method, and semiconductor device manufacturing method
JP2004207604A (en) Semiconductor device and its manufacturing method
US20100029086A1 (en) Method for manufacturing semiconductor device and storage medium
JP2006073569A (en) Semiconductor apparatus and its manufacturing method
JP4948278B2 (en) Manufacturing method of semiconductor device
JP2008235811A (en) Method of manufacturing semiconductor device and the semiconductor device
JP4447433B2 (en) Semiconductor device manufacturing method and semiconductor device
JP4797821B2 (en) Manufacturing method of semiconductor device