JP2008066378A - Semiconductor device, and method for manufacturing the same - Google Patents

Semiconductor device, and method for manufacturing the same Download PDF

Info

Publication number
JP2008066378A
JP2008066378A JP2006240279A JP2006240279A JP2008066378A JP 2008066378 A JP2008066378 A JP 2008066378A JP 2006240279 A JP2006240279 A JP 2006240279A JP 2006240279 A JP2006240279 A JP 2006240279A JP 2008066378 A JP2008066378 A JP 2008066378A
Authority
JP
Japan
Prior art keywords
film
silicon
forming
gate insulating
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006240279A
Other languages
Japanese (ja)
Inventor
Kazuhiko Yamamoto
山本  和彦
Atsushi Ishinaga
篤 石長
Yoshihiro Sato
好弘 佐藤
Masao Inoue
真雄 井上
Shinsuke Sakashita
真介 坂下
Jiro Yoshigami
二郎 由上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Panasonic Holdings Corp
Original Assignee
Renesas Technology Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp, Matsushita Electric Industrial Co Ltd filed Critical Renesas Technology Corp
Priority to JP2006240279A priority Critical patent/JP2008066378A/en
Publication of JP2008066378A publication Critical patent/JP2008066378A/en
Pending legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To actualize a semiconductor device including a full-silicide gate electrode having a stable work function value and a threshold value. <P>SOLUTION: The semiconductor device is provided with a gate insulating film 15a formed on a semiconductor substrate and a gate electrode 23 formed on the gate insulating film. The gate electrode 23 is a full silicide gate electrode including a metal silicide film formed by laminating a plurality of crystal grain layers. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体装置及びその製造方法に関し、特に金属シリサイド膜からなるゲート電極を有するMOS型の半導体装置及びその製造方法に関する。   The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly to a MOS type semiconductor device having a gate electrode made of a metal silicide film and a manufacturing method thereof.

近年の半導体装置における高集積化及び高速化に対する技術進展に伴い、金属酸化膜電界効果トランジスタ(MOSFET)の微細化が進められている。MOSFETの微細化を行うために、従来用いられてきた酸化シリコン(SiO2)や酸窒化シリコン(SiON)等からなるゲート絶縁膜の薄膜化を進めると、トンネル電流によるゲートリーク電流の増大といった問題が顕在化する。従って、さらに薄膜化を進めるためには、ゲート電極の材料をポリシリコンから金属電極に代えて、電極空乏化に伴う容量低下を防ぐ等の取り組みが必要となる。 With the recent progress in technology for higher integration and higher speed in semiconductor devices, metal oxide field effect transistors (MOSFETs) are being miniaturized. If the gate insulating film made of silicon oxide (SiO 2 ), silicon oxynitride (SiON), or the like, which has been conventionally used for miniaturization of the MOSFET, is thinned, the gate leakage current increases due to the tunnel current. Becomes apparent. Therefore, in order to further reduce the thickness, it is necessary to take measures such as changing the material of the gate electrode from polysilicon to a metal electrode to prevent a decrease in capacity due to electrode depletion.

一方、ゲート絶縁膜の材料として、SiO2やSiONに代えて、ハフニウムオキサイド(HfO2)やジルコニウムオキサイド(ZrO2)等の金属酸化物からなる高誘電体材料に置き換えることが検討されている。ゲート絶縁膜に金属酸化物を用いることにより薄いシリコン酸化膜換算膜厚を実現しながら物理的な膜厚を厚くする、すなわち、リーク電流を低減するという効果が期待できる。 On the other hand, as a material for the gate insulating film, replacement with a high dielectric material made of a metal oxide such as hafnium oxide (HfO 2 ) or zirconium oxide (ZrO 2 ) instead of SiO 2 or SiON has been studied. By using a metal oxide for the gate insulating film, an effect of increasing the physical film thickness while realizing a thin equivalent film thickness of the silicon oxide film, that is, reducing the leakage current can be expected.

しかし、金属酸化物をゲート絶縁膜として用いると、ゲート絶縁膜の上部界面、すなわちゲート絶縁膜とポリシリコンゲート電極との界面における反応に起因して、トランジスタを動作させる際の閾値電圧の絶対値が大きくなってしまうという問題が生じる。   However, when metal oxide is used as the gate insulating film, the absolute value of the threshold voltage when the transistor is operated due to the reaction at the upper interface of the gate insulating film, that is, the interface between the gate insulating film and the polysilicon gate electrode. The problem arises that becomes large.

その原因は明らかでないが、トランジスタ製造プロセスにおいて、基板が1000℃程度の高温のプロセスに晒されるため、ゲート電極材料とゲート絶縁膜材料とが反応してしまことが疑われている。   Although the cause is not clear, in the transistor manufacturing process, it is suspected that the gate electrode material reacts with the gate insulating film material because the substrate is exposed to a high temperature process of about 1000 ° C.

ゲート電極材料とゲート絶縁膜材料とが反応することにより、ゲート電極材料の実効的な仕事関数が変化してしまう、フェルミレベルピンニングと呼ばれる現象が生じる。例えば、非特許文献1には、ゲート電極材料をポリシリコンとした場合に、ポリシリコンの実効的な仕事関数の値が、ポリシリコンのドーパントの種類によらず、シリコンのミッドギャップ(バンドギャップエネルギーの中間値)よりもややn+ポリシリコン寄りに固定されることが報告されている。これにより、特にp型MOSFETの閾値電圧の絶対値が相当に大きくなる。 The reaction between the gate electrode material and the gate insulating film material causes a phenomenon called Fermi level pinning in which the effective work function of the gate electrode material changes. For example, Non-Patent Document 1 discloses that when polysilicon is used as the gate electrode material, the effective work function value of polysilicon does not depend on the type of polysilicon dopant, but the silicon midgap (bandgap energy). It has been reported that it is fixed slightly closer to n + polysilicon than the intermediate value). Thereby, in particular, the absolute value of the threshold voltage of the p-type MOSFET is considerably increased.

従って、高誘電体ゲート絶縁膜の場合には、SiO2ゲート絶縁膜で期待される電極空乏化抑制の効果の他に金属電極を用いて最適な仕事関数を選び、閾値電圧を制御することが必要とされている。 Therefore, in the case of a high dielectric gate insulating film, in addition to the electrode depletion suppression effect expected in the SiO 2 gate insulating film, an optimum work function can be selected using a metal electrode, and the threshold voltage can be controlled. is needed.

金属電極の1つとして、フルシリサイドゲート電極が提案されている。フルシリサイド電極は、ゲート絶縁膜上に堆積したポリシリコン膜の上に、直接金属を堆積し、熱処理によってポリシリコン層全体を金属シリサイド化することにより形成する(例えば、特許文献1を参照。)。このプロセスによれば、まずポリシリコンからなるゲート電極を形成し、その後でゲート電極のシリサイド工程を行う。このため、二種類の金属材料をnMOSとpMOSのそれぞれに作り分ける必要のある、デュアルメタルゲート電極と比べて、従来プロセスを踏襲した製造プロセスを用いるため比較的容易に製造することができる。   A full silicide gate electrode has been proposed as one of the metal electrodes. The full silicide electrode is formed by depositing a metal directly on the polysilicon film deposited on the gate insulating film and silicidizing the entire polysilicon layer by heat treatment (see, for example, Patent Document 1). . According to this process, a gate electrode made of polysilicon is first formed, and then a silicide process of the gate electrode is performed. For this reason, as compared with the dual metal gate electrode, which needs to make two kinds of metal materials separately for nMOS and pMOS, it can be manufactured relatively easily because it uses a manufacturing process that follows the conventional process.

従って、従来のSiO2、SiONゲート絶縁膜における電極空乏化による容量低下を防止するため及び高誘電体ゲート絶縁膜におけるフェルミレベルピンニングによるp型MOSFETの閾値電圧上昇を回避するために、フルシリサイドゲート電極を用いることが期待されている。
C. Hobbs, L. Fonseca, V. Dhandapani, S. Samavedam, B. Taylor, J. Grant, L. Dip, D. Triyoso, R. Hegde, D. Gilmer, R. Garcia, D. Roan, L. Lovejoy, R. Rai, L. Hebert, H. Tseng, B. White, and P. Tobin,“Fermi level pinning at the polySi/metal oxide interface”,Proceedings of the 2003 Symposium on VLSI Technology,2003年,p.9−10 特開2005−228868号公報
Therefore, in order to prevent a decrease in capacitance due to electrode depletion in the conventional SiO 2 or SiON gate insulating film and to avoid an increase in threshold voltage of the p-type MOSFET due to Fermi level pinning in the high dielectric gate insulating film, It is expected to use electrodes.
C. Hobbs, L. Fonseca, V. Dhandapani, S. Samavedam, B. Taylor, J. Grant, L. Dip, D. Triyoso, R. Hegde, D. Gilmer, R. Garcia, D. Roan, L. Lovejoy, R. Rai, L. Hebert, H. Tseng, B. White, and P. Tobin, “Fermi level pinning at the polySi / metal oxide interface”, Proceedings of the 2003 Symposium on VLSI Technology, 2003, p. 9-10 JP 2005-228868 A

しかしながら、前記従来のフルシリサイドゲート電極は、フルシリサイド化反応の制御が不十分であり、ゲート電極の仕事関数及び閾値が変動してしまうという問題を有している。   However, the conventional full silicide gate electrode has a problem in that the control of the full silicidation reaction is insufficient and the work function and threshold value of the gate electrode fluctuate.

フルシリサイドゲート電極を形成する際には、ゲート絶縁膜の上にポリシリコン膜を堆積し、その上に金属を堆積した後、熱処理することによりポリシリコン膜をゲート絶縁膜直上まで、完全にシリサイド化(フルシリサイド)している。フルシリサイドゲート電極の仕事関数は、シリサイド化に用いる金属の種類、金属とシリコンとの組成比、材料の結晶方位、膜厚、シリサイド化する前のポリシリコン膜に含まれるドーパントの分布等の物理的性質によって決まる。また、これらの物理的特性は、堆積したポリシリコンの膜厚、金属膜の膜厚、ポリシリコン膜と金属膜との比率、熱処理温度及び熱処理時間等によって複雑に変化する。   When forming a full silicide gate electrode, a polysilicon film is deposited on the gate insulating film, a metal is deposited on the gate insulating film, and then the polysilicon film is completely silicided to a position just above the gate insulating film by heat treatment. (Full silicide). The work function of the full silicide gate electrode is the physical properties such as the type of metal used for silicidation, the composition ratio between metal and silicon, the crystal orientation of the material, the film thickness, and the distribution of dopants contained in the polysilicon film before silicidation. Depends on specific nature. These physical characteristics change in a complicated manner depending on the thickness of the deposited polysilicon, the thickness of the metal film, the ratio between the polysilicon film and the metal film, the heat treatment temperature, the heat treatment time, and the like.

例えば、比較的小さな仕事関数が必要となるn型MOSFET用のフルシリサイドゲート電極をニッケルシリサイドにより形成する場合には、仕事関数を小さく抑えるために、ニッケルとシリコンとの組成比が一対一又はそれより小さくなるようにすることが望ましい。しかし、シリサイド化反応に寄与するニッケルは、ゲート直上から供給されるだけでなく、ゲート直上以外の部分に堆積された部分から表面拡散によっても供給される。このため、ニッケルシリサイドの組成比は、ポリシリコン膜とニッケル膜との膜厚の比のみならず、MOSFETのゲート長にも依存する。すなわち、ゲート長が細いMOSFETほど、ゲート直上以外の部分から供給されるニッケルの量が多くなるため、ニッケル過剰となりやすい。   For example, when a full silicide gate electrode for an n-type MOSFET that requires a relatively small work function is formed of nickel silicide, the composition ratio of nickel and silicon is one to one or less in order to keep the work function small. It is desirable to make it smaller. However, nickel that contributes to the silicidation reaction is not only supplied from directly above the gate, but also supplied by surface diffusion from a portion deposited on a portion other than directly above the gate. For this reason, the composition ratio of nickel silicide depends not only on the film thickness ratio between the polysilicon film and the nickel film but also on the gate length of the MOSFET. That is, as the gate length is narrower, the amount of nickel supplied from a portion other than the portion directly above the gate increases, so that nickel tends to be excessive.

ニッケルシリサイドの組成比が変動すると、仕事関数が変動してしまう。仕事関数の変動は、例えばMOSFETにおいては、閾値電圧の変動の原因となる。   When the composition ratio of nickel silicide varies, the work function varies. The variation in work function causes variation in threshold voltage in, for example, a MOSFET.

一方、形成されたシリサイド層は、大小様々な大きさの結晶粒(グレイン)から構成されている。これらグレインサイズの不均一性もまたゲート長、ゲート幅に対して、その分布がばらつくために、仕事関数ひいては閾値がばらつく要因となってしまう。   On the other hand, the formed silicide layer is composed of crystal grains (grains) of various sizes. These non-uniform grain sizes also cause variations in the work function and hence the threshold because the distribution varies with respect to the gate length and gate width.

本発明は、前記従来の問題を解決し、仕事関数の値及び閾値が安定したフルシリサイドゲート電極を有する半導体装置を実現できるようにすることを目的とする。   An object of the present invention is to solve the above-mentioned conventional problems and to realize a semiconductor device having a full silicide gate electrode with a stable work function value and threshold value.

前記の目的を達成するため、本発明は半導体装置を、結晶粒が大きいシリサイド層からなるフルシリサイドゲート電極を備えた構成とする。   In order to achieve the above object, according to the present invention, a semiconductor device is provided with a full silicide gate electrode formed of a silicide layer having large crystal grains.

具体的に、本発明に係る半導体装置は、半導体基板の上に形成されたゲート絶縁膜と、ゲート絶縁膜の上に形成されたゲート電極とを備え、ゲート電極は、層状の複数の結晶粒が積層されてなる金属シリサイド膜を有するフルシリサイドゲート電極であることを特徴とする。   Specifically, a semiconductor device according to the present invention includes a gate insulating film formed on a semiconductor substrate and a gate electrode formed on the gate insulating film, and the gate electrode includes a plurality of layered crystal grains. It is a full silicide gate electrode having a metal silicide film formed by laminating.

本発明の半導体装置によれば、ゲート電極は、層状の複数の結晶粒が積層されてなる金属シリサイド膜を有しているため、結晶粒界が少なくなり、特にゲート絶縁膜と接する結晶粒界がほとんどなくなる。従って、結晶粒のサイズ及び配向が仕事関数の値及び閾値電圧に与える影響を小さくすることができ、特性が優れた半導体装置を実現できる。また、結晶粒界によるリーク電流の発生も抑えることができる。   According to the semiconductor device of the present invention, since the gate electrode has the metal silicide film formed by laminating a plurality of layered crystal grains, the crystal grain boundary is reduced, and in particular, the crystal grain boundary in contact with the gate insulating film. Almost disappears. Therefore, the influence of the crystal grain size and orientation on the work function value and the threshold voltage can be reduced, and a semiconductor device having excellent characteristics can be realized. In addition, the occurrence of leakage current due to the crystal grain boundary can be suppressed.

本発明の半導体素子において、金属シリサイド膜は、ゲート絶縁膜側の領域の結晶粒のサイズが、ゲート絶縁膜と反対側の領域の結晶粒のサイズよりも大きいことが好ましい。また、金属シリサイド膜は、ゲート絶縁膜側の領域の結晶粒界の数が、ゲート絶縁膜と反対側の領域の結晶粒界の数よりも少なくてもよい。このような構成とすることにより、ゲート絶縁膜と接する結晶粒界の数を確実に減らすことが可能となる。   In the semiconductor element of the present invention, the metal silicide film preferably has a crystal grain size in a region on the gate insulating film side larger than a crystal grain size in a region on the side opposite to the gate insulating film. In the metal silicide film, the number of crystal grain boundaries in the region on the gate insulating film side may be smaller than the number of crystal grain boundaries in the region on the opposite side to the gate insulating film. With such a configuration, the number of crystal grain boundaries in contact with the gate insulating film can be surely reduced.

本発明の半導体装置において、金属シリサイド膜は、ニッケル、コバルト、チタン、白金、ルテニウム、イリジウム、イットリビウム及び遷移金属のうちの少なくとも1つの硅化物からなることが好ましい。   In the semiconductor device of the present invention, the metal silicide film is preferably made of at least one nitride of nickel, cobalt, titanium, platinum, ruthenium, iridium, yttrium, and a transition metal.

本発明の半導体装置において、金属シリサイド膜は、ゲート絶縁膜側の領域のシリコンが過剰であり且つゲート絶縁膜側の領域の金属の組成比が、ゲート絶縁膜と反対側の領域の金属の組成比よりも小さいことが好ましい。このような構成とすることにより、仕事関数の値を低減できるので、nMOSトランジスタの閾値を低く抑えることができる。   In the semiconductor device of the present invention, the metal silicide film has an excess of silicon in the region on the gate insulating film side, and the composition ratio of the metal in the region on the gate insulating film side is the composition of the metal in the region on the opposite side to the gate insulating film. The ratio is preferably smaller than the ratio. With such a configuration, the value of the work function can be reduced, so that the threshold value of the nMOS transistor can be kept low.

本発明の半導体装置において、ゲート絶縁膜は、金属酸化膜であることが好ましい。この場合において、金属酸化膜は、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、シリコン、ランタン及び希土類元素のうちの少なくとも1つの酸化物からなることが好ましい。   In the semiconductor device of the present invention, the gate insulating film is preferably a metal oxide film. In this case, the metal oxide film is preferably made of at least one oxide of hafnium, zirconium, titanium, tantalum, aluminum, silicon, lanthanum, and rare earth elements.

本発明に係る第1の半導体装置の製造方法は、半導体基板の上にゲート絶縁膜形成膜及びシリコン電極形成膜を順次形成する工程(a)と、ゲート絶縁膜形成膜及びシリコン電極形成膜をパターニングして複数のゲート絶縁膜及び複数のシリコン電極を形成する工程(b)と、複数のシリコン電極のうちの少なくとも1つに窒素及び酸素の少なくとも一方をイオン注入する工程(c)と、工程(c)よりも後に、各シリコン電極の上に金属膜を形成する工程(d)と、各シリコン電極と金属膜とを反応させて各シリコン電極をシリサイド化することにより、フルシリサイドゲート電極を形成する工程(e)とを備えていることを特徴とする。   The first semiconductor device manufacturing method according to the present invention includes a step (a) of sequentially forming a gate insulating film forming film and a silicon electrode forming film on a semiconductor substrate, and a gate insulating film forming film and a silicon electrode forming film. A step (b) of forming a plurality of gate insulating films and a plurality of silicon electrodes by patterning; a step (c) of implanting at least one of nitrogen and oxygen into at least one of the plurality of silicon electrodes; After step (c), a step (d) of forming a metal film on each silicon electrode, and reacting each silicon electrode with the metal film to silicidate each silicon electrode, thereby forming a full silicide gate electrode. And a step (e) of forming.

第1の半導体装置の製造方法によれば、複数のシリコン電極のうちの少なくとも1つに窒素及び酸素の少なくとも一方をイオン注入する工程を備えているため、金属の拡散を抑え、シリサイド化反応をゆっくり進めることが可能となる。従って、結晶粒のサイズを大きくし且つそろえることができるので、閾値が結晶粒の影響を受けにくくすることができる。また、結晶粒界を減らすことができるため、リーク電流を低減することも可能となる。さらに、金属が下部に拡散しにくくなるため、仕事関数が小さいフルシリサイドゲート電極を形成できるので、n型MOSトランジスタの閾値をさらに低減できる。またn型MOSトランジスタ及びp型MOSトランジスタの双方に対して、電気的特性のバラツキを低減することができる。   According to the first method for manufacturing a semiconductor device, since the method includes the step of ion-implanting at least one of nitrogen and oxygen into at least one of the plurality of silicon electrodes, the metal diffusion is suppressed and the silicidation reaction is performed. It is possible to proceed slowly. Therefore, since the size of the crystal grains can be increased and aligned, the threshold value can be made less susceptible to the influence of the crystal grains. In addition, since the crystal grain boundary can be reduced, leakage current can be reduced. Furthermore, since it becomes difficult for the metal to diffuse downward, a full silicide gate electrode having a small work function can be formed, so that the threshold value of the n-type MOS transistor can be further reduced. Also, variations in electrical characteristics can be reduced for both the n-type MOS transistor and the p-type MOS transistor.

第1の半導体装置の製造方法において、工程(b)よりも後で且つ工程(c)よりも前に、各シリコン電極の側面にサイドウォールをそれぞれ形成する工程(f)をさらに備えていることが好ましい。   The first semiconductor device manufacturing method further includes a step (f) of forming a sidewall on each side surface of each silicon electrode after the step (b) and before the step (c). Is preferred.

第1の半導体装置の製造方法において、工程(a)よりも後で且つ工程(b)よりも前に、シリコン電極形成膜の上にハードマスク膜を形成する工程(g)と、工程(f)よりも後で且つ工程(c)よりも前に、半導体基板におけるシリコン電極の両側方の部分にソースドレイン領域をそれぞれ形成する工程(h)と、工程(h)よりも後で且つ工程(c)よりも前に、ソースドレイン領域の上部をシリサイド化する工程(i)と、工程(i)よりも後で且つ工程(c)よりも前に、ハードマスク膜を除去する工程(j)とをさらに備えていることが好ましい。   In the first method for manufacturing a semiconductor device, a step (g) of forming a hard mask film on the silicon electrode formation film after the step (a) and before the step (b), and a step (f) ) And before the step (c), the step (h) of forming the source / drain regions in both sides of the silicon electrode in the semiconductor substrate, and the step (h) after the step (h) Step (i) of siliciding the upper portion of the source / drain region before c), and step (j) of removing the hard mask film after step (i) and before step (c) It is preferable to further comprise.

本発明に係る第2の半導体装置の製造方法は、半導体基板の上にゲート絶縁膜形成膜を形成した後、形成したゲート絶縁膜形成膜の上に第1のシリコン膜、シリサイド化抑制膜及び第2のシリコン膜を積層してシリコン電極形成膜を形成する工程(a)と、ゲート絶縁膜形成膜及びシリコン電極形成膜をパターニングして複数のゲート絶縁膜及び複数のシリコン電極を形成する工程(b)と、工程(b)よりも後に、各シリコン電極の上に金属膜を形成する工程(c)と、各シリコン電極と金属膜とを反応させて各シリコン電極をシリサイド化することにより、フルシリサイドゲート電極を形成する工程(d)とを備え、シリサイド化抑制膜は、酸化シリコン、窒化シリコン又は酸窒化シリコンからなることを特徴とする。   According to the second method for manufacturing a semiconductor device of the present invention, after forming a gate insulating film forming film on a semiconductor substrate, the first silicon film, the silicidation suppressing film, and the gate insulating film forming film are formed. A step (a) of forming a silicon electrode forming film by laminating a second silicon film; and a step of forming a plurality of gate insulating films and a plurality of silicon electrodes by patterning the gate insulating film forming film and the silicon electrode forming film. (B), after step (b), step (c) of forming a metal film on each silicon electrode, and reacting each silicon electrode with the metal film to silicidate each silicon electrode. And a step (d) of forming a full silicide gate electrode, wherein the silicidation suppression film is made of silicon oxide, silicon nitride, or silicon oxynitride.

第2の半導体装置の製造法によれば、第1のシリコン膜、シリサイド化抑制膜及び第2のシリコン膜を少なくとも積層してゲート電極形成膜を形成する工程を備えているため、ゲート電極をフルシリサイド化する際に、金属の拡散を抑制することが可能となる。従って、結晶粒が大きく、結晶粒界が少ない金属シリサイド膜を形成できるので、閾値の値を安定させることができ、リーク電流の発生も抑えることができる。また、下部においてシリコンが過剰な金属シリサイド膜を形成できるので、フルシリサイドゲート電極の仕事関数を小さくし、閾値をさらに低くすることができる。   According to the second method for manufacturing a semiconductor device, the method includes the step of forming a gate electrode formation film by laminating at least the first silicon film, the silicidation suppression film, and the second silicon film. It is possible to suppress metal diffusion during full silicidation. Therefore, since a metal silicide film having large crystal grains and few crystal grain boundaries can be formed, the threshold value can be stabilized and the occurrence of leakage current can be suppressed. In addition, since a metal silicide film containing excess silicon can be formed in the lower portion, the work function of the full silicide gate electrode can be reduced and the threshold value can be further reduced.

第2の半導体装置の製造方法において、第1のシリコン膜及び第2のシリコン膜のうちの少なくとも一方はアモルファス相を有していることが好ましい。   In the second method for manufacturing a semiconductor device, it is preferable that at least one of the first silicon film and the second silicon film has an amorphous phase.

第2の半導体装置の製造方法において、工程(a)において、シリサイド化抑制膜は、第1のシリコン膜をウエット酸化処理、ドライ酸化処理又はドライ酸窒化処理することにより形成することが好ましい。   In the second method for fabricating a semiconductor device, in the step (a), the silicidation suppression film is preferably formed by subjecting the first silicon film to wet oxidation treatment, dry oxidation treatment, or dry oxynitridation treatment.

第2の半導体装置の製造方法において、半導体基板は、n型トランジスタの形成領域とp型トランジスタの形成領域とを有し、工程(b)よりも後で且つ工程(c)よりも前に、p型トランジスタの形成領域に形成されたシリコン電極における第2のシリコン膜及びシリサイド化抑制膜を除去する工程をさらに備えていることが好ましい。このような構成とすることにより、p型トランジスタのゲート電極を金属が過剰なフルシリサイドゲート電極とすることができる。   In the second method for manufacturing a semiconductor device, the semiconductor substrate has an n-type transistor formation region and a p-type transistor formation region, and is after the step (b) and before the step (c). It is preferable to further include a step of removing the second silicon film and the silicidation suppression film in the silicon electrode formed in the p-type transistor formation region. With such a configuration, the gate electrode of the p-type transistor can be a full silicide gate electrode with excess metal.

本発明に係る半導体装置及びその製造方法によれば、仕事関数の値及び閾値が安定したフルシリサイドゲート電極を有する半導体装置を実現できる。   According to the semiconductor device and the manufacturing method thereof according to the present invention, a semiconductor device having a full silicide gate electrode with a stable work function value and threshold value can be realized.

(第1の実施形態)
本発明の第1の実施形態について図面を参照して説明する。本実施形態に係る半導体装置は、基板の上に形成されたフルシリサイドゲート電極を有するnMOSトランジスタ及びpMOSトランジスタとを備えている。
(First embodiment)
A first embodiment of the present invention will be described with reference to the drawings. The semiconductor device according to this embodiment includes an nMOS transistor and a pMOS transistor having a full silicide gate electrode formed on a substrate.

図1及び図2は第1の実施形態に係る半導体装置の製造方法を工程順に示している。まず、図1(a)に示すように、例えば主面の面方位が(100)面であるシリコンからなる基板11に、シャロウトレンチ分離(STI:shallow Trench Isolation)からなる素子分離膜12を選択的に形成する。   1 and 2 show the semiconductor device manufacturing method according to the first embodiment in the order of steps. First, as shown in FIG. 1A, for example, an element isolation film 12 made of shallow trench isolation (STI) is selected on a substrate 11 made of silicon whose principal surface has a (100) plane orientation. Form.

続いて、基板11にイオン注入を行い、基板11に複数のn型トランジスタ形成領域13Aとp型トランジスタ形成領域13Bとをそれぞれ形成する。n型トランジスタ形成領域13Aは、p型のウエルを有し、p型トランジスタ形成領域13Bはn型のウエル有している。   Subsequently, ion implantation is performed on the substrate 11 to form a plurality of n-type transistor formation regions 13A and p-type transistor formation regions 13B on the substrate 11, respectively. The n-type transistor formation region 13A has a p-type well, and the p-type transistor formation region 13B has an n-type well.

続いて、基板11に対して公知のRCA洗浄及び希釈フッ酸洗浄を順次行行った後、600℃〜1000℃程度の温度の酸化性雰囲気で熱処理を行う。これにより、基板11のn型トランジスタ形成領域13A及びp型トランジスタ形成領域13Bの上に、酸化シリコンからなる下地膜14を形成する。下地膜14は、膜厚が1.0nm以下であることが望ましい。また、下地膜14はウエット処理により形成した、ケミカルなシリコン酸化膜でもよい。   Subsequently, the substrate 11 is sequentially subjected to known RCA cleaning and diluted hydrofluoric acid cleaning, and then heat treatment is performed in an oxidizing atmosphere at a temperature of about 600 ° C. to 1000 ° C. Thus, the base film 14 made of silicon oxide is formed on the n-type transistor formation region 13A and the p-type transistor formation region 13B of the substrate 11. The base film 14 preferably has a film thickness of 1.0 nm or less. Further, the base film 14 may be a chemical silicon oxide film formed by a wet process.

続いて、例えば有機金属気相堆積(MOCVD:metal organic chemical vapor deposition)法を用いて、下地膜14の上に膜厚が2nmの高誘電体からなる金属酸化膜15を形成する。例えば、ハフニウムシリケート(HfSiO4)からなる金属酸化膜を形成する場合には、以下のようにする。Hf(O−t−C374及びSi(O−t−C374の混合溶液に、窒素等からなるキャリアガスを吹き込んでバブリングを行うことにより発生させたソースガスを、キャリアガスと共に反応炉に導入する。反応炉内を500℃程度の温度に設定して、ハフニウムシリケートからなる金属酸化膜15を堆積する。このときSiに対するHfの濃度は、Hf(O−t−C374及びSi(O−t−C374の供給量によって調節する。 Subsequently, a metal oxide film 15 made of a high dielectric material having a film thickness of 2 nm is formed on the base film 14 by using, for example, metal organic chemical vapor deposition (MOCVD). For example, when forming a metal oxide film made of hafnium silicate (HfSiO 4 ), the following is performed. A source gas generated by bubbling by bubbling a carrier gas composed of nitrogen or the like into a mixed solution of Hf (Ot-C 3 H 7 ) 4 and Si (Ot-C 3 H 7 ) 4 is used. And introduced into the reactor together with the carrier gas. The inside of the reaction furnace is set to a temperature of about 500 ° C., and a metal oxide film 15 made of hafnium silicate is deposited. At this time, the concentration of Hf with respect to Si is adjusted by the supply amount of Hf (Ot-C 3 H 7 ) 4 and Si (Ot-C 3 H 7 ) 4 .

その後、炭素又は水素等の残留不純物の除去を行うために、700℃〜1000℃程度の熱処理を行う。このときの加熱雰囲気は、金属酸化膜15と下地膜14との膜厚が大きく変化しないように、微量の酸素を含んだ窒素であることが望ましい。その後、ソースドレイン領域のイオンの活性化熱処理において、金属酸化膜15が結晶化することを防ぐための窒化処理を行う。例えば、アンモニア雰囲気において800℃の温度で1分間の熱処理を行う。また、プラズマにより励起された窒素雰囲気中で熱処理を行ってもよい。   Thereafter, in order to remove residual impurities such as carbon or hydrogen, heat treatment at about 700 ° C. to 1000 ° C. is performed. The heating atmosphere at this time is preferably nitrogen containing a small amount of oxygen so that the film thicknesses of the metal oxide film 15 and the base film 14 do not change greatly. Thereafter, nitriding treatment is performed to prevent the metal oxide film 15 from crystallizing in the heat treatment for activating ions in the source / drain regions. For example, heat treatment is performed at a temperature of 800 ° C. for 1 minute in an ammonia atmosphere. Further, the heat treatment may be performed in a nitrogen atmosphere excited by plasma.

なお高誘電体材料からなる金属酸化膜に代えて、酸化シリコン膜、窒化シリコン膜又は酸窒化シリコン膜等を用いてもよい。   Note that a silicon oxide film, a silicon nitride film, a silicon oxynitride film, or the like may be used instead of the metal oxide film made of a high dielectric material.

その後、CVD法により、金属酸化膜15の上に膜厚が100nm程度のシリコンからなるゲート電極形成膜16を堆積する。ゲート電極形成膜16は、ドーピングされていても構わない。また、ゲート電極形成膜16は、アモルファスであることが望ましい。アモルファスシリコンの場合には結晶化シリコンの場合よりもシリサイド反応を抑制できる。さらにシリコン酸化膜からなるハードマスク形成膜17を堆積する。続いて、リソグラフィ技術により、ハードマスク形成膜17の上に、ゲートパターンを有するレジストマスク28を形成する。   Thereafter, a gate electrode forming film 16 made of silicon having a thickness of about 100 nm is deposited on the metal oxide film 15 by CVD. The gate electrode formation film 16 may be doped. The gate electrode formation film 16 is preferably amorphous. In the case of amorphous silicon, the silicide reaction can be suppressed more than in the case of crystallized silicon. Further, a hard mask forming film 17 made of a silicon oxide film is deposited. Subsequently, a resist mask 28 having a gate pattern is formed on the hard mask forming film 17 by lithography.

次に、図1(b)に示すように、例えば塩素ガスをエッチャントとしたドライエッチングにより、ハードマスク形成膜17から下地膜14までを順次パターニングする。これにより下地膜14a及びゲート絶縁膜15aを介して基板11の上に形成されたシリコン電極16aとシリコン電極16aの上面を覆うハードマスク17aとからなる積層パターン18が形成される。   Next, as shown in FIG. 1B, the hard mask formation film 17 to the base film 14 are sequentially patterned by dry etching using, for example, chlorine gas as an etchant. As a result, a laminated pattern 18 is formed which includes the silicon electrode 16a formed on the substrate 11 and the hard mask 17a covering the upper surface of the silicon electrode 16a via the base film 14a and the gate insulating film 15a.

次に、図1(c)に示すように、基板11に積層パターン18をマスクとしてイオン注入を行う。続いて、積層パターン18の両側面上にシリコン窒化膜からなるサイドウォール19を形成する。さらに、サイドウォール19及び積層パターン18をマスクとして、基板11に再度イオン注入を行って、ソースドレイン領域20を形成する。続いて、1000℃以上の温度で熱処理を行い、イオン注入された不純物を電気的に活性化させる。   Next, as shown in FIG. 1C, ion implantation is performed on the substrate 11 using the laminated pattern 18 as a mask. Subsequently, sidewalls 19 made of a silicon nitride film are formed on both side surfaces of the laminated pattern 18. Further, ion implantation is performed again on the substrate 11 using the sidewalls 19 and the laminated pattern 18 as masks, thereby forming source / drain regions 20. Subsequently, heat treatment is performed at a temperature of 1000 ° C. or higher to electrically activate the implanted impurities.

次に、基板11の上に金属ニッケル(図示せず)を堆積した後、300℃以上の温度で熱処理を行う。これにより、ソースドレイン領域20の上部に金属シリサイドソースドレイン21を形成する。この際に、ハードマスク17aは、シリコン電極16aがシリサイド化されないように保護する保護絶縁膜として機能する。次に、未反応の金属ニッケルを硫酸と過酸化水素水の混合液で除去し、さらに結晶相制御のための熱処理を行う。   Next, after depositing metallic nickel (not shown) on the substrate 11, heat treatment is performed at a temperature of 300 ° C. or higher. Thereby, a metal silicide source / drain 21 is formed on the source / drain region 20. At this time, the hard mask 17a functions as a protective insulating film that protects the silicon electrode 16a from being silicided. Next, unreacted metallic nickel is removed with a mixed solution of sulfuric acid and hydrogen peroxide solution, and a heat treatment for controlling the crystal phase is performed.

次に、図1(d)に示すようにシリコン酸化膜からなる層間膜22をハードマスク17aが十分に覆われるまで堆積する。続いて、層間膜22を、化学的機械研磨(CMP)法を用いて平坦化しながらハードマスク17aに達しないように研磨する。その後、ドライエッチングによりハードマスク17aと層間膜22の一部とをエッチバック除去して、シリコン電極16aを露出する。   Next, as shown in FIG. 1D, an interlayer film 22 made of a silicon oxide film is deposited until the hard mask 17a is sufficiently covered. Subsequently, the interlayer film 22 is polished using a chemical mechanical polishing (CMP) method so as not to reach the hard mask 17a. Thereafter, the hard mask 17a and a part of the interlayer film 22 are removed by dry etching to expose the silicon electrode 16a.

次に、図2(a)に示すように、レジストマスク29を用いてp型トランジスタ形成領域13Bを被覆し、n型トランジスタ形成領域13Aのみにイオン注入法により窒素をドーピングする。これにより、n型トランジスタ形成領域13Aに形成されたシリコン電極16aを不純物がドープされたシリコン電極16bとする。なお、イオン注入の際の注入エネルギーは、5keV〜30keVの間であればよい。また、注入ドーズ量は1×1014cm-2〜1x1016cm-2の間であればよい。また窒素に代えて酸素をドーピングしてもよい。 Next, as shown in FIG. 2A, the p-type transistor formation region 13B is covered with a resist mask 29, and only the n-type transistor formation region 13A is doped with nitrogen by an ion implantation method. Thus, the silicon electrode 16a formed in the n-type transistor formation region 13A is used as a silicon electrode 16b doped with impurities. The ion implantation energy may be between 5 keV and 30 keV. The implantation dose may be between 1 × 10 14 cm −2 and 1 × 10 16 cm −2 . Further, oxygen may be doped instead of nitrogen.

次に、図2(b)に示すように、レジストマスク29を剥離した後に、改めてレジストを塗布し、フォトリソグラフィ技術によって、p型トランジスタ形成領域13Bを露出するレジストマスク30を形成する。その後、ドライエッチングにより、p型トランジスタ形成領域13Bに形成されたシリコン電極16aを膜厚が30nm〜50nmになるまでエッチングして、薄膜化されたシリコン電極16cとする。   Next, as shown in FIG. 2B, after removing the resist mask 29, a resist is applied again, and a resist mask 30 exposing the p-type transistor formation region 13B is formed by photolithography. Thereafter, the silicon electrode 16a formed in the p-type transistor formation region 13B is etched by dry etching until the film thickness becomes 30 nm to 50 nm, thereby forming a thinned silicon electrode 16c.

さらに再びレジストを塗布し、フォトリソグラフィ技術によって、p型トランジスタ形成領域13Bを露出するレジストマスク30を形成しなおし、薄膜化されたシリコン電極16cのみにイオン注入法により窒素をドーピングする。これによりp型トランジスタ形成領域13Bに形成されたシリコン電極16cを不純物がドープされたシリコン電極16dとする。なお、イオン注入の際の注入エネルギーは、5keV以下が望ましい。シリコン電極16cは薄膜化されているため、注入エネルギーが過剰に高い場合には、窒素が基板に突き抜けてしまうためである。注入ドーズ量は1×1014cm-2〜1×1016cm-2の間であればよい。また窒素に代えて酸素をドーピングしてもよい。本実施例では、n型、p型の両方に対して窒素をイオン注入しているが、n型、p型のいずれか一方のみでも構わない。 Further, a resist is applied again, and a resist mask 30 exposing the p-type transistor formation region 13B is formed again by photolithography, and nitrogen is doped only into the thinned silicon electrode 16c by an ion implantation method. Thus, the silicon electrode 16c formed in the p-type transistor formation region 13B is used as a silicon electrode 16d doped with impurities. The ion implantation energy is preferably 5 keV or less. This is because, since the silicon electrode 16c is thinned, nitrogen penetrates into the substrate when the implantation energy is excessively high. The implantation dose may be between 1 × 10 14 cm −2 and 1 × 10 16 cm −2 . Further, oxygen may be doped instead of nitrogen. In this embodiment, nitrogen is ion-implanted for both n-type and p-type, but only one of n-type and p-type may be used.

次に、図2(c)に示すように、基板11の上に金属ニッケル(図示せず)を堆積した後、300℃以上の温度で熱処理を行う。これにより、シリコン電極16b及びシリコン電極16dは金属ニッケルと反応し、シリサイド化される。このときドーピングされた窒素は、シリコン電極のシリコンと結合しているため、シリサイド化反応は、窒素がドーピングされていない場合に比べてゆっくり進む。この結果、グレインバウンダリーが少なくより大きなサイズのエネルギー的に安定な結晶構造のシリサイドが成長する。同時にニッケルの拡散が阻害されているので、nMOSFETのシリコン電極は、シリコンが過剰で仕事関数の値が小さい金属シリサイド膜からなるフルシリサイドゲート電極23が形成される。一方、pMOSFETの薄膜化されたシリコン電極16cにおいては、シリサイドグレイン制御に加えて、シリコンの膜厚が薄いため、シリコンに対するニッケルの比率が高くなり、ニッケルが過剰で仕事関数の値が大きい金属シリサイド膜からなるフルシリサイドゲート電極24が形成される。   Next, as shown in FIG. 2C, after depositing metallic nickel (not shown) on the substrate 11, heat treatment is performed at a temperature of 300 ° C. or higher. As a result, the silicon electrode 16b and the silicon electrode 16d react with the metallic nickel and are silicided. At this time, since the doped nitrogen is bonded to the silicon of the silicon electrode, the silicidation reaction proceeds more slowly than when nitrogen is not doped. As a result, a silicide having a larger grain size and an energetically stable crystal structure with less grain boundary is grown. At the same time, since the diffusion of nickel is inhibited, a full silicide gate electrode 23 made of a metal silicide film having a small work function value is formed on the silicon electrode of the nMOSFET. On the other hand, in the thin silicon electrode 16c of the pMOSFET, in addition to the silicide grain control, the thickness of the silicon is small, so the ratio of nickel to silicon is high, the nickel is excessive and the work function value is large. A full silicide gate electrode 24 made of a film is formed.

続いて、未反応の金属ニッケルを硫酸と過酸化水素水の混合液で除去し、さらに結晶相制御のための熱処理を行った後、図示を省略するが、配線工程等を行う。   Subsequently, unreacted metallic nickel is removed with a mixed solution of sulfuric acid and hydrogen peroxide solution, and a heat treatment for controlling the crystal phase is performed. Then, although not shown, a wiring process or the like is performed.

本実施形態の半導体装置の製造方法は、ゲート電極をフルシリサイド化する前に、シリコン膜に不純物イオンを注入することによりシリサイド化を抑制する処理を行っている。これにより、シリサイド化の反応速度が遅くなるため、大きな結晶粒を有する金属シリサイド膜が得られる。   In the method for manufacturing a semiconductor device according to the present embodiment, before the gate electrode is fully silicided, a process for suppressing silicidation is performed by implanting impurity ions into the silicon film. As a result, the silicidation reaction rate is reduced, and a metal silicide film having large crystal grains can be obtained.

図5は本実施形態に係るnMOSFETのフルシリサイドゲート電極23の断面を二次電子走査電子顕微鏡により観察した結果を示している。図5において識別された結晶粒(グレイン)を点線により示しているが、本実施形態のフルシリサイドゲート電極23においては、サイズが大きいグレインが形成されている。特に、金属シリサイド膜のゲート絶縁膜側(下側)において上側よりもグレインのサイズが大きくなっており、層状のグレインが積層されるように形成されている。このため、結晶粒界(グレインバウンダリ)の数が少なく、また、ゲート絶縁膜と接するグレインバウンダリはほとんど認められない。   FIG. 5 shows a result of observing a cross section of the full silicide gate electrode 23 of the nMOSFET according to the present embodiment with a secondary electron scanning electron microscope. Although the crystal grains (grains) identified in FIG. 5 are indicated by dotted lines, large grains are formed in the full silicide gate electrode 23 of the present embodiment. In particular, the grain size is larger on the gate insulating film side (lower side) of the metal silicide film than on the upper side, and the layered grains are stacked. For this reason, the number of crystal grain boundaries (grain boundaries) is small, and there is almost no grain boundary in contact with the gate insulating film.

一方、図7はシリサイド化を抑制する処理を行っていない従来のnMOSFETのフルシリサイドゲート電極の断面を二次電子走査電子顕微鏡により観察した結果を示している。図7に示すように従来のフルシリサイドゲート電極では、小さいグレインが不規則に配列されており、多数のグレインバウンダリが形成されていると共に、ゲート絶縁膜と接するグレインバウンダリも数多く存在している。   On the other hand, FIG. 7 shows a result of observing a cross section of a full silicide gate electrode of a conventional nMOSFET not subjected to silicidation suppression treatment with a secondary electron scanning electron microscope. As shown in FIG. 7, in the conventional full silicide gate electrode, small grains are irregularly arranged, a large number of grain boundaries are formed, and there are many grain boundaries in contact with the gate insulating film.

フルシリサイドゲート電極におけるグレインのサイズが小さく、グレインバウンダリが多数発生すると、仕事関数の値及び閾値電圧に影響を与えると同時に、リーク電流が増加する。特に、ゲート長及びゲート幅が短くなった場合には、一つのトランジスタが有するフルシリサイドゲート電極の体積が、一つのグレインよりも小さくなるケースが発生する。この場合にはグレインの結晶性(配向性)の影響をより強く受けるので、基板の上に複数のトランジスタを形成した場合に、各トランジスタの閾値電圧が全く異なった値となってしまうおそれがある。さらにグレインサイズのばらつきは、ゲート電極の抵抗値のばらつきとなる。   When the grain size in the full silicide gate electrode is small and many grain boundaries are generated, the work function value and the threshold voltage are affected, and at the same time, the leakage current increases. In particular, when the gate length and the gate width are shortened, there is a case where the volume of the full silicide gate electrode included in one transistor is smaller than one grain. In this case, since it is more strongly affected by the crystallinity (orientation) of the grains, when a plurality of transistors are formed on the substrate, there is a possibility that the threshold voltages of the respective transistors may have completely different values. . Further, the variation in grain size results in variation in the resistance value of the gate electrode.

しかし、本実施形態の半導体装置は、グレインのサイズが大きくグレインバウンダリの数が少ないため、このような不具合が発生するおそれが小さく、電気的な特性に優れたトランジスタが得られるだけでなく、基板の上に複数のトランジスタを形成した場合に各トランジスタの特性をそろえることができる。   However, since the semiconductor device of this embodiment has a large grain size and a small number of grain boundaries, it is less likely to cause such a problem and a transistor with excellent electrical characteristics can be obtained. When a plurality of transistors are formed on the top, characteristics of each transistor can be made uniform.

また、本実施形態のnMOSトランジスタのフルシリサイドゲート電極23は、シリサイド化が抑制されているため、ニッケルシリサイド層の下側の領域において、ニッケルに対してシリコンが過剰となる。このため、ゲート絶縁膜と接する領域における仕事関数の値を小さくすることができ、閾値電圧が低いnMOSトランジスタを得ることができる。   In addition, since the silicidation of the full silicide gate electrode 23 of the nMOS transistor of this embodiment is suppressed, silicon is excessive with respect to nickel in the lower region of the nickel silicide layer. Therefore, the value of the work function in the region in contact with the gate insulating film can be reduced, and an nMOS transistor having a low threshold voltage can be obtained.

一方、pMOSFETトランジスタにおいては、シリコン膜の膜厚を薄くした後、シリサイド化を行っているため、金属が過剰で仕事関数の値が大きいフルシリサイドゲート電極24が形成できる。また、pMOSトランジスタのフルシリサイド化ケートをより金属過剰とするために、シリサイド化の熱処理温度を高くすることが好ましい。シリサイド化熱処理を高温とし、処理時間を長くしても、nMOSFETのシリサイド化は抑制されているために、nMOSFETのフルシリサイドゲート電極が金属過剰になることはない。従って、この場合においても、仕事関数の値を低く抑えることができ、閾値電圧が低いnMOSFETが得られる。なお、グレインサイズ及び結晶方位の制御は、pMOSFETトランジスタにおいても可能で、ゲート電極に注入するイオンの注入エネルギー及びドーズ量を変えることで行うことができる。ただしpMOSFETのシリコン膜厚は薄いので低エネルギー、低ドーズに設定する必要がある。   On the other hand, in the pMOSFET transistor, silicidation is performed after the thickness of the silicon film is reduced, so that the full silicide gate electrode 24 having a large work function and a large amount of metal can be formed. In order to make the full silicidation karate of the pMOS transistor more metal-rich, it is preferable to increase the heat treatment temperature for silicidation. Even if the silicidation heat treatment is performed at a high temperature and the processing time is increased, the silicidation of the nMOSFET is suppressed, so that the full silicide gate electrode of the nMOSFET does not become excessive in metal. Accordingly, even in this case, the value of the work function can be kept low, and an nMOSFET having a low threshold voltage can be obtained. The grain size and crystal orientation can be controlled also in a pMOSFET transistor, and can be performed by changing the implantation energy and dose of ions implanted into the gate electrode. However, since the silicon film thickness of the pMOSFET is thin, it is necessary to set it to low energy and low dose.

(第2の実施形態)
以下に、本発明の第2の実施形態について図面を参照して説明する。図3及び図4は第2の実施形態に係る半導体装置の製造方法を工程順に示している。
(Second Embodiment)
The second embodiment of the present invention will be described below with reference to the drawings. 3 and 4 show the semiconductor device manufacturing method according to the second embodiment in the order of steps.

まず、図3(a)に示すように、例えば主面の面方位が(100)面であるシリコンからなる基板11に、シャロウトレンチ分離(STI:shallow Trench Isolation)からなる素子分離膜12を選択的に形成する。   First, as shown in FIG. 3A, for example, an element isolation film 12 made of shallow trench isolation (STI) is selected on a substrate 11 made of silicon whose principal surface has a (100) plane orientation. Form.

続いて、基板11にイオン注入を行い、基板11に複数のn型トランジスタ形成領域とp型トランジスタ形成領域とをそれぞれ形成する。n型トランジスタ形成領域は、p型のウエル13Aを有し、p型トランジスタ形成領域はn型のウエル13Bを有している。   Subsequently, ion implantation is performed on the substrate 11 to form a plurality of n-type transistor formation regions and p-type transistor formation regions on the substrate 11. The n-type transistor formation region has a p-type well 13A, and the p-type transistor formation region has an n-type well 13B.

続いて、基板11に対して公知のRCA洗浄及び希釈フッ酸洗浄を順次行行った後、600℃〜1000℃程度の温度の酸化性雰囲気で熱処理を行う。これにより、基板11におけるトランジスタ形成領域の上に、酸化シリコンからなる下地膜14を形成する。下地膜14は、膜厚が1.0nm以下であることが望ましい。また、下地膜14はウエット処理により形成した、ケミカルなシリコン酸化膜でもよい。   Subsequently, the substrate 11 is sequentially subjected to known RCA cleaning and diluted hydrofluoric acid cleaning, and then heat treatment is performed in an oxidizing atmosphere at a temperature of about 600 ° C. to 1000 ° C. As a result, the base film 14 made of silicon oxide is formed on the transistor formation region of the substrate 11. The base film 14 preferably has a film thickness of 1.0 nm or less. Further, the base film 14 may be a chemical silicon oxide film formed by a wet process.

続いて、例えば有機金属気相堆積(MOCVD:metal organic chemical vapor deposition)法を用いて、下地膜14の上に膜厚が2nmの高誘電体からなる金属酸化膜15を形成する。例えば、ハフニウムシリケートからなる金属膜を形成する場合には、以下のようにする。Hf(O−t−C374及びSi(O−t−C374の混合溶液に、窒素等からなるキャリアガスを吹き込んでバブリングを行うことにより発生させたソースガスを、キャリアガスと共に反応炉に導入する。反応炉内を500℃程度の温度に設定して、ハフニウムシリケートからなる金属酸化膜15を堆積する。このときSiに対するHfの濃度は、Hf(O−t−C374及びSi(O−t−C374の供給量によって調節する。 Subsequently, a metal oxide film 15 made of a high dielectric material having a film thickness of 2 nm is formed on the base film 14 by using, for example, metal organic chemical vapor deposition (MOCVD). For example, when forming a metal film made of hafnium silicate, the following is performed. A source gas generated by bubbling by bubbling a carrier gas composed of nitrogen or the like into a mixed solution of Hf (Ot-C 3 H 7 ) 4 and Si (Ot-C 3 H 7 ) 4 is used. And introduced into the reactor together with the carrier gas. The inside of the reaction furnace is set to a temperature of about 500 ° C., and a metal oxide film 15 made of hafnium silicate is deposited. At this time, the concentration of Hf with respect to Si is adjusted by the supply amount of Hf (Ot-C 3 H 7 ) 4 and Si (Ot-C 3 H 7 ) 4 .

その後、炭素又は水素等の残留不純物の除去を行うために、700℃〜1000℃程度の熱処理を行う。このときの加熱雰囲気は、金属酸化膜15と下地膜14との膜厚が大きく変化しないように、微量の酸素を含んだ窒素であることが望ましい。その後、ソースドレイン領域のイオンの活性化熱処理において、金属酸化膜15が結晶化することを防ぐための窒化処理を行う。例えば、アンモニア雰囲気において800℃の温度で1分間の熱処理を行う。また、プラズマにより励起された窒素雰囲気中で熱処理を行ってもよい。   Thereafter, in order to remove residual impurities such as carbon or hydrogen, heat treatment at about 700 ° C. to 1000 ° C. is performed. The heating atmosphere at this time is preferably nitrogen containing a small amount of oxygen so that the film thicknesses of the metal oxide film 15 and the base film 14 do not change greatly. Thereafter, nitriding treatment is performed to prevent the metal oxide film 15 from crystallizing in the heat treatment for activating ions in the source / drain regions. For example, heat treatment is performed at a temperature of 800 ° C. for 1 minute in an ammonia atmosphere. Further, the heat treatment may be performed in a nitrogen atmosphere excited by plasma.

なお、高誘電体材料からなる金属酸化膜に代えて、酸化シリコン膜、窒化シリコン膜又は酸窒化シリコン膜等を用いてもよい。   Note that a silicon oxide film, a silicon nitride film, a silicon oxynitride film, or the like may be used instead of the metal oxide film made of a high dielectric material.

その後、金属酸化膜15の上にシリコン電極形成膜41を形成する。シリコン電極形成膜41は、以下のようにして順次積層された第1のシリコン膜42と酸化シリコン膜43と第2のシリコン膜44とからなる。   Thereafter, a silicon electrode formation film 41 is formed on the metal oxide film 15. The silicon electrode formation film 41 includes a first silicon film 42, a silicon oxide film 43, and a second silicon film 44 that are sequentially stacked as follows.

続いて、金属酸化膜15の上に、CVD法により膜厚が50nm程度の第1のシリコン膜42を堆積する。第1のシリコン膜42は、ドーピングされていても構わない。第1のシリコン膜42は、アモルファスであることが望ましい。   Subsequently, a first silicon film 42 having a thickness of about 50 nm is deposited on the metal oxide film 15 by a CVD method. The first silicon film 42 may be doped. The first silicon film 42 is desirably amorphous.

次に、基板11をアンモニアと過酸化水素水との混合水溶液(APM)に浸すことにより第1のシリコン膜42の表面に、酸化シリコン膜43を形成する。酸化シリコン膜43の膜厚は1.0nm以下であることが望ましい。膜厚が1.0nm以下であればシリサイド化を完全に停止させることがない。なお、APM液に代えてオゾン水を用いても構わない。また、ドライ酸化により形成してもよい。また、酸化シリコンに代えて窒化シリコン又は酸窒化シリコン等を用いてもよい。   Next, a silicon oxide film 43 is formed on the surface of the first silicon film 42 by immersing the substrate 11 in a mixed aqueous solution (APM) of ammonia and hydrogen peroxide. The film thickness of the silicon oxide film 43 is desirably 1.0 nm or less. If the film thickness is 1.0 nm or less, silicidation is not completely stopped. Note that ozone water may be used in place of the APM liquid. Alternatively, it may be formed by dry oxidation. Further, silicon nitride, silicon oxynitride, or the like may be used instead of silicon oxide.

その後、酸化シリコン膜43の上に、膜厚が50nm程度の第2のシリコン膜44を堆積する。第2のシリコン膜44は、ドーピングされていても構わない。第2のシリコン膜44は、アモルファスであることが望ましい。アモルファスシリコンは、結晶化シリコンよりもシリサイド化を抑制できる。   Thereafter, a second silicon film 44 having a thickness of about 50 nm is deposited on the silicon oxide film 43. The second silicon film 44 may be doped. The second silicon film 44 is preferably amorphous. Amorphous silicon can suppress silicidation more than crystallized silicon.

続いて、シリコン電極形成膜41の上に、酸化シリコン膜からなるハードマスク形成膜17を堆積する。続いて、リソグラフィ技術により、ハードマスク形成膜17の上に、ゲートパターンを有するレジストマスク28を形成する。   Subsequently, a hard mask forming film 17 made of a silicon oxide film is deposited on the silicon electrode forming film 41. Subsequently, a resist mask 28 having a gate pattern is formed on the hard mask forming film 17 by lithography.

次に、図3(b)に示すように、例えば塩素ガスをエッチャントとしたドライエッチングにより、ハードマスク形成膜17から下地膜14までを順次パターニングする。これにより下地膜14a及びゲート絶縁膜15aの上に形成された、パターニングされた第1のシリコン膜42a、シリコン酸化膜42a及び第2のシリコン膜43aからなるシリコン電極41aと、シリコン電極41aの上面を覆うハードマスク17aとからなる積層パターン18が形成される。   Next, as shown in FIG. 3B, the hard mask formation film 17 to the base film 14 are sequentially patterned by dry etching using, for example, chlorine gas as an etchant. Thus, the silicon electrode 41a formed of the patterned first silicon film 42a, silicon oxide film 42a, and second silicon film 43a formed on the base film 14a and the gate insulating film 15a, and the upper surface of the silicon electrode 41a. A laminated pattern 18 is formed which is composed of a hard mask 17a covering the substrate.

次に、図3(c)に示すように、基板11に積層パターン18をマスクとしてイオン注入を行う。続いて、積層パターン18の両側面上にシリコン窒化膜からなるサイドウォール19を形成する。さらに、サイドウォール19及び積層パターン18をマスクとして、基板11に再度イオン注入を行って、ソースドレイン領域20を形成する。続いて、1000℃以上の温度で熱処理を行い、イオン注入された不純物を電気的に活性化させる。   Next, as shown in FIG. 3C, ion implantation is performed on the substrate 11 using the laminated pattern 18 as a mask. Subsequently, sidewalls 19 made of a silicon nitride film are formed on both side surfaces of the laminated pattern 18. Further, ion implantation is performed again on the substrate 11 using the sidewalls 19 and the laminated pattern 18 as masks, thereby forming source / drain regions 20. Subsequently, heat treatment is performed at a temperature of 1000 ° C. or higher to electrically activate the implanted impurities.

次に、基板11の上に金属ニッケル(図示せず)を堆積した後、300℃以上の温度で熱処理を行う。これにより、ソースドレイン領域20の上部に金属シリサイドソースドレイン21を形成する。この際に、シリコン電極41aはハードマスク17aに覆われているため、金属ニッケルと反応しない。次に、未反応の金属ニッケルを硫酸と過酸化水素水の混合液で除去し、さらに結晶相制御のための熱処理を行う。   Next, after depositing metallic nickel (not shown) on the substrate 11, heat treatment is performed at a temperature of 300 ° C. or higher. Thereby, a metal silicide source / drain 21 is formed on the source / drain region 20. At this time, since the silicon electrode 41a is covered with the hard mask 17a, it does not react with metallic nickel. Next, unreacted metallic nickel is removed with a mixed solution of sulfuric acid and hydrogen peroxide solution, and a heat treatment for controlling the crystal phase is performed.

次に、図4(a)に示すようにシリコン酸化膜からなる層間膜22をハードマスク17aが十分に覆われるまで堆積する。続いて、層間膜22を、化学的機械研磨(CMP)法を用いて平坦化しながらハードマスク17aに達しないように研磨する。その後、ドライエッチングによりハードマスク17aと層間膜22の一部とをエッチバック除去して、シリコン電極41aを露出する。   Next, as shown in FIG. 4A, an interlayer film 22 made of a silicon oxide film is deposited until the hard mask 17a is sufficiently covered. Subsequently, the interlayer film 22 is polished using a chemical mechanical polishing (CMP) method so as not to reach the hard mask 17a. Thereafter, the hard mask 17a and a part of the interlayer film 22 are removed by dry etching to expose the silicon electrode 41a.

次に、図4(b)に示すように、レジストを塗布し、フォトリソグラフィ技術によって、p型トランジスタ形成領域13Bを露出するレジストマスク31を形成する。その後、ドライエッチングにより、p型トランジスタ形成領域13Bに形成されたシリコン電極41aを膜厚が30nm〜50nmになるまでエッチングして、薄膜化されたシリコン電極41bとする。具体的には、第2のシリコン膜44aと酸化シリコン膜43aとを除去し、第1のシリコン膜42aのみを残す。   Next, as shown in FIG. 4B, a resist is applied, and a resist mask 31 that exposes the p-type transistor formation region 13B is formed by photolithography. Thereafter, by dry etching, the silicon electrode 41a formed in the p-type transistor formation region 13B is etched until the film thickness becomes 30 nm to 50 nm to form a thinned silicon electrode 41b. Specifically, the second silicon film 44a and the silicon oxide film 43a are removed, leaving only the first silicon film 42a.

次に、図4(c)に示すように、基板11の上に金属ニッケル(図示せず)を堆積した後、300℃以上の温度で熱処理を行う。これにより、シリコン電極41a及びシリコン電極41bは金属ニッケルと反応し、フルシリサイド化される。ただし、ゲート電極41aにおいては、シリサイド化は酸化シリコン膜43aの影響により阻害され、シリサイド化反応はゆっくり進む。これにより、シリコンが過剰で仕事関数の値が小さいフルシリサイドゲート電極23が形成される。一方、薄膜化されたゲート電極41bにおいては、シリコンの膜厚が薄いため、シリコンに対するニッケルの比率が高くなり、ニッケルが過剰で仕事関数の値が大きいフルシリサイドゲート電極24が形成される。   Next, as shown in FIG. 4C, after depositing metallic nickel (not shown) on the substrate 11, heat treatment is performed at a temperature of 300 ° C. or higher. As a result, the silicon electrode 41a and the silicon electrode 41b react with the metallic nickel and are fully silicided. However, in the gate electrode 41a, silicidation is inhibited by the influence of the silicon oxide film 43a, and the silicidation reaction proceeds slowly. As a result, the full silicide gate electrode 23 having an excessive silicon and a small work function value is formed. On the other hand, in the thinned gate electrode 41b, since the film thickness of silicon is thin, the ratio of nickel to silicon is high, and the full silicide gate electrode 24 having a large work function value due to excessive nickel is formed.

続いて、未反応の金属ニッケルを硫酸と過酸化水素水の混合液で除去し、さらに結晶相制御のための熱処理を行う。この後、図示を省略するが、配線工程等を行う。   Subsequently, unreacted metallic nickel is removed with a mixed solution of sulfuric acid and hydrogen peroxide solution, and a heat treatment for controlling the crystal phase is performed. Thereafter, although not shown, a wiring process or the like is performed.

本実施形態の半導体装置の製造方法は、積層されたシリコン膜の間にシリサイド化反応を抑制する薄い酸化シリコンを挿入することによりシリサイド化を抑制する処理を行っている。これにより、シリサイド化の反応速度が遅くなるため、大きな結晶粒を有するシリサイド膜が得られる。   In the semiconductor device manufacturing method of this embodiment, a process for suppressing silicidation is performed by inserting thin silicon oxide that suppresses silicidation reaction between stacked silicon films. As a result, the reaction rate of silicidation is reduced, and a silicide film having large crystal grains can be obtained.

図6は本実施形態に係るnMOSFETのフルシリサイドゲート電極23の断面を二次電子走査電子顕微鏡により観察した結果を示している。図6に示すように本実施形態のフルシリサイドゲート電極においては、グレインのサイズが大きく、また、層状のグレインが積層されるように形成されている。このため、グレインバウンダリの数が少なく、また、ゲート絶縁膜と接するグレインバウンダリはほとんど認められない。   FIG. 6 shows a result of observing a cross section of the full silicide gate electrode 23 of the nMOSFET according to this embodiment with a secondary electron scanning electron microscope. As shown in FIG. 6, the full silicide gate electrode of this embodiment is formed so that the grain size is large and layered grains are laminated. For this reason, the number of grain boundaries is small, and almost no grain boundary in contact with the gate insulating film is recognized.

本実施形態においては、グレインのサイズ及び結晶方位は、第1のシリコン膜41と第2のシリコン膜43との膜厚比を変えることにより制御することができる。また、p型MOSトランジスタの電極の膜厚は、第1のシリコン電極形成膜の膜厚によって決まる。従って、シリコン膜をエッチングして薄膜化する場合と比べて、電極の膜厚のばらつきを小さくすることができる。   In the present embodiment, the grain size and crystal orientation can be controlled by changing the film thickness ratio between the first silicon film 41 and the second silicon film 43. Further, the thickness of the electrode of the p-type MOS transistor is determined by the thickness of the first silicon electrode formation film. Therefore, the variation in the film thickness of the electrode can be reduced as compared with the case where the silicon film is thinned by etching.

このように、第1の実施形態及び第2の実施形態に係る半導体装置及びその製造方法は、フルシリサイドゲート電極におけるグレインの大きさ、グレインの配向、シリサイドの組成及び膜厚等を制御することができる。シリサイド反応を抑制することによって、表面拡散に伴う過剰な金属供給を制限することができるので、仕事関数及び閾値電圧のばらつきを低減することができる。   As described above, the semiconductor device and the manufacturing method thereof according to the first embodiment and the second embodiment control the grain size, grain orientation, silicide composition, film thickness, and the like in the full silicide gate electrode. Can do. By suppressing the silicide reaction, excessive metal supply accompanying surface diffusion can be restricted, so that variations in work function and threshold voltage can be reduced.

n型MOSトランジスタとp型MOSトランジスタとを基板の上に形成する場合には、n型MOSトランジスタについてシリサイド化反応を抑制しつつ、p型MOSトランジスタと同時にシリサイド化すれば、n型トランジスタのフルシリサイドゲート電極は、シリコンが過剰となり、p型MOSトランジスタのフルシリサイドゲート電極は、ニッケル過剰となるため、それぞれ最適な仕事関数を有するフルシリサイド電極が実現できる。その結果、閾値電圧が低い半導体装置を実現することができる。   When the n-type MOS transistor and the p-type MOS transistor are formed on the substrate, if the silicidation reaction is suppressed for the n-type MOS transistor and silicidation is performed simultaneously with the p-type MOS transistor, the n-type transistor is fully formed. Since the silicide gate electrode has an excess of silicon and the full silicide gate electrode of the p-type MOS transistor has an excess of nickel, a full silicide electrode having an optimum work function can be realized. As a result, a semiconductor device with a low threshold voltage can be realized.

本発明に係る半導体装置及びその製造方法は、仕事関数の値及び閾値が安定したフルシリサイドゲート電極を有する半導体装置を実現でき、金属シリサイド膜からなるゲート電極を有するMOS型の半導体装置及びその製造方法等として有用である。  The semiconductor device and the manufacturing method thereof according to the present invention can realize a semiconductor device having a full silicide gate electrode with a stable work function value and threshold value, and a MOS type semiconductor device having a gate electrode made of a metal silicide film and its manufacture. This is useful as a method.

本発明の第1の実施形態に係る半導体装置の製造方法を工程順に示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention in process order. 本発明の第1の実施形態に係る半導体装置の製造方法を工程順に示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention in process order. 本発明の第2の実施形態に係る半導体装置の製造方法を工程順に示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device which concerns on the 2nd Embodiment of this invention in process order. 本発明の第1の実施形態に係る半導体装置の製造方法を工程順に示す断面図である。It is sectional drawing which shows the manufacturing method of the semiconductor device which concerns on the 1st Embodiment of this invention in process order. 本発明の第1の実施形態に係る半導体装置におけるnMOSトランジスタのフルシリサイドゲート電極の断面を示す電子顕微鏡写真である。4 is an electron micrograph showing a cross section of a full silicide gate electrode of an nMOS transistor in the semiconductor device according to the first embodiment of the present invention. 本発明の第2の実施形態に係る半導体装置におけるnMOSトランジスタのフルシリサイドゲート電極の断面を示す電子顕微鏡写真である。It is an electron micrograph which shows the cross section of the full silicide gate electrode of the nMOS transistor in the semiconductor device which concerns on the 2nd Embodiment of this invention. 従来の半導体装置におけるnMOSトランジスタのフルシリサイドゲート電極の断面を示す電子顕微鏡写真である。極の断面像である。It is an electron micrograph which shows the cross section of the full silicide gate electrode of the nMOS transistor in the conventional semiconductor device. It is a cross-sectional image of a pole.

符号の説明Explanation of symbols

11 基板
12 素子分離膜
13A n型トランジスタ形成領域
13B p型トランジスタ形成領域
14 下地膜
14a パターニングされた下地膜
15 金属酸化膜
15a ゲート絶縁膜
16 ゲート電極形成膜
16a シリコン電極
16b シリコン電極
16c シリコン電極
16d シリコン電極
17 ハードマスク形成膜
17a ハードマスク
18 積層パターン
19 サイドウォール
20 ソースドレイン領域
21 金属シリサイドソースドレイン
22 層間膜
23 フルシリサイドゲート電極
24 フルシリサイドゲート電極
28 レジストマスク
29 レジストマスク
30 レジストマスク
31 レジストマスク
41 ゲート電極形成膜
41a シリコン電極
41b シリコン電極
42 第1のシリコン膜
42a 第1のシリコン膜
43 酸化シリコン膜
43a 酸化シリコン膜
44 第2のシリコン膜
44a 第2のシリコン膜
11 Substrate 12 Element isolation film 13A n-type transistor formation region 13B p-type transistor formation region 14 base film 14a patterned base film 15 metal oxide film 15a gate insulating film 16 gate electrode formation film 16a silicon electrode 16b silicon electrode 16c silicon electrode 16d Silicon electrode 17 Hard mask formation film 17a Hard mask 18 Stack pattern 19 Side wall 20 Source / drain region 21 Metal silicide source / drain 22 Interlayer film 23 Full silicide gate electrode 24 Full silicide gate electrode 28 Resist mask 29 Resist mask 30 Resist mask 31 Resist mask 41 Gate electrode formation film 41a Silicon electrode 41b Silicon electrode 42 First silicon film 42a First silicon film 43 Silicon oxide film 43a Silicon oxide Film 44 second silicon film 44a second silicon film

Claims (14)

半導体基板の上に形成されたゲート絶縁膜と、
前記ゲート絶縁膜の上に形成されたゲート電極とを備え、
前記ゲート電極は、層状の複数の結晶粒が積層されてなる金属シリサイド膜を有するフルシリサイドゲート電極であることを特徴とする半導体装置。
A gate insulating film formed on the semiconductor substrate;
A gate electrode formed on the gate insulating film,
The semiconductor device, wherein the gate electrode is a full silicide gate electrode having a metal silicide film in which a plurality of layered crystal grains are stacked.
前記金属シリサイド膜は、前記ゲート絶縁膜側の領域の結晶粒のサイズが、前記ゲート絶縁膜と反対側の領域の結晶粒のサイズよりも大きいことを特徴とする請求項1に記載の半導体素子。   2. The semiconductor element according to claim 1, wherein the metal silicide film has a crystal grain size in a region on the gate insulating film side larger than a crystal grain size in a region opposite to the gate insulating film. . 前記金属シリサイド膜は、前記ゲート絶縁膜側の領域の結晶粒界の数が、前記ゲート絶縁膜と反対側の領域の結晶粒界の数よりも少ないことを特徴とする請求項1に記載の半導体素子。   2. The metal silicide film according to claim 1, wherein the number of crystal grain boundaries in the region on the gate insulating film side is smaller than the number of crystal grain boundaries in the region on the opposite side to the gate insulating film. Semiconductor element. 前記金属シリサイド膜は、ニッケル、コバルト、チタン、白金、ルテニウム、イリジウム、イットリビウム及び遷移金属のうちの少なくとも1つの硅化物からなることを特徴とする請求項1から3のいずれか1項に記載の半導体装置。   4. The metal silicide film according to claim 1, wherein the metal silicide film is made of at least one nitride of nickel, cobalt, titanium, platinum, ruthenium, iridium, yttrium, and a transition metal. 5. Semiconductor device. 前記金属シリサイド膜は、前記ゲート絶縁膜側の領域のシリコンが過剰であり且つ前記ゲート絶縁膜側の領域の金属の組成比が、前記ゲート絶縁膜と反対側の領域の金属の組成比よりも小さいことを特徴とする請求項1から3に記載の半導体装置。   In the metal silicide film, silicon in the region on the gate insulating film side is excessive, and the metal composition ratio in the region on the gate insulating film side is higher than the metal composition ratio in the region on the opposite side to the gate insulating film. The semiconductor device according to claim 1, wherein the semiconductor device is small. 前記ゲート絶縁膜は、金属酸化膜であることを特徴とする請求項1から3のいずれか1項に記載の半導体装置。   4. The semiconductor device according to claim 1, wherein the gate insulating film is a metal oxide film. 5. 前記金属酸化膜は、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、シリコン、ランタン及び希土類元素のうちの少なくとも1つの酸化物からなることを特徴とする請求項6に記載の半導体装置。   The semiconductor device according to claim 6, wherein the metal oxide film is made of at least one oxide of hafnium, zirconium, titanium, tantalum, aluminum, silicon, lanthanum, and rare earth elements. 前記半導体基板の上にゲート絶縁膜形成膜及びシリコン電極形成膜を順次形成する工程(a)と、
前記ゲート絶縁膜形成膜及びシリコン電極形成膜をパターニングして複数のゲート絶縁膜及び複数のシリコン電極を形成する工程(b)と、
前記複数のシリコン電極のうちの少なくとも1つに窒素及び酸素の少なくとも一方をイオン注入する工程(c)と、
前記工程(c)よりも後に、前記各シリコン電極の上に金属膜を形成する工程(d)と、
前記各シリコン電極と前記金属膜とを反応させて前記各シリコン電極をシリサイド化することにより、フルシリサイドゲート電極を形成する工程(e)とを備えていることを特徴とする半導体装置の製造方法。
A step (a) of sequentially forming a gate insulating film formation film and a silicon electrode formation film on the semiconductor substrate;
(B) forming a plurality of gate insulating films and a plurality of silicon electrodes by patterning the gate insulating film forming film and the silicon electrode forming film;
A step (c) of implanting at least one of nitrogen and oxygen into at least one of the plurality of silicon electrodes;
A step (d) of forming a metal film on each silicon electrode after the step (c);
A step (e) of forming a full silicide gate electrode by reacting each silicon electrode with the metal film to silicide each silicon electrode. .
前記工程(b)よりも後で且つ前記工程(c)よりも前に、前記各シリコン電極の側面にサイドウォールをそれぞれ形成する工程(f)をさらに備えていることを特徴とする請求項8に記載の半導体装置の製造方法。   9. The method according to claim 8, further comprising a step (f) of forming a sidewall on each side surface of each silicon electrode after the step (b) and before the step (c). The manufacturing method of the semiconductor device as described in any one of Claims 1-3. 前記工程(a)よりも後で且つ前記工程(b)よりも前に、前記シリコン電極形成膜の上にハードマスク膜を形成する工程(g)と、
前記工程(f)よりも後で且つ前記工程(c)よりも前に、前記半導体基板における前記シリコン電極の両側方の部分にソースドレイン領域をそれぞれ形成する工程(h)と、
前記工程(h)よりも後で且つ前記工程(c)よりも前に、前記ソースドレイン領域の上部をシリサイド化する工程(i)と、
前記工程(i)よりも後で且つ前記工程(c)よりも前に、前記ハードマスク膜を除去する工程(j)とをさらに備えていることを特徴とする請求項8に記載の半導体装置の製造方法。
A step (g) of forming a hard mask film on the silicon electrode forming film after the step (a) and before the step (b);
A step (h) of forming source / drain regions in both sides of the silicon electrode in the semiconductor substrate after the step (f) and before the step (c);
A step (i) of siliciding the upper portion of the source / drain region after the step (h) and before the step (c);
9. The semiconductor device according to claim 8, further comprising a step (j) of removing the hard mask film after the step (i) and before the step (c). Manufacturing method.
前記半導体基板の上にゲート絶縁膜形成膜を形成した後、形成したゲート絶縁膜形成膜の上に第1のシリコン膜、シリサイド化抑制膜及び第2のシリコン膜を積層してシリコン電極形成膜を形成する工程(a)と、
前記ゲート絶縁膜形成膜及びシリコン電極形成膜をパターニングして複数のゲート絶縁膜及び複数のシリコン電極を形成する工程(b)と、
前記工程(b)よりも後に、前記各シリコン電極の上に金属膜を形成する工程(c)と、
前記各シリコン電極と前記金属膜とを反応させて前記シリコン電極をシリサイド化することにより、フルシリサイドゲート電極を形成する工程(d)とを備え、
前記シリサイド化抑制膜は、酸化シリコン、窒化シリコン又は酸窒化シリコンからなることを特徴とする半導体装置の製造方法。
After forming a gate insulating film forming film on the semiconductor substrate, a first silicon film, a silicidation suppressing film, and a second silicon film are stacked on the formed gate insulating film forming film to form a silicon electrode forming film Forming step (a);
(B) forming a plurality of gate insulating films and a plurality of silicon electrodes by patterning the gate insulating film forming film and the silicon electrode forming film;
A step (c) of forming a metal film on each of the silicon electrodes after the step (b);
A step (d) of forming a full silicide gate electrode by reacting each of the silicon electrodes with the metal film to silicide the silicon electrode;
The method of manufacturing a semiconductor device, wherein the silicidation suppression film is made of silicon oxide, silicon nitride, or silicon oxynitride.
前記第1のシリコン膜及び第2のシリコン膜のうちの少なくとも一方はアモルファス相を有していることを特徴とする請求項11に記載の半導体装置の製造方法。   12. The method of manufacturing a semiconductor device according to claim 11, wherein at least one of the first silicon film and the second silicon film has an amorphous phase. 前記工程(a)において、前記シリサイド化抑制膜は、前記第1のシリコン膜をウエット酸化処理、ドライ酸化処理又はドライ酸窒化処理することにより形成することを特徴とする請求項11に記載の半導体装置の製造方法。   12. The semiconductor according to claim 11, wherein, in the step (a), the silicidation suppression film is formed by subjecting the first silicon film to wet oxidation treatment, dry oxidation treatment, or dry oxynitridation treatment. Device manufacturing method. 前記半導体基板は、n型トランジスタの形成領域とp型トランジスタの形成領域とを有し、
前記工程(b)よりも後で且つ前記工程(c)よりも前に、前記p型トランジスタの形成領域に形成された前記シリコン電極における前記第2のシリコン膜及びシリサイド化抑制膜を除去する工程をさらに備えていることを特徴とする請求項11に記載の半導体装置の製造方法。
The semiconductor substrate has an n-type transistor formation region and a p-type transistor formation region,
The step of removing the second silicon film and the silicidation suppression film in the silicon electrode formed in the formation region of the p-type transistor after the step (b) and before the step (c). The method of manufacturing a semiconductor device according to claim 11, further comprising:
JP2006240279A 2006-09-05 2006-09-05 Semiconductor device, and method for manufacturing the same Pending JP2008066378A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006240279A JP2008066378A (en) 2006-09-05 2006-09-05 Semiconductor device, and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006240279A JP2008066378A (en) 2006-09-05 2006-09-05 Semiconductor device, and method for manufacturing the same

Publications (1)

Publication Number Publication Date
JP2008066378A true JP2008066378A (en) 2008-03-21

Family

ID=39288837

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006240279A Pending JP2008066378A (en) 2006-09-05 2006-09-05 Semiconductor device, and method for manufacturing the same

Country Status (1)

Country Link
JP (1) JP2008066378A (en)

Similar Documents

Publication Publication Date Title
US8034678B2 (en) Complementary metal oxide semiconductor device fabrication method
KR101521948B1 (en) Semiconductor device and method of manufacturing the same
US7465996B2 (en) Semiconductor device and method for fabricating the same
KR101282343B1 (en) Semiconductor device with metal gate and method for manufacturing the same
KR100757026B1 (en) Method for fabricating semiconductor device
JP2009194352A (en) Semiconductor device fabrication method
JP2007208260A (en) Cmos semiconductor device equipped with double work function metallic gate stack
JP2008060538A (en) Semiconductor device and method of manufacturing same
US8283223B2 (en) Method of manufacturing semiconductor device and semiconductor device
JP2005079223A (en) Semiconductor device and its manufacturing method
US20100279496A1 (en) Manufacturing method of semiconductor device
EP1892759A2 (en) Method for Fabricating dual-metal gate CMOS transistors
KR100596487B1 (en) Semiconductor device and method of manufacturing the same
US20120045892A1 (en) Method for fabricating semiconductor device
JP2010177265A (en) Manufacturing method for semiconductor device
JP2006024894A (en) Semiconductor device having high dielectric constant-gate insulating film, and manufacturing method of the same
JP2009252895A (en) Semiconductor device and method of manufacturing the same
US20080093681A1 (en) Semiconductor device and method for fabricating the same
JP2005158998A (en) Manufacturing method of semiconductor device
US7696585B2 (en) Semiconductor device and manufacturing method of semiconductor device
JP2008205065A (en) Semiconductor device and manufacturing method therefor
JP2006013270A (en) Semiconductor device and its manufacturing method
JP2008130797A (en) Semiconductor device, and manufacturing method thereof
JP2010129926A (en) Semiconductor device and manufacturing method thereof
JP2005294799A (en) Semiconductor device and its manufacturing method