JP2008034539A - Semiconductor device, and manufacturing method thereof - Google Patents

Semiconductor device, and manufacturing method thereof Download PDF

Info

Publication number
JP2008034539A
JP2008034539A JP2006205010A JP2006205010A JP2008034539A JP 2008034539 A JP2008034539 A JP 2008034539A JP 2006205010 A JP2006205010 A JP 2006205010A JP 2006205010 A JP2006205010 A JP 2006205010A JP 2008034539 A JP2008034539 A JP 2008034539A
Authority
JP
Japan
Prior art keywords
film
capacitor
ferroelectric
insulating
upper electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006205010A
Other languages
Japanese (ja)
Other versions
JP4845624B2 (en
Inventor
Fumio O
文生 王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2006205010A priority Critical patent/JP4845624B2/en
Publication of JP2008034539A publication Critical patent/JP2008034539A/en
Application granted granted Critical
Publication of JP4845624B2 publication Critical patent/JP4845624B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Semiconductor Memories (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To cope with the problem that the constituent element of a ferroelectric film is evaporated by heat treatment. <P>SOLUTION: This semiconductor device comprises a semiconductor substrate, an MOS transistor formed on the semiconductor substrate, a lower interlayer insulating film covering the MOS transistor, a ferroelectric capacitor formed above the lower interlayer insulating film and including a capacitor lower electrode, an oxide ferroelectric film formed on the capacitor lower electrode, and a capacitor upper electrode formed on the oxide ferroelectric film, a first insulating capacitor protective film covering at least the upper electrode and the exposed surface of the oxide ferroelectric film and having the function for suppressing the transmission of a reducing material, an evaporation compensating film covering the first insulating capacitor protective film and containing at least one element among the constituent elements other than oxygen of the oxide ferroelectric substance, and a second insulating capacitor protective film covering the evaporation compensating film and having the function for suppressing the transmission of a reducing material. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は半導体装置とその製造方法に関し、特に強誘電体キャパシタを有する半導体装置とその製造方法に関する。   The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly to a semiconductor device having a ferroelectric capacitor and a manufacturing method thereof.

近年,デジタル技術の進展に伴い、大容量のデータを高速に処理、または保存する要望が高い。このため、電子機器に使用される半導体装置の高集積化、高性能化が要求されている。例えば、ダイナミックランダムアクセスメモリ(DRAM)の高集積化を実現するために、酸化シリコン膜または窒化シリコン膜に代え、強誘電体材料膜または高誘電率材料膜をキャパシタ誘電体膜として用いる技術が広く研究、開発されている。   In recent years, with the advancement of digital technology, there is a high demand for processing or storing a large amount of data at high speed. For this reason, high integration and high performance of semiconductor devices used in electronic devices are required. For example, in order to realize high integration of dynamic random access memory (DRAM), a technique using a ferroelectric material film or a high dielectric constant material film as a capacitor dielectric film instead of a silicon oxide film or a silicon nitride film is widely used. Researched and developed.

より低電圧でかつ高速での書き込み、読み出しが可能な不揮発性メモリを実現するため、自発分極特性を有する強誘電体材料膜をキャパシタ誘電体膜として用いる強誘電体メモリ(FeRAM)が盛んに研究開発されている。   Ferroelectric memory (FeRAM) using a ferroelectric material film with spontaneous polarization characteristics as a capacitor dielectric film is actively researched in order to realize a non-volatile memory that can be written and read at a lower voltage and higher speed. Has been developed.

強誘電体メモリは、一対の電極間に強誘電体膜が挟まれた強誘電体キャパシタのヒステリシス特性を利用して情報を記憶する。強誘電体膜は、電極間の印加電圧に応じて分極を生じ、印加電圧が取り去られても自発分極を保持する。印加電圧の極性を反転すれば、自発分極の極性も反転する。自発分極を検出すれば、情報を読み出すことができる。強誘電体メモリはフラッシュメモリに比べて低電圧で動作し、省電力で、高速の書き込みが可能である。   A ferroelectric memory stores information using the hysteresis characteristic of a ferroelectric capacitor in which a ferroelectric film is sandwiched between a pair of electrodes. The ferroelectric film generates polarization according to the applied voltage between the electrodes, and retains spontaneous polarization even when the applied voltage is removed. If the polarity of the applied voltage is reversed, the polarity of the spontaneous polarization is also reversed. Information can be read if spontaneous polarization is detected. A ferroelectric memory operates at a lower voltage than a flash memory, and can save power and write at high speed.

FeRAMは、その構造によりプレーナ型とスタック型とに大別される。プレーナ型では、半導体基板に形成されたMOSトランジスタとキャパシタ下部電極とがキャパシタの上方の金属配線を介して電気的に接続され、キャパシタの占有面積が大きくなりやすい傾向がある。   FeRAM is roughly classified into a planar type and a stack type depending on its structure. In the planar type, the MOS transistor formed on the semiconductor substrate and the capacitor lower electrode are electrically connected via the metal wiring above the capacitor, and the area occupied by the capacitor tends to increase.

スタック型のFeRAMでは、MOSトランジスタのソースドレイン領域につながる導電性プラグの上にキャパシタ下部電極が形成され、その導電性プラグを介して下部電極とMOSトランジスタとが電気的に接続される。このような構造によれば、プレーナ型と比較してキャパシタの占有面積を小さくすることができ、FeRAMの微細化に有利となる。スタック型のFeRAMは、微細化されても優れた強誘電体キャパシタ特性を呈することが求められる。   In the stacked FeRAM, a capacitor lower electrode is formed on a conductive plug connected to a source / drain region of a MOS transistor, and the lower electrode and the MOS transistor are electrically connected through the conductive plug. According to such a structure, the area occupied by the capacitor can be reduced as compared with the planar type, which is advantageous for miniaturization of the FeRAM. The stack type FeRAM is required to exhibit excellent ferroelectric capacitor characteristics even when miniaturized.

強誘電体キャパシタの上部電極を成膜するとき、あるいはキャパシタをパターニングするときには、強誘電体膜が高エネルギのスパッタリング粒子、エッチングガス等による物理的ダメージを受ける。強誘電体膜がダメージを受けると、強誘電体膜の結晶構造の一部が破壊され、容量素子の特性が劣化してしまう。キャパシタのダメージを抑制し、特性劣化を回復させるため、種々の対策が提案されている。   When the upper electrode of the ferroelectric capacitor is formed or when the capacitor is patterned, the ferroelectric film is physically damaged by high energy sputtering particles, etching gas, or the like. When the ferroelectric film is damaged, a part of the crystal structure of the ferroelectric film is destroyed, and the characteristics of the capacitive element are deteriorated. Various countermeasures have been proposed in order to suppress the damage of the capacitor and recover the characteristic deterioration.

特開2003−332536は、下部電極膜、強誘電体膜、上部電極膜を積層し、上部電極膜をパターニングし、酸素雰囲気中で熱処理し、強誘電体膜をパターニングし、酸素雰囲気中で熱処理し、下部電極膜をパターニングし、酸素雰囲気中で熱処理を行い、その後水素の侵入をブロックするため、酸化アルミニウム、又は酸化チタン、PLZT、PZTで形成される水素拡散防止膜を堆積することを開示する。   Japanese Patent Laid-Open No. 2003-332536 laminates a lower electrode film, a ferroelectric film, and an upper electrode film, patterns the upper electrode film, heat-treats in an oxygen atmosphere, patterns the ferroelectric film, and heat-treats in an oxygen atmosphere And patterning the lower electrode film, performing heat treatment in an oxygen atmosphere, and then depositing a hydrogen diffusion prevention film formed of aluminum oxide, titanium oxide, PLZT, or PZT in order to block the entry of hydrogen. To do.

酸素雰囲気中での熱処理により、強誘電体膜に酸素が供給され、結晶性を回復させる。強誘電体膜を水素劣化から保護するためには、水素拡散防止膜として酸化アルミニウム膜等がキャパシタを覆うように形成される。   By heat treatment in an oxygen atmosphere, oxygen is supplied to the ferroelectric film to restore crystallinity. In order to protect the ferroelectric film from hydrogen degradation, an aluminum oxide film or the like is formed as a hydrogen diffusion preventing film so as to cover the capacitor.

特開2001−111007は、強誘電体キャパシタの表面をキャパシタ誘電体膜からの酸素揮発防止機能を有する酸化チタン等の揮発防止膜で覆い、その上に外部から侵入する水素の拡散を防止する酸化アルミニウム等の水素侵入防止膜で覆うカプセル構造を提案する。   Japanese Patent Laid-Open No. 2001-111007 covers the surface of a ferroelectric capacitor with a volatilization preventive film such as titanium oxide having a function of preventing volatilization of oxygen from the capacitor dielectric film, and an oxidation that prevents diffusion of hydrogen entering from the outside on the film. A capsule structure covered with a hydrogen intrusion prevention film such as aluminum is proposed.

特開2005-183843は、PZT強誘電体膜の劣化を回復するために、強誘電体キャパシタ形成後、酸化アルミニウム保護膜を形成し、酸素雰囲気中で回復アニールを行ってPZTに酸素を供給すると共に、PZTからのPbの蒸発を抑制し、さらにその上に第2酸化アルミニウム保護膜を形成する方法を提案する。   Japanese Patent Application Laid-Open No. 2005-183843 discloses that, in order to recover the deterioration of the PZT ferroelectric film, after forming the ferroelectric capacitor, an aluminum oxide protective film is formed, and recovery annealing is performed in an oxygen atmosphere to supply oxygen to PZT. At the same time, a method of suppressing the evaporation of Pb from PZT and further forming a second aluminum oxide protective film thereon is proposed.

特開2003−273332は、PZT強誘電体キャパシタを炭素含有量が異なる2種類の酸化アルミニウム膜で覆う方法を提案する。   Japanese Patent Laid-Open No. 2003-273332 proposes a method of covering a PZT ferroelectric capacitor with two types of aluminum oxide films having different carbon contents.

特開2003−332536号広報JP 2003-332536 A 特開2001−111007号広報JP 2001-111007 PR 特開2005−183843号広報Japanese Laid-Open Patent Publication No. 2005-183843 特開2003−273332号広報JP 2003-273332 A

強誘電体膜をパターニングした後、熱処理を行うと、強誘電体膜の構成元素が蒸発し、強誘電体膜に空位が形成される可能性がある。PZT、PLZT等の強誘電体膜を用いる場合、熱処理によりPb欠損が生じる可能性がある。このような欠損は、強誘電体キャパシタのスイッチング特性を低下させ、初期特性及びリテンション特性を低下させる。半導体集積回路の微細化に伴い、強誘電体キャパシタの強誘電体膜側面の面積比は増加している。従って、半導体集積回路の微細化に伴い、強誘電体膜の構成元素蒸発の問題が増大する。   If heat treatment is performed after patterning the ferroelectric film, the constituent elements of the ferroelectric film may evaporate, and vacancies may be formed in the ferroelectric film. When a ferroelectric film such as PZT or PLZT is used, Pb deficiency may occur due to heat treatment. Such deficiency degrades the switching characteristics of the ferroelectric capacitor, and degrades the initial characteristics and the retention characteristics. With the miniaturization of semiconductor integrated circuits, the area ratio of the ferroelectric film side surface of the ferroelectric capacitor is increasing. Therefore, the problem of evaporation of the constituent elements of the ferroelectric film increases with the miniaturization of the semiconductor integrated circuit.

本発明の目的は、熱処理により、強誘電体膜の構成元素が蒸発する問題に対処することのできる半導体装置及びその製造方法を提供することである。   An object of the present invention is to provide a semiconductor device and a method for manufacturing the same that can cope with the problem of evaporation of constituent elements of a ferroelectric film by heat treatment.

本発明の1観点によれば、
半導体基板と、
前記半導体基板に形成されたMOSトランジスタと、
前記MOSトランジスタを覆う下部層間絶縁膜と、
前記下部層間絶縁膜上方に形成され、キャパシタ下部電極と、前記キャパシタ下部電極上に形成された酸化物強誘電体膜と、前記酸化物強誘電体膜上に形成されたキャパシタ上部電極と、を含む強誘電体キャパシタと、
少なくとも、前記上部電極と前記酸化物強誘電体膜の露出した表面を覆う、還元性物質の透過を抑制する機能を有する第1絶縁性キャパシタ保護膜と、
前記第1絶縁性キャパシタ保護膜を覆い、前記酸化物強誘電体の酸素以外の構成元素の内、最も蒸発しやすい元素を含む、蒸発補償膜と、
前記蒸発補償膜を覆う、還元性物質の透過を抑制する機能を有する第2絶縁性キャパシタ保護膜と、
を有する半導体装置
が提供される。
According to one aspect of the present invention,
A semiconductor substrate;
A MOS transistor formed on the semiconductor substrate;
A lower interlayer insulating film covering the MOS transistor;
A capacitor lower electrode formed on the lower interlayer insulating film; an oxide ferroelectric film formed on the capacitor lower electrode; and a capacitor upper electrode formed on the oxide ferroelectric film. Including a ferroelectric capacitor,
A first insulating capacitor protective film that covers at least the exposed surface of the upper electrode and the oxide ferroelectric film and has a function of suppressing transmission of a reducing substance;
An evaporation compensation film that covers the first insulating capacitor protective film and contains an element that is most easily evaporated among constituent elements other than oxygen of the oxide ferroelectric;
A second insulating capacitor protective film covering the evaporation compensation film and having a function of suppressing transmission of a reducing substance;
A semiconductor device is provided.

本発明の他の観点によれば、
(a)半導体基板にMOSトランジスタを形成する工程と、
(b)前記MOSトランジスタを覆って、前記半導体基板上に下部層間絶縁膜を形成する工程と、
(c)前記下部層間絶縁膜上方にキャパシタ下部電極膜と、前記キャパシタ下部電極上に酸化物強誘電体のキャパシタ誘電体膜と、前記キャパシタ誘電体膜上に、キャパシタ上部電極膜とを積層する工程と、
(d)少なくとも前記キャパシタ上部電極膜をパターニングする工程と、
(e)前記キャパシタ上部電極膜、前記キャパシタ誘電体膜の露出された表面を覆って、還元性物質の透過を抑制する機能を有する第1絶縁性キャパシタ保護膜を形成する工程と、
(f)前記第1絶縁性キャパシタ保護膜を覆って、前記酸化物強誘電体の酸素以外の構成元素の内、最も蒸発しやすい元素を含む、蒸発補償膜を形成する工程と、
(g)前記工程(f)の後、酸化性雰囲気中で熱処理する工程と、
(h)前記工程(g)の後、前記蒸発補償膜を覆って、還元性物質の透過を抑制する機能を有する第2絶縁性キャパシタ保護膜を形成する工程と、
を有する半導体装置の製造方法
が提供される。
According to another aspect of the invention,
(A) forming a MOS transistor on a semiconductor substrate;
(B) forming a lower interlayer insulating film on the semiconductor substrate so as to cover the MOS transistor;
(C) Laminating a capacitor lower electrode film above the lower interlayer insulating film, an oxide ferroelectric capacitor dielectric film on the capacitor lower electrode, and a capacitor upper electrode film on the capacitor dielectric film. Process,
(D) patterning at least the capacitor upper electrode film;
(E) forming a first insulating capacitor protective film having a function of covering the exposed surface of the capacitor upper electrode film and the capacitor dielectric film and suppressing permeation of a reducing substance;
(F) forming an evaporation compensation film that covers the first insulating capacitor protective film and includes an element that is most easily evaporated among constituent elements other than oxygen of the oxide ferroelectric;
(G) After the step (f), a step of heat-treating in an oxidizing atmosphere;
(H) After the step (g), forming a second insulating capacitor protective film having a function of covering the evaporation compensation film and suppressing the permeation of the reducing substance;
A method of manufacturing a semiconductor device having the above is provided.

強誘電体膜の露出した表面を水素拡散防止機能を有するキャパシタ保護膜で覆い、さらに強誘電体膜の酸素以外の構成元素のうち最も蒸発しやすい元素を含む蒸発保障膜を形成することにより、蒸発保障膜から導入される構成元素が、強誘電体膜から蒸発する構成元素を補償する。蒸発補償膜をさらに水素拡散防止機能を有する保護膜で覆うことにより、蒸発補償膜から蒸発した構成元素が外部に逃散することを低減することができる。   By covering the exposed surface of the ferroelectric film with a capacitor protective film having a hydrogen diffusion preventing function, and further forming an evaporation protection film containing the most easily evaporated element among the constituent elements other than oxygen of the ferroelectric film, The constituent element introduced from the evaporation protection film compensates for the constituent element evaporated from the ferroelectric film. By covering the evaporation compensation film with a protective film having a function of preventing hydrogen diffusion, it is possible to reduce the escape of constituent elements evaporated from the evaporation compensation film to the outside.

以下、図面を参照して本発明の実施例を説明する。スイッチングトランジスタ1つとキャパシタ1つでメモリセル1つを構成する場合、2つのメモリセルのトランジスタの電流端子領域を共用することができる。例えば、2つのMOSトランジスタのソース領域を共通としてビット線に接続し、各ドレイン領域にキャパシタを接続して2つのメモリセルを形成することができる。基板面積利用率を向上することができる。DRAMにおいて、広く用いられている接続形式である。以下に説明する本発明の実施例においても、この接続形式を採用する。   Embodiments of the present invention will be described below with reference to the drawings. When one memory cell is constituted by one switching transistor and one capacitor, the current terminal regions of the transistors of the two memory cells can be shared. For example, two memory cells can be formed by connecting the source regions of two MOS transistors to a bit line in common and connecting a capacitor to each drain region. The substrate area utilization rate can be improved. This is a connection format widely used in DRAM. This connection type is also adopted in the embodiments of the present invention described below.

図1A−1Tを参照して、本発明の第1の実施例によるスタック型FeRAMの製造方法を説明する。この製造方法によって製造される半導体装置の構成も、本発明の第1の実施例である。   With reference to FIGS. 1A to 1T, description will be made on a stacked FeRAM manufacturing method according to a first embodiment of the present invention. The configuration of the semiconductor device manufactured by this manufacturing method is also the first embodiment of the present invention.

図1Aに示すように、n型またはp型シリコンからなる基板1の表層部に素子分離溝を形成し、溝表面を酸化してライナを形成した後、例えば高密度プラズマ(HDP)CVDにより酸化シリコン膜を埋め込み、不要部をCMPで除去し、マスク/ストッパを除去してシャロートレンチアイソレーション(STI)による素子分離領域2を形成し、活性領域を画定する。素子分離領域を、STIに代え、LOCOSにより形成してもよい。活性領域の表層部にp型不純物を注入することにより、p型ウェル3を形成する。   As shown in FIG. 1A, an element isolation groove is formed in the surface layer portion of a substrate 1 made of n-type or p-type silicon, and the groove surface is oxidized to form a liner, and then oxidized by, for example, high density plasma (HDP) CVD. A silicon film is buried, unnecessary portions are removed by CMP, a mask / stopper is removed, an element isolation region 2 is formed by shallow trench isolation (STI), and an active region is defined. The element isolation region may be formed by LOCOS instead of STI. A p-type well 3 is formed by implanting p-type impurities into the surface layer portion of the active region.

p型ウェルで構成される各活性領域内に2つのMOSトランジスタ5を形成し、各MOSトランジスタに1つの強誘電体キャパシタを接続する。以下、MOSトランジスタ5の形成方法について簡単に説明する。   Two MOS transistors 5 are formed in each active region constituted by a p-type well, and one ferroelectric capacitor is connected to each MOS transistor. Hereinafter, a method for forming the MOS transistor 5 will be briefly described.

活性領域の表層部を熱酸化することによりゲート絶縁膜となるSiO膜を形成する。基板上に、非晶質または多結晶シリコンからなるシリコン膜を形成し、パターニングすることにより、ゲート電極5Gを形成する。平面視において、1つの活性領域を、2本のゲート電極がほぼ平行に横切る。ゲート電極は、ワード線を兼ねる。 A SiO 2 film to be a gate insulating film is formed by thermally oxidizing the surface layer portion of the active region. A gate electrode 5G is formed by forming and patterning a silicon film made of amorphous or polycrystalline silicon on the substrate. In a plan view, two gate electrodes cross one active region substantially in parallel. The gate electrode also serves as a word line.

ゲート電極5Gをマスクとしてn型不純物をイオン注入することにより、ソース領域5S及びドレイン領域5Dのエクステンション部を形成する。ゲート電極5Gの側面に酸化シリコン等によりサイドウォールスペーサを形成する。ゲート電極5Gとサイドウォールスペーサとをマスクとして、n型不純物をイオン注入することにより、ソース領域5S及びドレイン領域5Dの深い高濃度領域を形成する。ここまでの工程で、MOSトランジスタ5が形成される。   N-type impurities are ion-implanted using the gate electrode 5G as a mask to form extension portions of the source region 5S and the drain region 5D. Sidewall spacers are formed on the side surfaces of the gate electrode 5G using silicon oxide or the like. By using the gate electrode 5G and the sidewall spacer as a mask, n-type impurities are ion-implanted to form a deep high concentration region of the source region 5S and the drain region 5D. Through the steps so far, the MOS transistor 5 is formed.

次に、基板上に、コバルト(Co)等の高融点金属からなる膜をスパッタリングにより形成する。熱処理を行うことにより、高融点金属膜とシリコンとを反応させ、ゲート電極5G、ソース領域5S、及びドレイン領域5Dの上面に、高融点金属シリサイド膜を形成する。その後、未反応の高融点金属膜を除去し、必要に応じてさらに熱処理してシリサイド膜6を形成する。ソース/ドレイン領域5S,5Dが低抵抗化されると共に、ゲート電極も低抵抗化される。   Next, a film made of a refractory metal such as cobalt (Co) is formed on the substrate by sputtering. By performing heat treatment, the refractory metal film reacts with silicon, and a refractory metal silicide film is formed on the upper surfaces of the gate electrode 5G, the source region 5S, and the drain region 5D. Thereafter, the unreacted refractory metal film is removed and further heat-treated as necessary to form a silicide film 6. The resistance of the source / drain regions 5S and 5D is reduced, and the resistance of the gate electrode is also reduced.

MOSトランジスタ5を覆うように、基板上に、厚さ200nmのSiONのカバー絶縁膜11を、プラズマCVDにより形成する。さらに、カバー絶縁膜11の上に、例えば厚さ1000nmのSiOの層間絶縁膜12を形成する。層間絶縁膜12は、例えば酸素(O)とテトラエチルオルソシリケート(TEOS)とを用いたプラズマCVDにより形成される。その後、層間絶縁膜12の表面を、化学機械研磨(CMP)により平坦化する。平坦化された後に、基板の平坦部における厚さが約700nmになるようにCMPの制御を行う。 A cover insulating film 11 of SiON having a thickness of 200 nm is formed on the substrate so as to cover the MOS transistor 5 by plasma CVD. Further, an SiO 2 interlayer insulating film 12 having a thickness of 1000 nm, for example, is formed on the cover insulating film 11. The interlayer insulating film 12 is formed by plasma CVD using, for example, oxygen (O 2 ) and tetraethylorthosilicate (TEOS). Thereafter, the surface of the interlayer insulating film 12 is planarized by chemical mechanical polishing (CMP). After planarization, CMP is controlled so that the thickness of the flat portion of the substrate is about 700 nm.

層間絶縁膜12及びカバー絶縁膜11を貫通して、ドレイン領域5D上のシリサイド膜6、及びソース領域5S上のシリサイド膜6まで達するコンタクト孔を形成する。コンタクト孔の直径は、例えば0.25μmとする。   Contact holes that penetrate through the interlayer insulating film 12 and the cover insulating film 11 to reach the silicide film 6 on the drain region 5D and the silicide film 6 on the source region 5S are formed. The diameter of the contact hole is, for example, 0.25 μm.

スパッタリングにより、コンタクト孔の内面、及び層間絶縁膜12の上面を、厚さ30nmのTi膜と、厚さ20nmのTiN膜の2層で覆う。さらにその上に、CVDによりコンタクト孔内が完全に埋め尽くされるまでW膜を形成する。W膜の厚さは、例えば300nmとすればよい。層間絶縁膜12上の余分なW膜、TiN膜、及びTi膜をCMPで除去することにより、コンタクト孔内に、Ti膜とTiN膜からなる密着層、及びW膜からなる導電性プラグ15、16を残す。導電性プラグ15及び16は、それぞれドレイン領域5D及びソース領域5Sに接続される。   By sputtering, the inner surface of the contact hole and the upper surface of the interlayer insulating film 12 are covered with two layers of a Ti film having a thickness of 30 nm and a TiN film having a thickness of 20 nm. Further thereon, a W film is formed until the contact hole is completely filled by CVD. The thickness of the W film may be 300 nm, for example. By removing the excess W film, TiN film, and Ti film on the interlayer insulating film 12 by CMP, in the contact hole, an adhesion layer composed of a Ti film and a TiN film, and a conductive plug 15 composed of a W film, Leave 16. Conductive plugs 15 and 16 are connected to drain region 5D and source region 5S, respectively.

図1Bに示すように、層間絶縁膜12の上に、厚さ130nmのSiONからなる酸化防止膜21をプラズマCVDにより形成する。なお、SiONに代えて、SiNまたはAlOからなる酸化防止膜21を形成してもよい。さらにその上に、SiOからなる厚さ300nmの層間絶縁膜22を、OとTEOSとを用いたプラズマCVDにより形成する。 As shown in FIG. 1B, an antioxidant film 21 made of SiON having a thickness of 130 nm is formed on the interlayer insulating film 12 by plasma CVD. Instead of SiON, an antioxidant film 21 made of SiN or AlO may be formed. Further thereon, an interlayer insulating film 22 made of SiO 2 and having a thickness of 300 nm is formed by plasma CVD using O 2 and TEOS.

図1Cに示すように、層間絶縁膜22及び酸化防止膜21に、その下の導電性プラグ15を露出させるコンタクト孔を形成する。このコンタクト孔の内面を密着膜で覆うと共に、コンタクト孔内にWを埋め込み、導電性プラグ25を形成する。この導電性プラグ25は、その下の導電性プラグ15と同一の方法で形成できる。なお、Wプラグに代え、ポリSiプラグを用いることもできる。   As shown in FIG. 1C, contact holes are formed in the interlayer insulating film 22 and the antioxidant film 21 to expose the conductive plugs 15 therebelow. The inner surface of the contact hole is covered with an adhesive film, and W is embedded in the contact hole to form a conductive plug 25. The conductive plug 25 can be formed by the same method as the conductive plug 15 below. A poly-Si plug can be used instead of the W plug.

余分なW膜及び密着膜を除去するためのCMPは、W膜及び密着膜の研磨速度が、層間絶縁膜22の研磨速度よりも速い条件で行う。例えば、スラリとして、Cabot Microelectronics Corporation製のSSW2000を使用する。また、層間絶縁膜22の上に密着膜やW膜が残らないように、ややオーバ研磨を行う。このため、導電性プラグ25の上面が、その周囲の層間絶縁膜22の上面よりも低くなり、窪み25aが発生する。この窪み25aの深さは、例えば20nm〜50nmであり、典型的には約50nmである。   The CMP for removing the excess W film and the adhesion film is performed under the condition that the polishing rate of the W film and the adhesion film is faster than the polishing rate of the interlayer insulating film 22. For example, SSW2000 manufactured by Cabot Microelectronics Corporation is used as the slurry. Further, over-polishing is performed slightly so that no adhesion film or W film remains on the interlayer insulating film 22. For this reason, the upper surface of the conductive plug 25 becomes lower than the upper surface of the surrounding interlayer insulating film 22, and the dent 25a is generated. The depth of the recess 25a is, for example, 20 nm to 50 nm, and typically about 50 nm.

CMP後、層間絶縁膜22の上面及び導電性プラグ25の上面を、アンモニア(NH)のプラズマに晒す。このプラズマ処理は、平行平板型プラズマ処理装置を用い、例えば下記の条件で行う。
・基板表面と対向電極との間隔 約9mm(350mils);
・圧力 266Pa(2Torr);
・基板温度:400℃;
・NHガス流量:350sccm;
・基板側電極に供給する13.56MHzのRFパワー 100W;
・対向電極に供給する350kHzのRFパワー 55W;
・処理時間 60秒。
NHプラズマ処理により、酸化シリコン膜表面の酸素原子にNH基が結合する。
After the CMP, the upper surface of the interlayer insulating film 22 and the upper surface of the conductive plug 25 are exposed to ammonia (NH 3 ) plasma. This plasma processing is performed using, for example, a parallel plate type plasma processing apparatus under the following conditions.
The distance between the substrate surface and the counter electrode is about 9 mm (350 mils);
Pressure 266 Pa (2 Torr);
-Substrate temperature: 400 ° C;
NH 3 gas flow rate: 350 sccm;
-RF power of 13.56 MHz supplied to the substrate side electrode 100 W;
-350 kHz RF power 55 W supplied to the counter electrode;
・ Processing time 60 seconds.
By NH 3 plasma treatment, NH groups are bonded to oxygen atoms on the surface of the silicon oxide film.

図1Dに示すように、プラズマ処理した表面上に、厚さ100nmのTi膜を、DCスパッタリングにより形成する。スパッタリング条件は、例えば下記の通りである。
・ターゲット Ti;
・基板とターゲットとの間隔 60mm;
・Arガス圧 0.15Pa;
・基板温度 20℃;
・スパッタパワー 2.6kW;
・成膜時間 35秒。
酸化シリコン膜表面の酸素原子にNH基が結合しているので、表面に付着したTi原子は、酸素原子に捕獲されることなく、表面を自在にマイグレーションすることができる。その結果、層間絶縁膜表面に、六方稠密構造を有し、(002)配向に自己組織化されたTi膜が得られる。
As shown in FIG. 1D, a Ti film having a thickness of 100 nm is formed on the plasma-treated surface by DC sputtering. The sputtering conditions are, for example, as follows.
-Target Ti;
-Distance between substrate and target 60mm;
Ar gas pressure 0.15 Pa;
-Substrate temperature 20 ° C;
・ Sputter power 2.6 kW;
Deposition time 35 seconds.
Since NH groups are bonded to oxygen atoms on the surface of the silicon oxide film, Ti atoms attached to the surface can freely migrate on the surface without being captured by oxygen atoms. As a result, a Ti film having a hexagonal close-packed structure on the surface of the interlayer insulating film and self-organized in (002) orientation is obtained.

次に、窒素雰囲気中で、ラピッドサーマルアニール(RTA)を行う。RTAの条件は、例えば下記のとおりである。
・アニール温度 650℃;
・処理時間 60秒。
このアニールにより、Ti膜が窒化されて、面心立方構造を有し、(111)配向したTiNからなる下地導電膜30が得られる。なお、下地導電膜30の厚さを100nm〜300nmの範囲内としてもよい。この段階では、下地導電膜30の表面には、下地表面の窪み25aを反映して、導電性プラグ25の上方に窪みが発生している。下地導電膜30のCMPを行うことにより、その表面を平坦化する。例えば、スラリとして、Cabot Microelectronics Corporation製のSSW2000を使用する。CMP後の下地導電膜30の厚さを、50nm〜100nm、典型的には約50nmとする。
Next, rapid thermal annealing (RTA) is performed in a nitrogen atmosphere. The RTA conditions are, for example, as follows.
-Annealing temperature 650 ° C;
・ Processing time 60 seconds.
By this annealing, the Ti film is nitrided to obtain the base conductive film 30 made of TiN having a face-centered cubic structure and (111) orientation. Note that the thickness of the base conductive film 30 may be in the range of 100 nm to 300 nm. At this stage, a depression is generated above the conductive plug 25 on the surface of the underlying conductive film 30 reflecting the depression 25a on the underlying surface. The surface of the underlying conductive film 30 is planarized by CMP. For example, SSW2000 manufactured by Cabot Microelectronics Corporation is used as the slurry. The thickness of the underlying conductive film 30 after CMP is set to 50 nm to 100 nm, typically about 50 nm.

CMPを行った下地導電層は、表面付近の結晶が研磨によって歪んだ状態になっている。このまま、下地導電層上に強誘電体キャパシタの下部電極を形成すると、下地導電層の歪が下部電極に伝達され、下部電極の結晶性、さらにはその上の強誘電体膜の結晶性に影響を与える。これを回避するため、CMP後、平坦化された下地導電膜30の表面を、NHプラズマに晒す。これにより、CMP時に下地導電膜30の表層部に発生した結晶歪が修復される。 The ground conductive layer subjected to CMP has a crystal near the surface distorted by polishing. If the lower electrode of the ferroelectric capacitor is formed on the underlying conductive layer as it is, the strain of the underlying conductive layer is transmitted to the lower electrode, which affects the crystallinity of the lower electrode and the ferroelectric film thereon. give. In order to avoid this, the surface of the planarized underlying conductive film 30 is exposed to NH 3 plasma after CMP. Thereby, the crystal distortion generated in the surface layer portion of the underlying conductive film 30 during CMP is repaired.

なお、下地導電膜として、窒化チタンに代え、タングステン、シリコン、銅のいずれかを用いることもできる。但し、結晶性向上のためには、アンモニアプラズマ処理とTiN膜の組み合わせが好ましい。   Note that any of tungsten, silicon, and copper can be used as the base conductive film instead of titanium nitride. However, a combination of ammonia plasma treatment and a TiN film is preferable for improving crystallinity.

図1Eに示すように、NHプラズマにより結晶の歪が解消された下地導電膜30の上に、スパッタリングにより、例えば厚さ20nmのTi膜を形成する。このTi膜は、密着膜として機能する結晶性導電膜となる。さらに、窒素雰囲気中でRTAを行う。RTAの条件は、例えば下記のとおりである。
・アニール温度 650℃;
・処理時間 60秒。
このアニールにより、Ti膜が窒化されて、面心立方構造を有し、(111)配向したTiNからなる結晶性向上膜31が得られる。
As shown in FIG. 1E, a Ti film having a thickness of, for example, 20 nm is formed by sputtering on the underlying conductive film 30 from which crystal distortion has been eliminated by NH 3 plasma. This Ti film becomes a crystalline conductive film functioning as an adhesion film. Further, RTA is performed in a nitrogen atmosphere. The RTA conditions are, for example, as follows.
-Annealing temperature 650 ° C;
・ Processing time 60 seconds.
By this annealing, the Ti film is nitrided, and the crystallinity improving film 31 made of TiN having a face-centered cubic structure and (111) orientation is obtained.

なお、結晶性向上膜として、TiNに代え、Ir,Ptなどを用いることもできる。厚さは20nm程度が望ましい。   As the crystallinity improving film, Ir, Pt or the like can be used instead of TiN. The thickness is preferably about 20 nm.

図1Fに示すように、結晶性向上膜31の上に、厚さ100nmのTiAlNからなる酸素バリア膜33を、TiAl合金ターゲットを用いた反応性スパッタリングにより、形成する。スパッタリング条件は、例えば下記のとおりである。
・Arガス流量 40sccm;
・Nガス流量 10sccm;
・圧力 253.3Pa;
・基板温度 400℃;
・スパッタパワー 1.0kW。
As shown in FIG. 1F, an oxygen barrier film 33 made of TiAlN having a thickness of 100 nm is formed on the crystallinity improving film 31 by reactive sputtering using a TiAl alloy target. The sputtering conditions are, for example, as follows.
-Ar gas flow rate 40 sccm;
-N 2 gas flow rate 10 sccm;
Pressure 253.3 Pa;
-Substrate temperature 400 ° C;
-Sputter power 1.0 kW.

酸素バリア膜33の上に、Irからなる厚さ100nmの下部電極36をスパッタリングにより形成する。スパッタリングの条件は、例えば下記のとおりである。
・Ar雰囲気圧力 0.11Pa;
・基板温度500℃;
・スパッタパワー 0.5kW。
A lower electrode 36 made of Ir and having a thickness of 100 nm is formed on the oxygen barrier film 33 by sputtering. The sputtering conditions are, for example, as follows.
-Ar atmosphere pressure 0.11 Pa;
-Substrate temperature 500 ° C;
・ Sputter power 0.5kW.

下部電極36の成膜後、Ar雰囲気中で、かつ下部電極36の成膜温度よりも高い温度で、RTAによる熱処理を行う。具体的には下記の条件でRTAを行う。
・温度 650℃;
・処理時間 60秒。
この熱処理により、下部電極の結晶性を向上できる。結晶性の面内分布も向上できる。この熱処理により、酸素バリア膜33の構成元素であるAlと、上部電極36の構成元素であるIrとが反応して、両者の界面に、IrAl合金からなる中間層34が形成される。中間層34は、酸素バリア膜33と上部電極36との密着性を向上させる。なお、熱処理の雰囲気は、Arに代え、他の不活性ガス、例えば窒素やHeを用いてもよい。下部電極としては、Ir、Pt等の白金族の金属、あるいはPtO,IrO,SrRuO等の導電性酸化物、またはこれらの積層を用いることができる。下部電極36をPtまたはPtOで形成した場合には、PtAl合金を含む中間層34が形成される。下部電極36をSrRuOで形成した場合には、RuAl合金を含む中間層34が形成される。
After the film formation of the lower electrode 36, heat treatment by RTA is performed in an Ar atmosphere and at a temperature higher than the film formation temperature of the lower electrode 36. Specifically, RTA is performed under the following conditions.
-Temperature 650 ° C;
・ Processing time 60 seconds.
This heat treatment can improve the crystallinity of the lower electrode. The in-plane distribution of crystallinity can also be improved. By this heat treatment, Al, which is a constituent element of the oxygen barrier film 33, reacts with Ir, which is a constituent element of the upper electrode 36, and an intermediate layer 34 made of an IrAl alloy is formed at the interface between them. The intermediate layer 34 improves the adhesion between the oxygen barrier film 33 and the upper electrode 36. Note that the atmosphere of the heat treatment may be replaced with other inert gas such as nitrogen or He instead of Ar. As the lower electrode, a platinum group metal such as Ir or Pt, a conductive oxide such as PtO, IrO x , or SrRuO 3 , or a laminate thereof can be used. When the lower electrode 36 is formed of Pt or PtO, an intermediate layer 34 containing a PtAl alloy is formed. When the lower electrode 36 is formed of SrRuO 3 , an intermediate layer 34 containing a RuAl alloy is formed.

図1Gに示すように、下部電極36の上に、PZTからなる強誘電体膜37を、有機金属化学気相成長(MOCVD)により形成する。以下、強誘電体膜37の形成方法について説明する。   As shown in FIG. 1G, a ferroelectric film 37 made of PZT is formed on the lower electrode 36 by metal organic chemical vapor deposition (MOCVD). Hereinafter, a method for forming the ferroelectric film 37 will be described.

Pb原料として、Pb(C1119[Pb(DPM)2]をテトラヒドロフラン(THF)に溶解させた濃度0.3モル/リットルの液体原料を用いる。Zr原料として、Zr(C15[Zr(dmhd)4]をTHFに溶解させた濃度0.3モル/リットルの液体原料を用いる。Ti原料として、Ti(CO)(C1119[Ti(O−iOr)2(DPM)2]をTHFに溶解させた濃度0.3モル/リットルの液体原料を用いる。これらの液体原料を、0.474ml/分のTHF溶媒とともに、MOCVD装置の気化器に供給する。Pb原料、Zr原料、及びTi原料の流量は、それぞれ0.326ml/分、0.200ml/分、及び0.200ml/分とする。 As the Pb raw material, a liquid raw material having a concentration of 0.3 mol / liter in which Pb (C 11 H 19 O 2 ) 2 [Pb (DPM) 2] is dissolved in tetrahydrofuran (THF) is used. As the Zr raw material, a liquid raw material having a concentration of 0.3 mol / liter in which Zr (C 9 H 15 O 2 ) 4 [Zr (dmhd) 4] is dissolved in THF is used. As a Ti raw material, Ti (C 3 H 7 O) 2 (C 11 H 19 O 2 ) 2 [Ti (O—iOr) 2 (DPM) 2] is dissolved in THF and has a concentration of 0.3 mol / liter. Use raw materials. These liquid raw materials are supplied to the vaporizer of the MOCVD apparatus together with the THF solvent at 0.474 ml / min. The flow rates of the Pb raw material, the Zr raw material, and the Ti raw material are 0.326 ml / min, 0.200 ml / min, and 0.200 ml / min, respectively.

強誘電体膜37を形成すべき基板を、MOCVD装置のチャンバ内に装填する。チャンバ内の圧力を665Pa(5Torr)、基板温度を620℃とする。気化した原料ガスをチャンバ内に供給し、620秒間、成膜を行う。これにより、厚さ100nmのPZT膜が形成される。   The substrate on which the ferroelectric film 37 is to be formed is loaded into the chamber of the MOCVD apparatus. The pressure in the chamber is 665 Pa (5 Torr), and the substrate temperature is 620 ° C. The vaporized source gas is supplied into the chamber, and film formation is performed for 620 seconds. Thereby, a PZT film having a thickness of 100 nm is formed.

次いで、スパッタリングにより、厚さ1nm〜30nm、典型的には20nmのアモルファス相の第2PZT膜を形成する。アモルファス相のPZT膜を配置することにより、リーク電流を低減させることができる。なお、MOCVDでアモルファス強誘電体膜を形成することもできる。   Next, a second PZT film having an amorphous phase with a thickness of 1 nm to 30 nm, typically 20 nm, is formed by sputtering. By disposing an amorphous phase PZT film, leakage current can be reduced. An amorphous ferroelectric film can also be formed by MOCVD.

図1Hに示すように、強誘電体膜37の上に、第1導電性酸化膜38a、第2導電性酸化膜38b、第3導電性酸化膜38cで構成される3層構成の上部電極38を形成する。   As shown in FIG. 1H, an upper electrode 38 having a three-layer structure including a first conductive oxide film 38a, a second conductive oxide film 38b, and a third conductive oxide film 38c on the ferroelectric film 37. Form.

強誘電体膜37の上に、第1上部電極38aを成膜する。例えば、厚さ20nm〜70nmのIrO膜を成膜時点で結晶化した状態でリアクティブスパッタリングにより成膜する。成膜条件は、例えば以下の通りである。
・ターゲット:Ir
・成膜時の基板温度:300℃、
・成膜ガス:Ar+O
・流量:[Ar]=140sccm、[O]=60sccm、
・流量比:[O]/[Ar]=0.43、
・スパッタリングパワー:1kW〜2kW程度。
A first upper electrode 38 a is formed on the ferroelectric film 37. For example, an IrO x film having a thickness of 20 nm to 70 nm is formed by reactive sputtering in a state of being crystallized at the time of film formation. The film forming conditions are, for example, as follows.
・ Target: Ir
-Substrate temperature during film formation: 300 ° C
・ Deposition gas: Ar + O 2
Flow rate: [Ar] = 140 sccm, [O 2 ] = 60 sccm,
Flow rate ratio: [O 2 ] / [Ar] = 0.43
Sputtering power: about 1 kW to 2 kW.

このリアクティブスパッタリングにより成膜される第1導電性酸化膜38aのIrOは、化学量論的組成(x=2)よりも酸素組成xが少ない組成となる。 IrO x of the first conductive oxide film 38a formed by this reactive sputtering has a composition having a smaller oxygen composition x than the stoichiometric composition (x = 2).

第1導電性酸化膜38aの成膜後、下記の条件でRTAを行う。
・処理温度 725℃;
・雰囲気 O流量20sccm+Ar流量2000sccm;
・処理時間 60秒。
After forming the first conductive oxide film 38a, RTA is performed under the following conditions.
-Processing temperature: 725 ° C;
Atmosphere O 2 flow rate 20 sccm + Ar flow rate 2000 sccm;
・ Processing time 60 seconds.

この熱処理により、強誘電体膜37を完全に結晶化し、同時に、第1導電性酸化膜38aを形成するときにPZT膜37がプラズマに晒されることによって受けたダメージが回復し、PZT膜中の酸素欠損が補償される。   By this heat treatment, the ferroelectric film 37 is completely crystallized, and at the same time, the damage received by the exposure of the PZT film 37 to the plasma when the first conductive oxide film 38a is formed is recovered. Oxygen deficiency is compensated.

第1導電性酸化膜38aの上に、厚さ30nm−100nmの第2導電性酸化膜(IrO膜)38b、厚さ50−150nmの第3の導電性酸化膜(IrO膜)あるいは導電性貴金属膜38cを形成する。第2の導電性酸化膜の酸素組成yを化学量論的組成(2)に近い値とし、第3の導電性酸化膜の酸素組成zをyより低い値とすることにより、異常成長を抑制し、酸素空位の発生を抑制することができる。 On the first conductive oxide film 38a, a second conductive oxide film (IrO y film) 38b having a thickness of 30 nm to 100 nm, a third conductive oxide film (IrO z film) having a thickness of 50 to 150 nm, or conductive The noble metal film 38c is formed. Abnormal growth is suppressed by setting the oxygen composition y of the second conductive oxide film to a value close to the stoichiometric composition (2) and the oxygen composition z of the third conductive oxide film to a value lower than y. In addition, the generation of oxygen vacancies can be suppressed.

例えば、第1導電性酸化膜38aの上に、厚さ30nm〜100nmのIrO膜の第2導電性酸化膜38bをリアクティブスパッタリングで成膜する。成膜条件は、例えば以下の通りである。
・ターゲット:Ir
・成膜時の基板温度:20℃、
・成膜ガス:Ar+O
・流量:[Ar]=100sccm、[O]=100sccm、
・流量比:[O]/[Ar]=1.00、
・スパッタリングパワー:1kW〜2kW程度。
For example, a second conductive oxide film 38b of an IrO y film having a thickness of 30 nm to 100 nm is formed on the first conductive oxide film 38a by reactive sputtering. The film forming conditions are, for example, as follows.
・ Target: Ir
-Substrate temperature during film formation: 20 ° C
・ Deposition gas: Ar + O 2
Flow rate: [Ar] = 100 sccm, [O 2 ] = 100 sccm,
Flow rate ratio: [O 2 ] / [Ar] = 1.00
Sputtering power: about 1 kW to 2 kW.

第2導電性酸化膜38bのIrOは異常成長せず、きれいなアモルファス膜が得られた。第2導電性酸化膜38bのIrOは、酸素組成yが第1導電性酸化膜IrOの酸素組成xより高く、y>x、より化学量論的組成に近い値となる。 IrO y of the second conductive oxide film 38b did not grow abnormally, and a clean amorphous film was obtained. IrO y of the second conductive oxide film 38b has an oxygen composition y higher than the oxygen composition x of the first conductive oxide film IrO x , y> x, and a value closer to the stoichiometric composition.

第2導電性酸化膜38bの成膜後。下記の条件でRTAを行う。
・処理温度:700℃、
・雰囲気:O流量20sccm+Ar流量2000sccm、
・処理時間:60秒、
この熱処理により、第2導電性酸化膜38bを完全に結晶化させると同時に、第1導電性酸化膜と第2導電性酸化膜の密着性を向上する。
After the formation of the second conductive oxide film 38b. RTA is performed under the following conditions.
-Processing temperature: 700 ° C
Atmosphere: O 2 flow rate 20 sccm + Ar flow rate 2000 sccm,
・ Processing time: 60 seconds
By this heat treatment, the second conductive oxide film 38b is completely crystallized, and at the same time, the adhesion between the first conductive oxide film and the second conductive oxide film is improved.

IrOの第2導電性酸化膜38bの上に、さらに厚さ50nm〜150nmのIrOの第3導電性酸化膜あるいは貴金属膜38cを成膜する。導電性酸化膜の成膜条件は、例えば以下の通りである。
・ターゲット:Ir
・成膜時の基板温度:300℃、
・成膜ガス:Ar+O
・流量:[Ar]=160sccm、[O]=40sccm、
・流量比:[O]/[Ar]=0.25、
・スパッタリングパワー:1kW〜2kW程度。
A third conductive oxide film or noble metal film 38c of IrO z having a thickness of 50 nm to 150 nm is further formed on the second conductive oxide film 38b of IrO y . The conditions for forming the conductive oxide film are, for example, as follows.
・ Target: Ir
-Substrate temperature during film formation: 300 ° C
・ Deposition gas: Ar + O 2
Flow rate: [Ar] = 160 sccm, [O 2 ] = 40 sccm,
Flow rate ratio: [O 2 ] / [Ar] = 0.25,
Sputtering power: about 1 kW to 2 kW.

酸素流量が低減されているため、IrOの第3導電性酸化膜38cは、酸素組成zの低いものz<y、z<xとなる。このメタリック成分の高い導電性酸化膜には異常成長は生じない。非常にきれいな結晶膜が得られた。 Since the oxygen flow rate is reduced, the third conductive oxide film 38c of IrO z has a low oxygen composition z, z <y and z <x. Abnormal growth does not occur in the conductive oxide film having a high metallic component. A very clean crystal film was obtained.

貴金属膜を成膜する場合の成膜条件は、例えば以下の通りである。
・ターゲット:Ir,
・成膜時の基板温度:400℃、
・成膜ガス:Ar,
・流量:[Ar]=100sccm、
・スパッタリングパワー:1kw〜2kw程度。
The film forming conditions for forming the noble metal film are as follows, for example.
・ Target: Ir,
-Substrate temperature during film formation: 400 ° C
・ Deposition gas: Ar,
-Flow rate: [Ar] = 100 sccm,
Sputtering power: about 1 kw to 2 kw.

酸化イリジウム膜の組成を、高分解能RBS(ラザフォード後方散乱)分析装置HRBSV500により化学量論的組成(ストイキオメトリ)を測定した。 [Ar]:[O]流量比140:60で形成した第1の酸化イリジウム膜IrOは、x=1.92であり、化学量論的組成(x=2)より酸素欠乏の状態である。[Ar]:[O]流量比100:100で形成した第2の酸化イリジウム膜IrOは、y=2.02であり、化学量論的組成(y=2)にほぼ等しく、若干酸素過剰の状態である。酸素流量比を少し減少させ、[Ar]:[O]流量比を120:80として形成した第2の酸化イリジウム膜IrOは、y=2.00となった。[Ar]:[O]流量比160:40で形成した第3の酸化イリジウム膜IrOは、z=1.84であり、化学量論的組成(z=2)より酸素欠乏程度が最も高い状態である。同一温度、同一合計流量で成膜した場合、酸素組成はほぼ流量比[O]/[Ar]によって決まるようである。 The composition of the iridium oxide film was measured by stoichiometric composition (stoichiometry) using a high-resolution RBS (Rutherford backscattering) analyzer HRBSV500. The first iridium oxide film IrO x formed at an [Ar]: [O 2 ] flow rate ratio of 140: 60 is x = 1.92, and is in an oxygen-deficient state from the stoichiometric composition (x = 2). is there. The second iridium oxide film IrO y formed at an [Ar]: [O 2 ] flow rate ratio of 100: 100 has y = 2.02, almost equal to the stoichiometric composition (y = 2), and slightly oxygen Excessive state. The second iridium oxide film IrO y formed with the oxygen flow rate ratio slightly decreased and the [Ar]: [O 2 ] flow rate ratio set to 120: 80 was y = 2.00. The third iridium oxide film IrO z formed at an [Ar]: [O 2 ] flow rate ratio of 160: 40 has z = 1.84, and the degree of oxygen deficiency is the most than the stoichiometric composition (z = 2). It is in a high state. When films are formed at the same temperature and the same total flow rate, the oxygen composition seems to be determined by the flow rate ratio [O 2 ] / [Ar].

なお、第2導電性酸化膜38b、第3導電性酸化膜38cの成膜温度は、300℃に限らず、例えば50℃〜500℃の範囲から選択できる。但し、成膜されるIrO膜は、成膜時点でIrOが結晶化していることが望ましい。成膜ガスの流量、流量比は、成膜温度の合わせ、適宜変更することができる。 The film formation temperature of the second conductive oxide film 38b and the third conductive oxide film 38c is not limited to 300 ° C., and can be selected from a range of 50 ° C. to 500 ° C., for example. However, IrO z film formed, it is desirable that IrO z is crystallized in the film forming time. The flow rate and flow rate ratio of the deposition gas can be changed as appropriate in accordance with the deposition temperature.

第3導電性酸化膜の代わりに、貴金属膜、例えばIr膜、Ru膜等を用いることもできる。第1上部電極38a、第2上部電極38b、第3上部電極38cを合わせて強誘電体キャパシタの上部電極38が形成される。   Instead of the third conductive oxide film, a noble metal film such as an Ir film or a Ru film can also be used. The upper electrode 38 of the ferroelectric capacitor is formed by combining the first upper electrode 38a, the second upper electrode 38b, and the third upper electrode 38c.

図1Iに示すように、上部電極38の上に、TiNからなる第1ハードマスク45、及びSiOからなる第2ハードマスク46を形成する。第1ハードマスク45は、例えばスパッタリングにより形成する。第2ハードマスク46は、例えば、OとTEOSとを用いたCVDにより形成する。 As shown in FIG. 1I, a first hard mask 45 made of TiN and a second hard mask 46 made of SiO 2 are formed on the upper electrode 38. The first hard mask 45 is formed by sputtering, for example. The second hard mask 46 is formed by, for example, CVD using O 2 and TEOS.

図1Jに示すように、第2ハードマスク46を、形成すべき強誘電体キャパシタの平面形状になるようにパターニングする。次いで、パターニングされた第2ハードマスク46をエッチングマスクとして、第1ハードマスク45をエッチングする。   As shown in FIG. 1J, the second hard mask 46 is patterned so as to have a planar shape of the ferroelectric capacitor to be formed. Next, the first hard mask 45 is etched using the patterned second hard mask 46 as an etching mask.

図1Kに示すように、第2ハードマスク46及び第1ハードマスク45をエッチングマスクとして、上部電極38、強誘電体膜37、下部電極36(及び中間層34)をエッチングする。このエッチングは、例えば、HBr、O、Ar、及びCの混合ガスを用いたプラズマエッチングにより行われる。エッチング対象に余ってエッチングガスの組成は選択できる。パターニングされた下部電極36、強誘電体膜37、及び上部電極38が、強誘電体キャパシタ35を構成する。このエッチング時に、第2ハードマスク46の表層部もエッチングされる。 As shown in FIG. 1K, the upper electrode 38, the ferroelectric film 37, and the lower electrode 36 (and the intermediate layer 34) are etched using the second hard mask 46 and the first hard mask 45 as an etching mask. This etching is performed, for example, by plasma etching using a mixed gas of HBr, O 2 , Ar, and C 4 F 8 . The composition of the etching gas remaining for the etching target can be selected. The patterned lower electrode 36, ferroelectric film 37, and upper electrode 38 constitute a ferroelectric capacitor 35. During this etching, the surface layer portion of the second hard mask 46 is also etched.

図1Lに示すように、ドライエッチングまたはウェットエッチングにより、第2ハードマスク46を除去する。これにより、第1ハードマスク45が露出する。TiNの第1ハードマスク45、TiAlNの酸素バリア膜33は導電性であり、この段階で強誘電体キャパシタのスイッチング電荷量を測定することができる。   As shown in FIG. 1L, the second hard mask 46 is removed by dry etching or wet etching. As a result, the first hard mask 45 is exposed. The first hard mask 45 of TiN and the oxygen barrier film 33 of TiAlN are conductive, and the switching charge amount of the ferroelectric capacitor can be measured at this stage.

図1Mに示すように、強誘電体キャパシタ35が配置されていない領域の酸素バリア膜33、結晶性向上膜31、及び下地導電膜30を、エッチングする。例えば、流量比で、5%のCFガスと95%のOガスとの混合ガスをエッチングガスとして、ダウンフロー型プラズマエッチングチャンバ内に供給し、チャンバ内の上部電極に2.45GHzのマイクロ波を、1400Wの高周波電力で供給し、基板温度200℃でドライエッチングする。あるいは、H,NHOH,及び純水の混合溶液をエッチング液とするウェットエッチングを行ってもよい。このとき、上部電極38の上に残っていた第1ハードマスク45も除去され、上部電極38が露出する。 As shown in FIG. 1M, the oxygen barrier film 33, the crystallinity improving film 31, and the base conductive film 30 in the region where the ferroelectric capacitor 35 is not disposed are etched. For example, in a flow rate ratio, a mixed gas of 5% CF 4 gas and 95% O 2 gas is supplied as an etching gas into the downflow plasma etching chamber, and a 2.45 GHz micro gas is supplied to the upper electrode in the chamber. Waves are supplied with high frequency power of 1400 W and dry etching is performed at a substrate temperature of 200 ° C. Alternatively, wet etching using a mixed solution of H 2 O 2 , NH 2 OH, and pure water as an etchant may be performed. At this time, the first hard mask 45 remaining on the upper electrode 38 is also removed, and the upper electrode 38 is exposed.

図1Nに示すように、露出している表面上に、厚さ1nm−30nm、例えば厚さ20nmのAl膜で水素拡散防止機能ないし水素拡散抑制機能を有する第1保護膜50をスパッタリングにより形成する。さらに、MOCVDにより厚さ1nm−100nm、例えば厚さ10nmのPZT膜53をアルミナ膜50の上に形成する。PZT膜のMOCVDは、強誘電体キャパシタのPZT膜37のMOCVDによる成膜と同様に行える。例えば、上述の条件の下、基板温度620℃で原料ガスを62秒間作用させると、側壁上にも均一性良く、厚さ10nmのPZT膜が成長する。蒸発補償膜の厚さは、20nm−30nmが好ましい。 As shown in FIG. 1N, a first protective film 50 having a hydrogen diffusion preventing function or a hydrogen diffusion suppressing function is sputtered on an exposed surface with an Al 2 O 3 film having a thickness of 1 nm to 30 nm, for example, 20 nm. To form. Further, a PZT film 53 having a thickness of 1 nm to 100 nm, for example, a thickness of 10 nm is formed on the alumina film 50 by MOCVD. The MOCVD of the PZT film can be performed in the same manner as the film formation by MOCVD of the PZT film 37 of the ferroelectric capacitor. For example, when a source gas is allowed to act for 62 seconds at a substrate temperature of 620 ° C. under the above-described conditions, a PZT film having a thickness of 10 nm grows on the side wall with good uniformity. The thickness of the evaporation compensation film is preferably 20 nm to 30 nm.

なお、蒸発補償膜の成膜方法として、MOCVDの代わりにスパッタリングや原子層堆積(ALD)を用いることもできる。スパッタリングは簡便な成膜方法であり、ALDはステップカバレージのよい成膜方法である。   As a method for forming the evaporation compensation film, sputtering or atomic layer deposition (ALD) can be used instead of MOCVD. Sputtering is a simple film formation method, and ALD is a film formation method with good step coverage.

PZT膜53は強誘電体キャパシタのPZT膜37と保護膜50を介して対向する。熱処理において、PZT膜37から構成元素が蒸発する場合、PZT膜53からも同様の構成元素が蒸発し、互いに補償しあうことができる。この機能から、PZT膜53は蒸発補償膜と呼ぶことができる。蒸発補償膜は、強誘電体キャパシタの強誘電体膜と同一組成でなくてもよい。例えば、Pbの蒸発が問題となる場合は、Pbを含み、加熱によりPbを蒸発する材料を用いることができる。このように、強誘電体膜の酸素以外の構成元素のうち最も蒸発しやすい元素を含む材料を用いて、蒸発補償膜を構成できる。   The PZT film 53 opposes the PZT film 37 of the ferroelectric capacitor through the protective film 50. In the heat treatment, when the constituent element evaporates from the PZT film 37, the same constituent element evaporates from the PZT film 53 and can compensate each other. From this function, the PZT film 53 can be called an evaporation compensation film. The evaporation compensation film may not have the same composition as the ferroelectric film of the ferroelectric capacitor. For example, when evaporation of Pb becomes a problem, a material containing Pb and evaporating Pb by heating can be used. As described above, the evaporation compensation film can be formed using a material containing the element that is most easily evaporated among the constituent elements other than oxygen of the ferroelectric film.

キャパシタ誘電体膜のPZT膜37と蒸発補償膜のPZT膜53とを保護膜50で分離することにより、熱処理後の状態においては両PZT膜37,53は分離され、相互間のマイグレーションが阻止される。例えPZT膜53のPb組成が減少しても、PZT膜37は影響を受けない。   By separating the PZT film 37 of the capacitor dielectric film and the PZT film 53 of the evaporation compensation film by the protective film 50, the PZT films 37 and 53 are separated in the state after the heat treatment, and the migration between them is prevented. The Even if the Pb composition of the PZT film 53 decreases, the PZT film 37 is not affected.

図1Oに示すように、酸素雰囲気中で、550℃〜700℃の範囲内の温度で回復アニールを行う。これにより、強誘電体膜37のダメージを回復させることができる。一例として、強誘電体膜37がPZTで形成されている場合には、温度650℃で60分間の回復アニールを行うことが好ましい。PZT膜37からPbが蒸発しても、PZT膜53からPbを導入できる。この回復アニールの条件は特に限定されない。例えば650℃の酸素雰囲気中で60分間行う。   As shown in FIG. 1O, recovery annealing is performed at a temperature in the range of 550 ° C. to 700 ° C. in an oxygen atmosphere. Thereby, the damage of the ferroelectric film 37 can be recovered. As an example, when the ferroelectric film 37 is formed of PZT, it is preferable to perform recovery annealing at a temperature of 650 ° C. for 60 minutes. Even if Pb evaporates from the PZT film 37, Pb can be introduced from the PZT film 53. The conditions for this recovery annealing are not particularly limited. For example, it is performed in an oxygen atmosphere at 650 ° C. for 60 minutes.

図1Pに示すように、強誘電体キャパシタを覆うように、蒸発補償膜53の上に、Alからなる厚さ20nm以上の第2保護膜51を、スパッタリング法、MOCVD法、あるいはALD法により形成する。ここでは、ステップカバレージのよいMOCVD法あるいはALD法が望ましい。第1、第2保護膜50,51により外部からの水素の進入を防止ないし抑制する保護膜が構成される。蒸発補償膜53が第2保護膜51で覆われることにより、蒸発補償膜53からの構成元素の蒸発は抑制される。 As shown in FIG. 1P, a second protective film 51 made of Al 2 O 3 and having a thickness of 20 nm or more is formed on the evaporation compensation film 53 so as to cover the ferroelectric capacitor by sputtering, MOCVD, or ALD. Form by the method. Here, the MOCVD method or the ALD method with good step coverage is desirable. The first and second protective films 50 and 51 constitute a protective film that prevents or suppresses the entry of hydrogen from the outside. By covering the evaporation compensation film 53 with the second protective film 51, evaporation of the constituent elements from the evaporation compensation film 53 is suppressed.

アルミナ膜は、水素や水分等の還元性物質が透過するのを阻止する機能に優れている。従って、キャパシタ誘電体膜が還元性物質によって還元され、その特性が劣化するのを抑制できる。   The alumina film has an excellent function of blocking the permeation of reducing substances such as hydrogen and moisture. Therefore, it can be suppressed that the capacitor dielectric film is reduced by the reducing substance and the characteristics thereof deteriorate.

なお、キャパシタ保護膜の剥離を防止するため、キャパシタ保護膜の成膜前に、酸素を含む炉内でアニールを行ってもよい。例えば、基板温度350℃、処理時間1時間の酸素雰囲気アニールを行う。キャパシタ保護膜は、酸化アルミニウムの他、酸化チタン、酸化タンタル、酸化ジルコニウム、窒化アルミニウム、窒化タンタル、酸化窒化アルミニウムで形成することもできる。   In order to prevent the capacitor protective film from being peeled off, annealing may be performed in a furnace containing oxygen before the capacitor protective film is formed. For example, oxygen atmosphere annealing is performed at a substrate temperature of 350 ° C. and a processing time of 1 hour. The capacitor protective film may be formed of titanium oxide, tantalum oxide, zirconium oxide, aluminum nitride, tantalum nitride, and aluminum oxynitride in addition to aluminum oxide.

上述のように第1キャパシタ保護膜の厚さは、1nm−30nmが望ましい。第2キャパシタ保護膜の厚さは1nm−100nmの範囲が良く、とくに20nm−30nmが望ましい。外部からの水素や水分の浸入を防止するためには、第2キャパシタ保護膜をMOCVDで形成することが望ましい。   As described above, the thickness of the first capacitor protective film is preferably 1 nm to 30 nm. The thickness of the second capacitor protective film is preferably in the range of 1 nm-100 nm, and particularly preferably 20 nm-30 nm. In order to prevent the entry of hydrogen and moisture from the outside, it is desirable to form the second capacitor protective film by MOCVD.

図1Qに示すように、第2保護膜51の上に、SiOからなる厚さ1500nmの層間絶縁膜55を、OとTEOSとHeとを用いたプラズマCVDにより形成する。成膜後、CMPにより層間絶縁膜55の表面を平坦化する。層間絶縁膜55は、SiOに代えて、無機絶縁材料等で形成してもよい。 As shown in FIG. 1Q, an interlayer insulating film 55 made of SiO 2 and having a thickness of 1500 nm is formed on the second protective film 51 by plasma CVD using O 2 , TEOS, and He. After the film formation, the surface of the interlayer insulating film 55 is planarized by CMP. The interlayer insulating film 55 may be formed of an inorganic insulating material or the like instead of SiO 2 .

平坦化後、NOガスまたはNガスのプラズマ雰囲気中で熱処理を行う。この熱処理により、層間絶縁膜55内の水分が除去されるとともに、層間絶縁膜55の膜質が変化し、層間絶縁膜55に水分が浸入しにくくなる。 After planarization, heat treatment is performed in a plasma atmosphere of N 2 O gas or N 2 gas. By this heat treatment, moisture in the interlayer insulating film 55 is removed, the film quality of the interlayer insulating film 55 is changed, and moisture hardly enters the interlayer insulating film 55.

その後、層間絶縁膜55の上に、厚さ20nm〜100nmのAlOからなるバリア膜57を、スパッタリングまたはCVDにより形成する。バリア膜57の下地表面が平坦化されているため、凹凸を有する表面上に形成する場合に比べて、安定したバリア性を確保することができる。   Thereafter, a barrier film 57 made of AlO having a thickness of 20 nm to 100 nm is formed on the interlayer insulating film 55 by sputtering or CVD. Since the base surface of the barrier film 57 is flattened, a stable barrier property can be ensured as compared with the case where the barrier film 57 is formed on a surface having irregularities.

図1Rに示すように、バリア膜57の上に、SiOからなる厚さ800nm〜1000nmの層間絶縁膜58を、OとTEOSとHeとを用いたプラズマCVDにより形成する。なお、層間絶縁膜58を、SiOに代えて、SiONやSiNで形成してもよい。層間絶縁膜58の表面をCMPにより平坦化する。 As shown in FIG. 1R, an interlayer insulating film 58 made of SiO 2 and having a thickness of 800 nm to 1000 nm is formed on the barrier film 57 by plasma CVD using O 2 , TEOS, and He. Note that the interlayer insulating film 58 may be formed of SiON or SiN instead of SiO 2 . The surface of the interlayer insulating film 58 is planarized by CMP.

図1Sに示すように、層間絶縁膜58から第1保護膜50までの5層を貫通し、強誘電体キャパシタ35上の上部電極38まで達するコンタクト孔80を形成する。   As shown in FIG. 1S, a contact hole 80 that penetrates through five layers from the interlayer insulating film 58 to the first protective film 50 and reaches the upper electrode 38 on the ferroelectric capacitor 35 is formed.

酸素雰囲気中で、550℃の熱処理を行う。これにより、コンタクト孔80の形成に伴って強誘電体膜37内に生じた酸素欠損を回復させることができる。   Heat treatment is performed at 550 ° C. in an oxygen atmosphere. Thereby, oxygen vacancies generated in the ferroelectric film 37 with the formation of the contact holes 80 can be recovered.

コンタクト孔80の内面にTi/TiN構造の密着膜を形成し、さらにコンタクト孔80内にW等を埋め込み、導電性プラグ60を形成する。なお、密着膜を、スパッタリングにより形成したTi膜と、MOCVDにより形成したTiN膜との2層構造としてもよい。TiN膜を形成した後、TiN膜から炭素の除去を行うために、NガスとHガスとの混合ガスを用いたプラズマ処理を行う。第3上部電極をIrで形成した場合は、Ir膜が水素の侵入を防止するため、上部電極38が還元されることを防止することができる。さらに、第2上部電極38bのIrOの組成比を、化学量論的組成比に近づけているため、上部電極38が水素に対して触媒作用を生じにくい。このため、強誘電体膜37が水素ラジカルによって還元されにくくなる。 An adhesion film having a Ti / TiN structure is formed on the inner surface of the contact hole 80, and W or the like is buried in the contact hole 80 to form a conductive plug 60. Note that the adhesion film may have a two-layer structure of a Ti film formed by sputtering and a TiN film formed by MOCVD. After the TiN film is formed, plasma treatment using a mixed gas of N 2 gas and H 2 gas is performed in order to remove carbon from the TiN film. When the third upper electrode is made of Ir, the Ir film prevents hydrogen from entering, so that the upper electrode 38 can be prevented from being reduced. Furthermore, since the composition ratio of IrO of the second upper electrode 38b is close to the stoichiometric composition ratio, the upper electrode 38 is unlikely to cause a catalytic action against hydrogen. For this reason, the ferroelectric film 37 is difficult to be reduced by hydrogen radicals.

図1Tに示すように、層間絶縁膜58から酸化防止膜21までの7層を貫通し、導電性プラグ16の上面まで達するコンタクト孔85を形成する。コンタクト孔85の内面を覆うTi/TiN構造の密着膜を形成した後、コンタクト孔85内にW等を埋め込み、導電性プラグ65を形成する。   As shown in FIG. 1T, a contact hole 85 that penetrates through seven layers from the interlayer insulating film 58 to the antioxidant film 21 and reaches the upper surface of the conductive plug 16 is formed. After forming an adhesion film having a Ti / TiN structure covering the inner surface of the contact hole 85, W or the like is buried in the contact hole 85 to form a conductive plug 65.

層間絶縁膜58の上に、導電性プラグ60,65に接続される配線71及び75を形成する。まず、スパッタリングにより厚さ60nmのTi膜、厚さ30nmのTiN膜、厚さ360nmのAlCu合金膜、厚さ5nmのTi膜、及び厚さ70nmのTiN膜を順番に形成する。これらの膜からなる積層構造をパターニングすることにより、配線71及び75が形成される。さらに、その上に、例えば第2〜第5層の上層の多層配線を形成する。   On the interlayer insulating film 58, wirings 71 and 75 connected to the conductive plugs 60 and 65 are formed. First, a Ti film having a thickness of 60 nm, a TiN film having a thickness of 30 nm, an AlCu alloy film having a thickness of 360 nm, a Ti film having a thickness of 5 nm, and a TiN film having a thickness of 70 nm are sequentially formed by sputtering. The wirings 71 and 75 are formed by patterning the laminated structure composed of these films. Further, for example, an upper multilayer wiring of the second to fifth layers is formed thereon.

図2を参照して、本発明の第2の実施例を説明する。第1の実施例と異なる点を主に説明する。図1A〜1Cの工程を行い、Wプラグ25を形成する。CMPによって、プラグ25の頂部にリセス25aが生じる。第1の実施例同様NHプラズマ処理を行い、その後厚さ100nmのTi膜を成膜する。ここで、N雰囲気中でRTAによる熱処理を行い、Ti膜を窒化させる。このようにしてTiN下地導電膜30を形成する。なお、下地導電膜30は、TiNに限らず、TiAlN,タングステン、シリコン、銅で形成してもよい。 A second embodiment of the present invention will be described with reference to FIG. Differences from the first embodiment will be mainly described. 1A to 1C are performed to form the W plug 25. By CMP, a recess 25 a is formed at the top of the plug 25. Similar to the first embodiment, NH 3 plasma treatment is performed, and then a Ti film having a thickness of 100 nm is formed. Here, heat treatment by RTA is performed in an N 2 atmosphere to nitride the Ti film. In this way, the TiN base conductive film 30 is formed. The base conductive film 30 is not limited to TiN, and may be formed of TiAlN, tungsten, silicon, or copper.

下地導電膜30の表面にはリセスを反映した凹部が形成されている。このまま上部構造を形成すると、強誘電体膜の結晶性が劣化する恐れがある。そこで、CMPにより下地導電膜30の上面を研磨し、平坦化して凹部を除去し、さらに研磨して絶縁膜22を研磨する。絶縁膜22及びプラグの上面を面一にする。その上にTi導電性密着膜を形成し、窒化してTiN膜31にする。その後、酸素バリア膜34、下部電極36を形成する。さらに不活性ガス中、600℃以上のRTAを行う。その後、第1の実施例同様の工程を続ける。   A recess reflecting the recess is formed on the surface of the underlying conductive film 30. If the upper structure is formed as it is, the crystallinity of the ferroelectric film may be deteriorated. Therefore, the upper surface of the underlying conductive film 30 is polished by CMP, planarized to remove the recesses, and further polished to polish the insulating film 22. The upper surfaces of the insulating film 22 and the plug are flush with each other. A Ti conductive adhesion film is formed thereon and nitrided to form a TiN film 31. Thereafter, an oxygen barrier film 34 and a lower electrode 36 are formed. Further, RTA at 600 ° C. or higher is performed in an inert gas. Thereafter, the same process as in the first embodiment is continued.

図3を参照して、本発明の第3の実施例を説明する。第2の実施例と異なる点を主に説明する。導電性プラグ25までを形成する。但し、CMPは低圧研磨装置を用いて行う。低圧で研磨することにより、リセスを生じさせない。第2の実施例同様、NHプラズマ処理を行い、厚さ20nmのTi膜を成膜する。N2雰囲気中でRTAによる熱処理を行い、Ti膜を窒化してTiN膜30を形成する。その上に直接、TiAlN酸素バリア膜33、下部電極36を形成する。その後は、第2の実施例同様の工程を行う。 A third embodiment of the present invention will be described with reference to FIG. Differences from the second embodiment will be mainly described. Up to the conductive plug 25 is formed. However, CMP is performed using a low-pressure polishing apparatus. By polishing at a low pressure, no recess is produced. Similar to the second embodiment, NH 3 plasma treatment is performed to form a Ti film having a thickness of 20 nm. A heat treatment by RTA is performed in an N 2 atmosphere, and the Ti film is nitrided to form the TiN film 30. A TiAlN oxygen barrier film 33 and a lower electrode 36 are directly formed thereon. Thereafter, the same process as in the second embodiment is performed.

なお、強誘電体膜の形成方法は、スパッタリング、MOCVDの他,ゾルーゲル法、有機金属分解(MOD),CSD(chemical solution deposition)、CVD、エピタキシャル成長が挙げられる。強誘電体膜としては、熱処理により結晶構造がBi系層状構造、ぺロブスカイト構造となる膜を形成することができる。このような膜として、PZT膜の他、La,Ca,Sr,Si等を微量ドープしたPZT、SBT,BLT,Bi系層状化合物などの一般式ABOで表される膜を挙げることができる。 As a method for forming the ferroelectric film, there are sol-gel method, organometallic decomposition (MOD), CSD (chemical solution deposition), CVD, and epitaxial growth in addition to sputtering and MOCVD. As the ferroelectric film, a film whose crystal structure becomes a Bi-based layered structure or a perovskite structure can be formed by heat treatment. As such a film, in addition to the PZT film, a film represented by the general formula ABO 3 such as PZT, SBT, BLT, Bi-based layered compound doped with a small amount of La, Ca, Sr, Si, or the like can be given.

上部電極の最下層を形成する際。例えば、白金、イリジウム、ルテニウム、ロジウム、レニウム、オスミウム、パラジウムの1種以上を含むターゲットを用いたリアクティブスパッタリングを、これらの貴金属元素の酸化が生じる条件下で行うことができる。   When forming the bottom layer of the upper electrode. For example, reactive sputtering using a target containing one or more of platinum, iridium, ruthenium, rhodium, rhenium, osmium, and palladium can be performed under conditions where oxidation of these noble metal elements occurs.

以上実施例に沿って本発明を説明したが、本発明はこれらに制限されるものではない。例えば、種々の変更、改良、組み合わせ等が可能なことは当業者に自明であろう。   Although the present invention has been described with reference to the embodiments, the present invention is not limited thereto. It will be apparent to those skilled in the art that various modifications, improvements, combinations, and the like can be made.

以下、本発明の特徴を付記する。
(付記1)
半導体基板と、
前記半導体基板に形成されたMOSトランジスタと、
前記MOSトランジスタを覆う下部層間絶縁膜と、
前記下部層間絶縁膜上方に形成され、キャパシタ下部電極と、前記キャパシタ下部電極上に形成された酸化物強誘電体膜と、前記酸化物強誘電体膜上に形成されたキャパシタ上部電極と、を含む強誘電体キャパシタと、
少なくとも、前記上部電極と前記酸化物強誘電体膜の露出した表面を覆う、還元性物質の透過を抑制する機能を有する第1絶縁性キャパシタ保護膜と、
前記第1絶縁性キャパシタ保護膜を覆い、前記酸化物強誘電体の酸素以外の構成元素の内、少なくとも1つの元素を含む、蒸発補償膜と、
前記蒸発補償膜を覆う、還元性物質の透過を抑制する機能を有する第2絶縁性キャパシタ保護膜と、
を有する半導体装置。
(付記2)
前記蒸発補償膜は、前記酸化物強誘電体膜の酸素以外の酸素以外の構成元素の内、最も蒸発しやすい元素を含む付記1記載の半導体装置。
(付記3)
前記酸化物強誘電体膜と前記蒸発補償膜とがPbを含む請求項1または2記載の半導体装置。
(付記4)
前記第1及び第2絶縁性キャパシタ保護膜がそれぞれ酸化アルミニウム、酸化チタン、酸化タンタル、酸化ジルコニウム、窒化アルミニウム、窒化タンタル、酸化窒化アルミニウムからなる群から選択された少なくとも1種で形成されている付記1〜3のいずれか1項に記載の半導体装置。
(付記5)
(a)半導体基板にMOSトランジスタを形成する工程と、
(b)前記MOSトランジスタを覆って、前記半導体基板上に下部層間絶縁膜を形成する工程と、
(c)前記下部層間絶縁膜上方にキャパシタ下部電極膜と、前記キャパシタ下部電極上に酸化物強誘電体のキャパシタ誘電体膜と、前記キャパシタ誘電体膜上に、キャパシタ上部電極膜とを積層する工程と、
(d)少なくとも前記キャパシタ上部電極膜をパターニングする工程と、
(e)前記キャパシタ上部電極膜、前記キャパシタ誘電体膜の露出された表面を覆って、還元性物質の透過を抑制する機能を有する第1絶縁性キャパシタ保護膜を形成する工程と、
(f)前記第1絶縁性キャパシタ保護膜を覆って、前記酸化物強誘電体の酸素以外の構成元素の内、少なくとも1つの元素を含む、蒸発補償膜を形成する工程と、
(g)前記工程(f)の後、酸化性雰囲気中で熱処理する工程と、
(h)前記工程(g)の後、前記蒸発補償膜を覆って、還元性物質の透過を抑制する機能を有する第2絶縁性キャパシタ保護膜を形成する工程と、
を有する半導体装置の製造方法。
(付記6)
前記蒸発補償膜は、前記酸化物強誘電体膜の酸素以外の構成元素の内、最も蒸発しやすい元素を含む付記5記載の半導体装置の製造方法。
(付記7)
前記工程(d)が、前記上部電極膜、前記キャパシタ誘電体膜、前記下部電極膜を一括してパターニングし、前記工程(e)、(f)、(h)がパターニングされたキャパシタ誘電体膜の側面を覆って、前記第1絶縁性キャパシタ保護膜、前記蒸発補償膜、前記第2絶縁性キャパシタ保護膜を積層する付記5または6に記載の半導体装置の製造方法。
(付記8)
前記酸化物強誘電体膜と前記蒸発補償膜とがPbを含む請求項5〜7のいずれか1項に記載の半導体装置の製造方法。
(付記9)
前記第1及び第2絶縁性キャパシタ保護膜がそれぞれ酸化アルミニウム、酸化チタン、酸化タンタル、酸化ジルコニウム、窒化アルミニウム、窒化タンタル、酸化窒化アルミニウムからなる群から選択された少なくとも1種で形成されている付記5〜8のいずれか1項に記載の半導体装置の製造方法。
The features of the present invention will be described below.
(Appendix 1)
A semiconductor substrate;
A MOS transistor formed on the semiconductor substrate;
A lower interlayer insulating film covering the MOS transistor;
A capacitor lower electrode formed on the lower interlayer insulating film; an oxide ferroelectric film formed on the capacitor lower electrode; and a capacitor upper electrode formed on the oxide ferroelectric film. Including a ferroelectric capacitor,
A first insulating capacitor protective film that covers at least the exposed surface of the upper electrode and the oxide ferroelectric film and has a function of suppressing transmission of a reducing substance;
An evaporation compensation film that covers the first insulating capacitor protective film and contains at least one element of constituent elements other than oxygen of the oxide ferroelectric;
A second insulating capacitor protective film covering the evaporation compensation film and having a function of suppressing transmission of a reducing substance;
A semiconductor device.
(Appendix 2)
2. The semiconductor device according to claim 1, wherein the evaporation compensation film includes an element that is most easily evaporated among constituent elements other than oxygen other than oxygen of the oxide ferroelectric film.
(Appendix 3)
The semiconductor device according to claim 1, wherein the oxide ferroelectric film and the evaporation compensation film contain Pb.
(Appendix 4)
The first and second insulating capacitor protective films are each formed of at least one selected from the group consisting of aluminum oxide, titanium oxide, tantalum oxide, zirconium oxide, aluminum nitride, tantalum nitride, and aluminum oxynitride. The semiconductor device according to any one of 1 to 3.
(Appendix 5)
(A) forming a MOS transistor on a semiconductor substrate;
(B) forming a lower interlayer insulating film on the semiconductor substrate so as to cover the MOS transistor;
(C) Laminating a capacitor lower electrode film above the lower interlayer insulating film, an oxide ferroelectric capacitor dielectric film on the capacitor lower electrode, and a capacitor upper electrode film on the capacitor dielectric film. Process,
(D) patterning at least the capacitor upper electrode film;
(E) forming a first insulating capacitor protective film having a function of covering the exposed surface of the capacitor upper electrode film and the capacitor dielectric film and suppressing permeation of a reducing substance;
(F) forming an evaporation compensation film that covers the first insulating capacitor protective film and includes at least one element of the oxide ferroelectric substance other than oxygen;
(G) After the step (f), a step of heat-treating in an oxidizing atmosphere;
(H) After the step (g), forming a second insulating capacitor protective film having a function of covering the evaporation compensation film and suppressing permeation of the reducing substance;
A method for manufacturing a semiconductor device comprising:
(Appendix 6)
The semiconductor device manufacturing method according to claim 5, wherein the evaporation compensation film includes an element that is most easily evaporated among constituent elements other than oxygen of the oxide ferroelectric film.
(Appendix 7)
Capacitor dielectric film obtained by patterning the upper electrode film, the capacitor dielectric film, and the lower electrode film in the step (d) and patterning the steps (e), (f), and (h) 7. The method of manufacturing a semiconductor device according to appendix 5 or 6, wherein the first insulating capacitor protective film, the evaporation compensation film, and the second insulating capacitor protective film are stacked so as to cover a side surface of the first insulating capacitor.
(Appendix 8)
The method for manufacturing a semiconductor device according to claim 5, wherein the oxide ferroelectric film and the evaporation compensation film contain Pb.
(Appendix 9)
The first and second insulating capacitor protective films are each formed of at least one selected from the group consisting of aluminum oxide, titanium oxide, tantalum oxide, zirconium oxide, aluminum nitride, tantalum nitride, and aluminum oxynitride. The manufacturing method of the semiconductor device of any one of 5-8.

/ / / / / / / 図1A−1Tは、本発明の第2の実施例による半導体装置の製造方法の主要工程を示す半導体基板の断面図である。1A to 1T are cross-sectional views of a semiconductor substrate showing main steps of a semiconductor device manufacturing method according to a second embodiment of the present invention. 図2は、本発明の第3に実施例を説明するための半導体基板の断面図である。FIG. 2 is a cross-sectional view of a semiconductor substrate for explaining a third embodiment of the present invention. 図3は、本発明の第4に実施例を説明するための半導体基板の断面図である。FIG. 3 is a cross-sectional view of a semiconductor substrate for explaining a fourth embodiment of the present invention.

符号の説明Explanation of symbols

1 半導体基板
2 素子分離領域
11 酸化窒化シリコン膜
12 酸化シリコン膜
21 酸化防止膜
22 層間絶縁膜
25 導電性プラグ
30 下地導電膜
31 結晶性向上膜
33 酸素バリア(TiAlN)膜
34 中間層
36 下部電極(Ir膜)
37 強誘電体(PZT)膜
38 上部(IrO)電極
45,46 ハードマスク
50,51 保護(AlO)膜
53 蒸発補償膜
55 層間絶縁膜
57 バリア(AlO)膜
58 層間絶縁膜
60,65 導電性プラグ
71,75 配線
DESCRIPTION OF SYMBOLS 1 Semiconductor substrate 2 Element isolation region 11 Silicon oxynitride film 12 Silicon oxide film 21 Antioxidation film 22 Interlayer insulating film 25 Conductive plug 30 Underlying conductive film 31 Crystallinity improvement film 33 Oxygen barrier (TiAlN) film 34 Intermediate layer 36 Lower electrode (Ir film)
37 Ferroelectric (PZT) film 38 Upper (IrO) electrode 45, 46 Hard mask 50, 51 Protective (AlO) film 53 Evaporation compensation film 55 Interlayer insulating film 57 Barrier (AlO) film 58 Interlayer insulating film 60, 65 Conductivity Plug 71, 75 wiring

Claims (5)

半導体基板と、
前記半導体基板に形成されたMOSトランジスタと、
前記MOSトランジスタを覆う下部層間絶縁膜と、
前記下部層間絶縁膜上方に形成され、キャパシタ下部電極と、前記キャパシタ下部電極上に形成された酸化物強誘電体膜と、前記酸化物強誘電体膜上に形成されたキャパシタ上部電極と、を含む強誘電体キャパシタと、
少なくとも、前記上部電極と前記酸化物強誘電体膜の露出した表面を覆う、還元性物質の透過を抑制する機能を有する第1絶縁性キャパシタ保護膜と、
前記第1絶縁性キャパシタ保護膜を覆い、前記酸化物強誘電体の酸素以外の構成元素の内、少なくとも1つの元素を含む、蒸発補償膜と、
前記蒸発補償膜を覆う、還元性物質の透過を抑制する機能を有する第2絶縁性キャパシタ保護膜と、
を有する半導体装置。
A semiconductor substrate;
A MOS transistor formed on the semiconductor substrate;
A lower interlayer insulating film covering the MOS transistor;
A capacitor lower electrode formed on the lower interlayer insulating film; an oxide ferroelectric film formed on the capacitor lower electrode; and a capacitor upper electrode formed on the oxide ferroelectric film. Including a ferroelectric capacitor,
A first insulating capacitor protective film that covers at least the exposed surface of the upper electrode and the oxide ferroelectric film and has a function of suppressing transmission of a reducing substance;
An evaporation compensation film that covers the first insulating capacitor protective film and contains at least one element of constituent elements other than oxygen of the oxide ferroelectric;
A second insulating capacitor protective film covering the evaporation compensation film and having a function of suppressing transmission of a reducing substance;
A semiconductor device.
前記酸化物強誘電体膜と前記蒸発補償膜とがPbを含む請求項1記載の半導体装置。   The semiconductor device according to claim 1, wherein the oxide ferroelectric film and the evaporation compensation film contain Pb. (a)半導体基板にMOSトランジスタを形成する工程と、
(b)前記MOSトランジスタを覆って、前記半導体基板上に下部層間絶縁膜を形成する工程と、
(c)前記下部層間絶縁膜上方にキャパシタ下部電極膜と、前記キャパシタ下部電極上に酸化物強誘電体のキャパシタ誘電体膜と、前記キャパシタ誘電体膜上に、キャパシタ上部電極膜とを積層する工程と、
(d)少なくとも前記キャパシタ上部電極膜をパターニングする工程と、
(e)前記キャパシタ上部電極膜、前記キャパシタ誘電体膜の露出された表面を覆って、還元性物質の透過を抑制する機能を有する第1絶縁性キャパシタ保護膜を形成する工程と、
(f)前記第1絶縁性キャパシタ保護膜を覆って、前記酸化物強誘電体の酸素以外の構成元素の内、少なくとも1つの元素を含む、蒸発補償膜を形成する工程と、
(g)前記工程(f)の後、酸化性雰囲気中で熱処理する工程と、
(h)前記工程(g)の後、前記蒸発補償膜を覆って、還元性物質の透過を抑制する機能を有する第2絶縁性キャパシタ保護膜を形成する工程と、
を有する半導体装置の製造方法。
(A) forming a MOS transistor on a semiconductor substrate;
(B) forming a lower interlayer insulating film on the semiconductor substrate so as to cover the MOS transistor;
(C) Laminating a capacitor lower electrode film above the lower interlayer insulating film, an oxide ferroelectric capacitor dielectric film on the capacitor lower electrode, and a capacitor upper electrode film on the capacitor dielectric film. Process,
(D) patterning at least the capacitor upper electrode film;
(E) forming a first insulating capacitor protective film having a function of covering the exposed surface of the capacitor upper electrode film and the capacitor dielectric film and suppressing permeation of a reducing substance;
(F) forming an evaporation compensation film that covers the first insulating capacitor protective film and includes at least one element of the oxide ferroelectric substance other than oxygen;
(G) After the step (f), a step of heat-treating in an oxidizing atmosphere;
(H) After the step (g), forming a second insulating capacitor protective film having a function of covering the evaporation compensation film and suppressing the permeation of the reducing substance;
A method for manufacturing a semiconductor device comprising:
前記工程(d)が、前記上部電極膜、前記キャパシタ誘電体膜、前記下部電極膜を一括してパターニングし、前記工程(e)、(f)、(h)がパターニングされたキャパシタ誘電体膜の側面を覆って、前記第1絶縁性キャパシタ保護膜、前記蒸発補償膜、前記第2絶縁性キャパシタ保護膜を積層する請求項3記載の半導体装置の製造方法。   Capacitor dielectric film obtained by patterning the upper electrode film, the capacitor dielectric film, and the lower electrode film in the step (d) and patterning the steps (e), (f), and (h) 4. The method of manufacturing a semiconductor device according to claim 3, wherein the first insulating capacitor protective film, the evaporation compensation film, and the second insulating capacitor protective film are stacked so as to cover a side surface of the first insulating capacitor. 前記酸化物強誘電体膜と前記蒸発補償膜とがPbを含む請求項3または4に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 3, wherein the oxide ferroelectric film and the evaporation compensation film contain Pb.
JP2006205010A 2006-07-27 2006-07-27 Semiconductor device and manufacturing method thereof Expired - Fee Related JP4845624B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006205010A JP4845624B2 (en) 2006-07-27 2006-07-27 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006205010A JP4845624B2 (en) 2006-07-27 2006-07-27 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2008034539A true JP2008034539A (en) 2008-02-14
JP4845624B2 JP4845624B2 (en) 2011-12-28

Family

ID=39123669

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006205010A Expired - Fee Related JP4845624B2 (en) 2006-07-27 2006-07-27 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP4845624B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008226995A (en) * 2007-03-09 2008-09-25 Fujitsu Ltd Semiconductor device and manufacturing method thereof
JP2010016036A (en) * 2008-07-01 2010-01-21 Fujitsu Microelectronics Ltd Semiconductor device, and method for manufacturing the same
JP5104850B2 (en) * 2007-02-28 2012-12-19 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
EP2903025A1 (en) 2014-02-03 2015-08-05 Fujitsu Semiconductor Limited Semiconductor device and manufacturing method for same
US11164936B2 (en) 2019-02-01 2021-11-02 Fujitsu Semiconductor Memory Solution Limited Semiconductor device fabrication method and semiconductor device
JP7095289B2 (en) 2018-01-29 2022-07-05 住友金属鉱山株式会社 Iridium heating element, surface treatment method for iridium heating element and manufacturing method for iridium afterheater

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004153031A (en) * 2002-10-30 2004-05-27 Fujitsu Ltd Method for manufacturing semiconductor device
WO2005081317A1 (en) * 2004-02-19 2005-09-01 Fujitsu Limited Process for fabricating semiconductor device
JP2005268472A (en) * 2004-03-18 2005-09-29 Toshiba Corp Ferroelectric storage and its manufacturing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004153031A (en) * 2002-10-30 2004-05-27 Fujitsu Ltd Method for manufacturing semiconductor device
WO2005081317A1 (en) * 2004-02-19 2005-09-01 Fujitsu Limited Process for fabricating semiconductor device
JP2005268472A (en) * 2004-03-18 2005-09-29 Toshiba Corp Ferroelectric storage and its manufacturing method

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5104850B2 (en) * 2007-02-28 2012-12-19 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP2008226995A (en) * 2007-03-09 2008-09-25 Fujitsu Ltd Semiconductor device and manufacturing method thereof
JP2010016036A (en) * 2008-07-01 2010-01-21 Fujitsu Microelectronics Ltd Semiconductor device, and method for manufacturing the same
EP2903025A1 (en) 2014-02-03 2015-08-05 Fujitsu Semiconductor Limited Semiconductor device and manufacturing method for same
JP2015146367A (en) * 2014-02-03 2015-08-13 富士通セミコンダクター株式会社 Semiconductor device and method of manufacturing the same
US9991270B2 (en) 2014-02-03 2018-06-05 Fujitsu Semiconductor Limited Semiconductor device and manufacturing method for same
JP7095289B2 (en) 2018-01-29 2022-07-05 住友金属鉱山株式会社 Iridium heating element, surface treatment method for iridium heating element and manufacturing method for iridium afterheater
US11164936B2 (en) 2019-02-01 2021-11-02 Fujitsu Semiconductor Memory Solution Limited Semiconductor device fabrication method and semiconductor device

Also Published As

Publication number Publication date
JP4845624B2 (en) 2011-12-28

Similar Documents

Publication Publication Date Title
JP4827653B2 (en) Semiconductor device and manufacturing method thereof
US8497537B2 (en) Semiconductor device with ferro-electric capacitor
US7763921B2 (en) Semiconductor device and manufacturing method thereof
JP4884104B2 (en) Semiconductor device including capacitor and manufacturing method thereof
JP5251864B2 (en) Semiconductor device and manufacturing method thereof
JP5125510B2 (en) Semiconductor device
US8551836B2 (en) Semiconductor device and method of manufacturing the same
JP4845624B2 (en) Semiconductor device and manufacturing method thereof
JP4105656B2 (en) Semiconductor device and manufacturing method thereof
KR101262432B1 (en) Method for manufacturing semiconductor devuce
JP5412754B2 (en) Semiconductor device and manufacturing method of semiconductor device
JP2009105223A (en) Semiconductor device, and its manufacturing method
JP5007723B2 (en) Semiconductor device including capacitor and manufacturing method thereof
US7601585B2 (en) Method of manufacturing a ferroelectric semiconductor device utilizing an oxide reduction film
JP2007266023A (en) Semiconductor device and method of manufacturing same
JP2011223031A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080729

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090520

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110617

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110823

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111004

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111011

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141021

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4845624

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees