JP5412754B2 - Semiconductor device and manufacturing method of semiconductor device - Google Patents

Semiconductor device and manufacturing method of semiconductor device Download PDF

Info

Publication number
JP5412754B2
JP5412754B2 JP2008159043A JP2008159043A JP5412754B2 JP 5412754 B2 JP5412754 B2 JP 5412754B2 JP 2008159043 A JP2008159043 A JP 2008159043A JP 2008159043 A JP2008159043 A JP 2008159043A JP 5412754 B2 JP5412754 B2 JP 5412754B2
Authority
JP
Japan
Prior art keywords
film
protective film
ferroelectric
capacitor
protective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008159043A
Other languages
Japanese (ja)
Other versions
JP2010003741A (en
Inventor
文生 王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2008159043A priority Critical patent/JP5412754B2/en
Publication of JP2010003741A publication Critical patent/JP2010003741A/en
Application granted granted Critical
Publication of JP5412754B2 publication Critical patent/JP5412754B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、強誘電体キャパシタを有する半導体装置及びその製造方法に関し、特に強誘
電体キャパシタとメモリセルトランジスタとを備えた半導体装置及びその製造方法に関す
る。
The present invention relates to a semiconductor device having a ferroelectric capacitor and a method for manufacturing the same, and more particularly to a semiconductor device including a ferroelectric capacitor and a memory cell transistor and a method for manufacturing the same.

近年、デジタル技術の進展に伴って大容量のデータを高速に処理したり、保存したりす
ることが行われており、電子機器等に使用される半導体装置の高集積化及び高性能化が要
求されている。例えば、半導体装置の一例である半導体記憶装置においては、DRAM(
Dynamic Random Access Memory)を高集積化することが行われている。このようなDRA
Mに使用されるキャパシタの容量絶縁膜としては、従来の珪素酸化物又は珪素窒化物に代
えて、強誘電体材料又は高誘電率材料が用いられている。また、より低電圧、且つ高速で
の書き込み動作及び読み出し動作が可能な不揮発性RAMを実現するため、自発分極特性
を有する強誘電体膜を容量絶縁膜に用いている。このような半導体記憶装置は、一般に強
誘電体メモリ(FeRAM)と呼ばれている。
In recent years, with the advancement of digital technology, high-capacity data has been processed and stored at high speed, and high integration and high performance of semiconductor devices used in electronic devices are required. Has been. For example, in a semiconductor memory device which is an example of a semiconductor device, a DRAM (
Dynamic Random Access Memory) is being highly integrated. Such DRA
As the capacitor insulating film of the capacitor used for M, a ferroelectric material or a high dielectric constant material is used instead of the conventional silicon oxide or silicon nitride. Further, a ferroelectric film having spontaneous polarization characteristics is used as a capacitor insulating film in order to realize a nonvolatile RAM capable of writing and reading at a lower voltage and higher speed. Such a semiconductor memory device is generally called a ferroelectric memory (FeRAM).

FeRAMは、一対の電極間のキャパシタ誘電体として強誘電体膜を配した強誘電体キ
ャパシタを有し、強誘電体膜のヒステリシス特性を利用して情報を記憶する。強誘電体膜
は、電極間の印加電圧に応じて分極を生じ、印加電圧を取り去っても自発分極を有する性
質を持つ。印加電圧の極性を反転させれば自発分極の極性も反転するので、自発分極を検
出すれば情報を読み出すことが出来る。このようなFeRAMは、高速動作が可能で、消
費電力が小さく、書き込み/読み出しの耐久性に優れている等の特徴を有し、今後の更な
る発展が見込まれている。
The FeRAM has a ferroelectric capacitor in which a ferroelectric film is disposed as a capacitor dielectric between a pair of electrodes, and stores information using the hysteresis characteristics of the ferroelectric film. The ferroelectric film has the property of causing polarization according to the applied voltage between the electrodes and having spontaneous polarization even when the applied voltage is removed. If the polarity of the applied voltage is reversed, the polarity of the spontaneous polarization is also reversed, so that information can be read if the spontaneous polarization is detected. Such FeRAM has features such as high-speed operation, low power consumption, and excellent write / read durability, and further development is expected in the future.

しかしながら、従来の強誘電体キャパシタは、水素ガスや水分によって特性が変化し易
かった。ここで、標準的な強誘電体キャパシタの場合、例えば、Pt膜からなる下部電極
と、PZT膜(PbZr1−XTiXO膜)よりなる強誘電体膜と、Pt膜からなる上
部電極とを順次積層した構成を有する。このような標準的な強誘電体キャパシタは、水素
分圧が40Pa程度の雰囲気中で200℃程度に加熱すると、PZT膜の強誘電性はほぼ
失われてしまうことが知られている。
However, the characteristics of the conventional ferroelectric capacitor are easily changed by hydrogen gas or moisture. In the case of a standard ferroelectric capacitor, for example, a lower electrode made of a Pt film, a ferroelectric film made of a PZT film (PbZr 1-X TiXO 3 film), and an upper electrode made of a Pt film are provided. It has a structure in which the layers are sequentially stacked. Such a standard ferroelectric capacitor is known to lose the ferroelectricity of the PZT film when heated to about 200 ° C. in an atmosphere having a hydrogen partial pressure of about 40 Pa.

また、強誘電体キャパシタに水分が吸着した状態、或いは水分が強誘電体キャパシタの
近傍に存在する状態で熱処理を行うと、強誘電体キャパシタの強誘電体膜の強誘電性が著
しく劣化してしまうことが知られている。
In addition, if heat treatment is performed in a state where moisture is adsorbed on the ferroelectric capacitor or in the vicinity of the ferroelectric capacitor, the ferroelectricity of the ferroelectric film of the ferroelectric capacitor is significantly deteriorated. It is known that.

このため、従来のFeRAMの製造工程で強誘電体膜を形成した後のプロセスは、可能
な限り水分の発生が少なく、且つ低温のプロセスが選択されている。また、層間絶縁膜を
成膜するプロセスには、例えば、水素の発生量が比較的少ない原料ガスを用いたCVD(
Chemical Vapor Deposition)法等が選択されている。
For this reason, as a process after forming the ferroelectric film in the manufacturing process of the conventional FeRAM, the generation of moisture is as small as possible and a low temperature process is selected. The process for forming the interlayer insulating film includes, for example, CVD using a source gas that generates a relatively small amount of hydrogen (
Chemical Vapor Deposition) method has been selected.

さらに、水素や水分による強誘電体膜の劣化を防止する技術として、強誘電体キャパシ
タを覆うように酸化アルミニウム膜を形成する技術や、強誘電体キャパシタ上に形成され
た層間絶縁膜上に酸化アルミニウム膜を形成する技術が提案されている。酸化アルミニウ
ム膜は、水素や水分の拡散を防止する機能を有している。このため、酸化アルミニウム膜
を形成することで水素や水分が強誘電体膜に達することが防止され、水素や水分による強
誘電体膜の劣化を防止できる。また、強誘電体メモリでは、強誘電体キャパシタを直接覆
う酸化アルミニウム膜を水素拡散防止膜として形成させて強誘電体キャパシタへの水素拡
散を防止していた。
Furthermore, as a technique for preventing the deterioration of the ferroelectric film due to hydrogen or moisture, a technique for forming an aluminum oxide film so as to cover the ferroelectric capacitor, or an oxidation on the interlayer insulating film formed on the ferroelectric capacitor. A technique for forming an aluminum film has been proposed. The aluminum oxide film has a function of preventing diffusion of hydrogen and moisture. For this reason, the formation of the aluminum oxide film prevents hydrogen and moisture from reaching the ferroelectric film, thereby preventing deterioration of the ferroelectric film due to hydrogen and moisture. In the ferroelectric memory, an aluminum oxide film that directly covers the ferroelectric capacitor is formed as a hydrogen diffusion preventing film to prevent hydrogen diffusion into the ferroelectric capacitor.

一方、強誘電体キャパシタの上部電極を成膜するとき、或いは強誘電体キャパシタを形
成するときには、強誘電体膜が主として高エネルギのスパッタリング粒子による物理的ダ
メージを受ける。このような物理的ダメージによって強誘電体膜の結晶構造の一部が破壊
されてしまうと、強誘電体キャパシタの特性が劣化してしまう。
On the other hand, when forming the upper electrode of the ferroelectric capacitor or forming the ferroelectric capacitor, the ferroelectric film is mainly physically damaged by high-energy sputtered particles. If a part of the crystal structure of the ferroelectric film is destroyed due to such physical damage, the characteristics of the ferroelectric capacitor deteriorate.

そこで、従来では、このような強誘電体キャパシタの特性劣化を元の状態に回復させる
ために、以下に説明するような種々の処理を実施していた。
Therefore, conventionally, various processes as described below have been performed in order to restore such deterioration of the characteristics of the ferroelectric capacitor to its original state.

例えば、上部電極膜をパターニングした後、酸素雰囲気中で熱処理を行い、その後に強
誘電体膜をパターニングしてから酸素雰囲気中で熱処理を再度行う。さらに、下部電極を
パターニングした後にも酸素雰囲気中で熱処理を行う。その後、水素拡散防止膜、例えば
、酸化アルミニウム、酸化チタン、PLZT、PZTを形成する。このような従来技術に
ついては、例えば特許文献1に開示されている。その他にも、上部電極膜及び強誘電体膜
をパターニングした後、或いは、パターニングにより強誘電体キャパシタを形成した後に
酸素雰囲気中で熱処理を行うことが知られている。これらの処理では、酸素によって強誘
電体膜の結晶性が回復させられる。
For example, after patterning the upper electrode film, heat treatment is performed in an oxygen atmosphere, and after that, after patterning the ferroelectric film, the heat treatment is performed again in an oxygen atmosphere. Further, heat treatment is performed in an oxygen atmosphere even after the lower electrode is patterned. Thereafter, a hydrogen diffusion preventing film such as aluminum oxide, titanium oxide, PLZT, or PZT is formed. Such a prior art is disclosed in, for example, Patent Document 1. In addition, it is known to perform heat treatment in an oxygen atmosphere after patterning the upper electrode film and the ferroelectric film, or after forming a ferroelectric capacitor by patterning. In these treatments, the crystallinity of the ferroelectric film is restored by oxygen.

また、キャパシタの上面および側面に水素バリア膜として酸化アルミニウムを形成する
ことが知られている。より詳細には、誘電体膜を加工した後、誘電体膜の全面に酸化アル
ミニウムを形成する。さらに、酸化アルミニウムと下部電極をエッチングしてから全面に
酸化アルミニウムを形成してキャパシタを保護する。このような従来技術については、例
えば特許文献2や、特許文献3、特許文献4に開示されている。
It is also known to form aluminum oxide as a hydrogen barrier film on the upper and side surfaces of the capacitor. More specifically, after processing the dielectric film, aluminum oxide is formed on the entire surface of the dielectric film. Further, after the aluminum oxide and the lower electrode are etched, aluminum oxide is formed on the entire surface to protect the capacitor. Such conventional techniques are disclosed in, for example, Patent Document 2, Patent Document 3, and Patent Document 4.

水素雰囲気中で熱処理をしたときに強誘電体膜が水素と反応して劣化することを防ぐた
めに、キャパシタにパターンを形成した後、層間膜を堆積させ、その上に水素バリア膜と
してTiN膜を形成してからさらに層間膜を堆積させることが知られている。このような
従来技術については、例えば特許文献5に開示されている。
In order to prevent the ferroelectric film from reacting with hydrogen and being deteriorated when heat-treated in a hydrogen atmosphere, an interlayer film is deposited after forming a pattern on the capacitor, and a TiN film is formed thereon as a hydrogen barrier film. It is known that an interlayer film is further deposited after the formation. Such a prior art is disclosed in Patent Document 5, for example.

誘電体キャパシタの側壁部の水素バリア膜が薄く、バリア性能が低下したり、膜が剥が
れたりすることを防止するためには、誘電体キャパシタを形成した後、Ta、Y
、CeO又はHfOの絶縁膜で被覆することが知られている。この場合、さらに
その上にAl膜を形成して、被覆させることも知られている。このような従来技術
については、例えば特許文献6に開示されている。
In order to prevent the hydrogen barrier film on the side wall portion of the dielectric capacitor from being thin and the barrier performance from being lowered or the film from being peeled off, Ta 2 O 5 , Y 2 is formed after the dielectric capacitor is formed.
It is known to coat with an insulating film of O 3 , CeO 2 or HfO 2 . In this case, it is also known that an Al 2 O 3 film is further formed thereon to cover it. Such a conventional technique is disclosed in, for example, Patent Document 6.

また、誘電体キャパシタが水素や汚染物によって劣化しないようにするために、誘電体
キャパシタを形成した後、酸化アルミニウムの保護膜を形成した上から窒化シリコン膜を
形成することが知られている。このような従来技術については、例えば特許文献7に開示
されている。しかしながら、この方法では、誘電体キャパシタの側壁の傾斜度が低いとき
には、集積度が低減してしまう。傾斜度が高い場合は、側壁の水素バリア膜が薄くなるの
で、水素バリア性能が低下する。
In order to prevent the dielectric capacitor from being deteriorated by hydrogen or contaminants, it is known to form a silicon nitride film after forming a protective film of aluminum oxide after forming the dielectric capacitor. Such a conventional technique is disclosed in, for example, Patent Document 7. However, in this method, when the inclination of the side wall of the dielectric capacitor is low, the degree of integration is reduced. When the inclination is high, the hydrogen barrier film on the side wall becomes thin, so that the hydrogen barrier performance is deteriorated.

さらに、強誘電体膜の劣化を高い効率で回復することを目的として強誘電体キャパシタ
を形成した後、酸化アルミニウム保護膜を形成してから回復アニールを行い、さらに第2
層の酸化アルミニウム保護膜を形成する方法が検討されている。このような従来技術につ
いては、例えば特許文献8に開示されている。
Further, after forming a ferroelectric capacitor for the purpose of recovering the deterioration of the ferroelectric film with high efficiency, a recovery annealing is performed after forming an aluminum oxide protective film,
A method of forming an aluminum oxide protective film of a layer has been studied. Such a conventional technique is disclosed in, for example, Patent Document 8.

同様の目的を実現するための従来技術としては、PZT強誘電体キャパシタを炭素含有
量が異なる酸化アルミニウムで二重に覆う方法も知られている。このような従来技術につ
いては、例えば特許文献9に開示されている。
As a prior art for realizing the same object, there is also known a method in which a PZT ferroelectric capacitor is double covered with aluminum oxide having different carbon contents. Such a prior art is disclosed in, for example, Patent Document 9.

また、集積回路素子においては、下部電極、誘電体膜、上部電極を含むキャパシタの誘
電体膜の露出部に、第1の密度を有する金属酸化膜と、第1の密度より大きい第2密度を
有する第2の金属酸化膜とを配した構成が知られている。このような集積回路素子の製造
工程では、キャパシタを形成した後、酸素と反応性があるアルミニウム前駆ガス及び不活
性ガスを各々パルシングガス及びパージガスとして原子層蒸着を行う。これにより、誘電
体膜の側面には酸化アルミニウムのみが形成される。この酸化アルミニウムは水素バリア
膜となる。この後、熱処理を行って強誘電体膜の劣化を回復させると、キャパシタと水素
バリア膜の上にカプセル膜酸化アルミニウムが形成される。このような従来技術について
は、例えば特許文献10に開示されている。
In the integrated circuit element, the exposed portion of the capacitor dielectric film including the lower electrode, the dielectric film, and the upper electrode has a metal oxide film having a first density and a second density higher than the first density. A configuration in which a second metal oxide film is provided is known. In such an integrated circuit device manufacturing process, after forming a capacitor, atomic layer deposition is performed using an aluminum precursor gas and an inert gas reactive with oxygen as a pulsing gas and a purge gas, respectively. Thereby, only aluminum oxide is formed on the side surface of the dielectric film. This aluminum oxide becomes a hydrogen barrier film. Thereafter, when the heat treatment is performed to recover the deterioration of the ferroelectric film, the capsule film aluminum oxide is formed on the capacitor and the hydrogen barrier film. Such a conventional technique is disclosed in, for example, Patent Document 10.

また、半導体装置においては、下部水素バリア膜上に形成したキャパシタを覆い、かつ
キャパシタの周縁部で下部水素バリア膜を露出させるように第1層間絶縁膜を形成し、下
部水素バリア膜の露出部分を上部水素バリア膜で覆う構成が知られている。なお、上部水
素バリア膜は下部水素バリア膜に接触するように形成され、上部水素バリア膜の側面は下
部水素バリア膜の上面と鈍角をなすように配置される。このような従来技術については、
例えば特許文献11や、特許文献12に開示されている。
In the semiconductor device, the first interlayer insulating film is formed so as to cover the capacitor formed on the lower hydrogen barrier film and to expose the lower hydrogen barrier film at the peripheral edge of the capacitor, and the exposed portion of the lower hydrogen barrier film. There is known a configuration in which is covered with an upper hydrogen barrier film. The upper hydrogen barrier film is formed so as to be in contact with the lower hydrogen barrier film, and the side surface of the upper hydrogen barrier film is disposed so as to form an obtuse angle with the upper surface of the lower hydrogen barrier film. For such prior art,
For example, it is disclosed in Patent Document 11 and Patent Document 12.

また、強誘電体膜の劣化を防止する従来技術としては、強誘電体キャパシタの上に拡散
バリア膜を形成し、さらに拡散バリア膜で被覆することが知られている。水素バリア膜と
しては、Alの酸化物、Alの窒化物、Alの窒化酸化物、Taの酸化物、Taの酸化窒
化物、Tiの酸化物、Zrの酸化物のうちの少なくともいずれか一つが使用される。この
ような従来技術については、例えば特許文献13に開示されている。
Further, as a conventional technique for preventing the deterioration of the ferroelectric film, it is known that a diffusion barrier film is formed on the ferroelectric capacitor and further covered with the diffusion barrier film. The hydrogen barrier film includes at least one of Al oxide, Al nitride, Al nitride oxide, Ta oxide, Ta oxynitride, Ti oxide, and Zr oxide. used. Such a prior art is disclosed in, for example, Patent Document 13.

不純物拡散によるキャパシタ誘電体の劣化を防止する従来技術としては、キャパシタの
全表面にブロッキング膜とキャパシタ保護膜を積層させることが知れている。キャパシタ
保護膜は、水素がキャパシタ誘電膜に拡散することを防止する。ブロッキング膜はキャパ
シタ保護膜下部に形成されて、ブロッキング膜の下部に形成された物質膜とキャパシタ保
護膜とが相互反応することを防止、及び/又はキャパシタ誘電膜の揮発を防止する。例え
ば、ALD(Atomic LayerDeposition)法で成膜した酸化アルミニウム膜はカバレッジが
非常に良くなるが、成膜時にキャパシタの強誘電体膜にダメージを与えたり、誘電体膜と
反応したりしてキャパシタの性能を劣化させることがある。これを防ぐために、キャパシ
タの直上にブロッキング膜が成膜されていた。このような従来技術については、例えば特
許文献14に開示されている。
As a conventional technique for preventing deterioration of a capacitor dielectric due to impurity diffusion, it is known that a blocking film and a capacitor protective film are laminated on the entire surface of the capacitor. The capacitor protection film prevents hydrogen from diffusing into the capacitor dielectric film. The blocking film is formed under the capacitor protection film to prevent the material film formed under the blocking film and the capacitor protection film from reacting with each other and / or volatilization of the capacitor dielectric film. For example, an aluminum oxide film formed by the ALD (Atomic Layer Deposition) method has very good coverage, but damages the ferroelectric film of the capacitor during the film formation or reacts with the dielectric film to cause the capacitor May degrade performance. In order to prevent this, a blocking film has been formed directly on the capacitor. Such a conventional technique is disclosed in, for example, Patent Document 14.

また、エッチング工程には、高温一括エッチング方法を採用することが知られている。
このような従来技術については、例えば特許文献15や、引用文献16に開示されている
In addition, it is known to employ a high temperature batch etching method for the etching process.
Such conventional techniques are disclosed in, for example, Patent Document 15 and Cited Document 16.

さらに、貴金属電極等の難エッチング材料をエッチングした後、エッチングマスク層の
側壁に再付着する不揮発性の反応生成物を除去する方法としては、Ir層上に、有機物質
膜、ハードマスクとなるTiN層を順に積層し、フォトレジスト膜をマスクとしてこの積
層膜をドライエッチングすることが知られている。この場合、Ir層のドライエッチング
は酸素を含んだガスを用いて行われる。エッチング時に有機物質膜がサイドエッチされる
ため、反応生成物からなる側壁再付着層は有機物質膜層の側壁には生じない。この後、ア
ッシング処理を行えば、有機物質膜層のみがエッチングされ、マスクの役割を果たしたT
iN層は容易に除去され、パターニングされた被エッチング材だけが残される。このよう
な従来技術については、例えば特許文献17に開示されている。
Further, after etching a difficult-to-etch material such as a noble metal electrode, a non-volatile reaction product reattached to the sidewall of the etching mask layer can be removed by using an organic material film or a hard mask TiN on the Ir layer. It is known that layers are sequentially stacked and this stacked film is dry-etched using a photoresist film as a mask. In this case, dry etching of the Ir layer is performed using a gas containing oxygen. Since the organic material film is side-etched during the etching, the side wall reattachment layer made of the reaction product does not occur on the side wall of the organic material film layer. After this, if an ashing process is performed, only the organic material film layer is etched and T serving as a mask is obtained.
The iN layer is easily removed, leaving only the patterned material to be etched. Such a conventional technique is disclosed in, for example, Patent Document 17.

なお、ハードマスクの表面及びその付近においてAlや、Tiが幾分酸化してAlO
(たとえば、Al)やTiO(たとえば、TiO)を形成するが、O中にお
いて450℃でアニールすると除去されることが知られている。このような従来技術につ
いては、例えば特許文献18に開示されている。
Al and Ti are somewhat oxidized on the surface of the hard mask and in the vicinity thereof, and AlO x
(For example, Al 2 O 3 ) and TiO x (for example, TiO 2 ) are formed, but are known to be removed by annealing at 450 ° C. in O 2 . Such a conventional technique is disclosed in, for example, Patent Document 18.

また、キャパシタの保護膜をAl、ZrO、HfO、TiO、TaO
SiN及びAlNから成る群から選択される材料で作製し、下部電極及び強誘電体層の側
壁、上部電極の側壁の下部をその保護膜で被覆することが知られている。このような従来
技術については、例えば特許文献19に開示されている。
Further, the protective film of the capacitor is made of Al 2 O 3 , ZrO 2 , HfO 2 , TiO x , TaO x ,
It is known to produce a material selected from the group consisting of SiN and AlN, and to cover the side walls of the lower electrode and the ferroelectric layer and the lower part of the side wall of the upper electrode with the protective film. Such a conventional technique is disclosed in, for example, Patent Document 19.

そして、シリコン基板上に絶縁膜を介して第1水素バリア膜、下部電極膜、強誘電体膜
、上部電極膜及び第2水素バリア膜を順次堆積させることで水素還元作用による劣化を抑
制することが試みられている。上部電極をパターニングするときには、マスクを用いて水
素バリア膜及び上部電極膜を順次エッチングする。さらに、露出した強誘電体膜を覆う第
3の水素バリア膜を堆積させ、この上に形成したマスクを用いて強誘電体膜及び下部電極
膜を順次エッチングする。これによって、強誘電体膜とこれに自己整合された下部電極の
パターンが形成される。このような従来技術については、例えば特許文献20,21,2
2,23,24,25,26に開示されている。
特開2003−332536号公報 特開2005−116756号公報 特開2004−349474号公報 特開2004−95861号公報 特開平9−293868号公報 特開2003−115545号公報 特開2001−210798号公報 特開2005−183843号公報 特開2003−273332号公報 特開2002−93797号公報 特開2004−282041号公報 特開2005−129875号公報 特開2002−176149号公報 特開2001−111007号公報 特開平9−162311号公報 特開2002−94016号公報 特開2001−313282号公報 特開2000−133633号公報 特開2004−186518号公報 特開2001−36026号公報 特開2001−168290号公報 特開2001−358309号公報 特開2002−43540号公報 特開2002−280528号公報 特開2003−324157号公報 特許第3276351号公報
Then, the first hydrogen barrier film, the lower electrode film, the ferroelectric film, the upper electrode film, and the second hydrogen barrier film are sequentially deposited on the silicon substrate via the insulating film to suppress deterioration due to the hydrogen reduction action. Has been tried. When patterning the upper electrode, the hydrogen barrier film and the upper electrode film are sequentially etched using a mask. Further, a third hydrogen barrier film covering the exposed ferroelectric film is deposited, and the ferroelectric film and the lower electrode film are sequentially etched using the mask formed thereon. As a result, the pattern of the ferroelectric film and the lower electrode self-aligned with the ferroelectric film is formed. For such conventional technology, for example, Patent Documents 20, 21, 2
2, 23, 24, 25, and 26.
JP 2003-332536 A JP-A-2005-116756 JP 2004-349474 A JP 200495861 A JP-A-9-293868 JP 2003-115545 A JP 2001-210798 A JP 2005-183843 A JP 2003-273332 A JP 2002-93797 A Japanese Patent Laid-Open No. 2004-282041 JP 2005-129875 A JP 2002-176149 A JP 2001-111007 A Japanese Patent Laid-Open No. 9-16211 JP 2002-94016 A JP 2001-313282 A JP 2000-133633 A JP 2004-186518 A JP 2001-36026 A JP 2001-168290 A JP 2001-358309 A JP 2002-43540 A JP 2002-280528 A JP 2003-324157 A Japanese Patent No. 3276351

強誘電体キャパシタを備えた半導体装置において、強誘電体キャパシタの特性劣化を抑
制するために上記した種々の構造或いは工程が採用されているが、特定劣化をさらに防止
する必要がある。
In a semiconductor device provided with a ferroelectric capacitor, the various structures or processes described above are employed in order to suppress the characteristic deterioration of the ferroelectric capacitor, but it is necessary to further prevent the specific deterioration.

本発明は、このような事情を鑑みてなされたものであり、信頼性の高い半導体装置を効
率良く製造できるようにすることを主な目的とする。
The present invention has been made in view of such circumstances, and a main object of the present invention is to enable efficient manufacture of a highly reliable semiconductor device.

本願の一観点によれば、半導体基板と、前記半導体基板上に絶縁膜を介して順次積層された下部電極、強誘電体膜及び上部電極を有する強誘電体キャパシタと、前記強誘電体キャパシタの積層方向において前記上部電極の上方に設けられ、膜厚方向に酸素濃度の分布が異なる部分を有する第1保護膜と、前記第1保護膜の上方、及び前記強誘電体キャパシタの側壁を含む領域に設けられる還元元素拡散防止用の第2保護膜と、前記第1保護膜及び前記第2保護膜を貫通し、前記上部電極に達する導電性プラグと、を含むことを特徴とする半導体装置が提供されるAccording to an aspect of the present application, a semiconductor substrate, a ferroelectric capacitor having a lower electrode, a ferroelectric film, and an upper electrode sequentially stacked on the semiconductor substrate via an insulating film, and the ferroelectric capacitor A region including a first protective film provided above the upper electrode in the stacking direction and having a portion having a different oxygen concentration distribution in the film thickness direction, the first protective film, and a side wall of the ferroelectric capacitor a second protective film for reducing element diffusion prevention provided, said first penetrates the protective film and the second protective layer, a semiconductor device which comprises a conductive plug reaching the upper electrode Provided .

また、本発明の別の観点によれば、半導体基板の上方に下部導電膜、強誘電体膜、上部導電膜を積層する工程と、前記上部導電膜の上に第1保護膜を形成する工程と、前記第1保護膜及び前記上部導電膜をパターニングすることにより、前記導電膜からキャパシタの上部電極を形成する工程と、前記強誘電体膜及び前記第1保護膜を酸素雰囲気中で加熱することにより、前記第1保護膜中で酸素濃度が膜厚方向に異なる部分を形成する熱処理工程と、前記強誘電体膜及び前記下部導電膜をパターニングすることにより、前記下部導電膜から前記キャパシタの下部電極を形成する工程と、前記第1保護膜及び前記キャパシタを覆う還元元素拡散防止用の第2保護膜を形成する工程と、前記第1保護膜及び前記第2保護膜を貫通し、前記上部電極に達する導電性プラグを形成する工程と、を含むことを特徴とする半導体装置の製造方法が提供される。
According to another aspect of the present invention, a step of laminating a lower conductive film, a ferroelectric film, and an upper conductive film above a semiconductor substrate, and a step of forming a first protective film on the upper conductive film And patterning the first protective film and the upper conductive film to form an upper electrode of a capacitor from the conductive film, and heating the ferroelectric film and the first protective film in an oxygen atmosphere. Accordingly, a heat treatment step of forming a portion having a different oxygen concentration in the film thickness direction in the first protective film, and patterning the ferroelectric film and the lower conductive film, from the lower conductive film to the capacitor Forming a lower electrode; forming a second protective film for preventing diffusion of a reducing element covering the first protective film and the capacitor; penetrating the first protective film and the second protective film; Upper electrode The method of manufacturing a semiconductor device which comprises forming a conductive plug is reached, is provided.

本発明のさらに別の観点によれば、半導体基板の上方に下部導電膜、強誘電体膜、及び上部導電膜を順に積層する工程と、前記上部導電膜の上に第1のハードマスク及び第2のハードマスクを順番に形成する工程と、前記第1及び第2のハードマスクを用いて、前記下部導電膜、前記強誘電体膜、及び前記上部導電膜をパターニングして、上部電極を有する強誘電体キャパシタを形成する工程と、前記強誘電体キャパシタを形成した後に、前記第1のハードマスクを残して前記第2のハードマスクを除去する工程と、前記強誘電体キャパシタ及び前記第1のハードマスクを酸素雰囲気中で加熱し、前記第1のマスクの少なくとも一部を酸化させ膜厚方向で酸素濃度の分布が異なる部分を有する第1保護膜を形成する熱処理工程と、前記第1保護膜及び前記強誘電体キャパシタを覆う還元元素拡散防止用の第2保護膜を形成する工程と、前記第1保護膜及び前記第2保護膜を貫通し、前記上部電極に達する導電性プラグを形成する工程と、を含むことを特徴とする半導体装置の製造方法が提供される。 According to still another aspect of the present invention, a lower conductive film, a ferroelectric film, and an upper conductive film are sequentially stacked on a semiconductor substrate, and a first hard mask and a first hard mask are formed on the upper conductive film. And forming the second hard mask in sequence, and patterning the lower conductive film, the ferroelectric film, and the upper conductive film using the first and second hard masks to have an upper electrode Forming a ferroelectric capacitor; removing the second hard mask while leaving the first hard mask after the ferroelectric capacitor is formed; and the ferroelectric capacitor and the first the hard mask is heated in an oxygen atmosphere at a heat treatment step of the distribution of the oxygen concentration to oxidize the at least partially the thickness direction of the first mask to form a first protective layer having a different portion, the first 1 Forming a second protective film for preventing reduction element diffusion covering the protective film and the ferroelectric capacitor; and a conductive plug penetrating the first protective film and the second protective film and reaching the upper electrode And a step of forming the semiconductor device.

本発明によれば、膜厚方向で酸素濃度が異なる部分を有する第1保護膜をキャパシタ上
部電極の上に設けたので、第1保護膜により強誘電体キャパシタ内への還元性物質の透過
を防止することができ、強誘電体キャパシタの性能劣化を防止できる。
According to the present invention, since the first protective film having a portion having a different oxygen concentration in the film thickness direction is provided on the capacitor upper electrode, the first protective film allows the reducing substance to permeate into the ferroelectric capacitor. It is possible to prevent the deterioration of the performance of the ferroelectric capacitor.

また、第1保護膜をマスクに使用して強誘電体キャパシタの上部電極をパターニングし
た後に、第1保護膜を除去することなく、強誘電体キャパシタに還元性物質の透過を防止
する膜として残すようにしたので、従来のようにマスクを除去する工程が不要になり、微
小異物の発生を防止できる。これにより工程劣化が防止され、しかも半導体装置の歩留ま
りを向上できる。
Further, after patterning the upper electrode of the ferroelectric capacitor using the first protective film as a mask, the first protective film is left as a film for preventing the permeation of the reducing substance without removing the first protective film. Since it did in this way, the process of removing a mask like the past becomes unnecessary, and generation | occurrence | production of a micro foreign material can be prevented. As a result, process deterioration can be prevented and the yield of the semiconductor device can be improved.

(第1実施の形態)
本発明の第1実施の形態について図面を参照して詳細に説明する。
(First embodiment)
A first embodiment of the present invention will be described in detail with reference to the drawings.

図1A〜図1Lは、本発明の第1実施形態に係る半導体装置の製造途中の断面図である
1A to 1L are cross-sectional views of the semiconductor device according to the first embodiment of the present invention during manufacture.

半導体装置は、プレーナ型のFeRAMであって、以下のようにして作製される。   The semiconductor device is a planar type FeRAM and is manufactured as follows.

最初に、図1Aに示す断面構造を得るまでの工程について説明する。   First, steps required until a sectional structure shown in FIG. 1A is obtained will be described.

まず、半導体基板であるn型又はp型のシリコン基板1の表面に、トランジスタの活性
領域を画定する素子分離絶縁膜2を形成する。この実施の形態では素子分離絶縁層2とし
て、シャロートレンチアイソレーション(STI)を形成する。STIは、シリコン基板
1の素子分離領域に溝を形成し、その中に酸化シリコン等の絶縁膜を埋め込むことにより
形成される。なお、素子分離絶縁層2は、STIに限られず、LOCOS(Local Oxidat
ion of Silicon)法で形成した絶縁膜であってもよい。
First, an element isolation insulating film 2 that defines an active region of a transistor is formed on the surface of an n-type or p-type silicon substrate 1 that is a semiconductor substrate. In this embodiment, shallow trench isolation (STI) is formed as the element isolation insulating layer 2. The STI is formed by forming a groove in the element isolation region of the silicon substrate 1 and embedding an insulating film such as silicon oxide therein. Note that the element isolation insulating layer 2 is not limited to the STI, and the LOCOS (Local Oxidat
An insulating film formed by an ion of silicon method may be used.

次いで、シリコン基板1のメモリセル領域Aにおけるトランジスタ活性領域にp型不純
物、例えばボロンを導入してpウェル3を形成する。また、周辺回路領域Bにおける所定
の活性領域にn型不純物を選択的に導入してnウェル4を形成する。この後、活性領域の
表面にゲート絶縁膜5を形成する。ゲート絶縁膜5は、熱酸化によるシリコン酸化膜が用
いられ、その厚さは約6〜7nmとする。
Next, a p-type impurity such as boron is introduced into the transistor active region in the memory cell region A of the silicon substrate 1 to form the p-well 3. In addition, an n-type impurity is selectively introduced into a predetermined active region in the peripheral circuit region B to form an n-well 4. Thereafter, a gate insulating film 5 is formed on the surface of the active region. The gate insulating film 5 is a silicon oxide film formed by thermal oxidation and has a thickness of about 6 to 7 nm.

さらに、シリコン基板1の全面に、非晶質シリコン膜とタングステンシリサイド膜とを
順番に形成し、フォトリソグラフィ技術を用いてパターニングしてゲート電極6A,6B
,6Cを形成する。ゲート電極6A,6Bはメモリセル領域Aに形成される。ゲート電極
6Cは周辺回路領域Bに形成される。非晶質シリコン膜は例えば厚さ約50nmとし、タ
ングステンシリサイド膜の厚さは約150nmとする。なお、非晶質シリコン膜の代わり
にポリシリコン膜を形成しても良い。
Further, an amorphous silicon film and a tungsten silicide film are sequentially formed on the entire surface of the silicon substrate 1 and patterned by using a photolithography technique to form gate electrodes 6A and 6B.
, 6C. Gate electrodes 6A and 6B are formed in memory cell region A. The gate electrode 6C is formed in the peripheral circuit region B. For example, the amorphous silicon film has a thickness of about 50 nm, and the tungsten silicide film has a thickness of about 150 nm. Note that a polysilicon film may be formed instead of the amorphous silicon film.

ゲート電極6A,6Bは、pウェル3上に互いに平行に二つ形成され、その各々がワー
ド線の一部を構成する。さらに、ゲート電極6A,6Bをマスクにしたイオン注入により
、ゲート電極6A,6Bの両側のシリコン基板1の表層にn型不純物、例えばリンを導入
し、第1、第2ソース/ドレインエクステンション8A,8Bを形成する。
Two gate electrodes 6A and 6B are formed in parallel with each other on the p-well 3, each of which constitutes a part of a word line. Further, by ion implantation using the gate electrodes 6A and 6B as a mask, an n-type impurity such as phosphorus is introduced into the surface layer of the silicon substrate 1 on both sides of the gate electrodes 6A and 6B, and the first and second source / drain extensions 8A, 8B is formed.

なお、これと同時に、周辺回路領域Bのpウェル4において、ゲート電極6Cの両側の
シリコン基板1にp型不純物を導入し、ソース/ドレインエクステンション9を形成する
。n型不純物とp型不純物の打ち分けはレジストパターンを使用して行われる。
At the same time, in the p well 4 in the peripheral circuit region B, p-type impurities are introduced into the silicon substrate 1 on both sides of the gate electrode 6C to form source / drain extensions 9. The n-type impurity and the p-type impurity are separated using a resist pattern.

この後に、ゲート電極6A〜6Cを含むシリコン基板1の上側全面に絶縁膜を形成し、
その絶縁膜をエッチバックしてゲート電極6A〜6Cの両側部分のみを残して、絶縁性サ
イドウォール10を形成する。絶縁膜には、例えばCVD法により形成された酸化シリコ
ン膜が用いられる。
Thereafter, an insulating film is formed on the entire upper surface of the silicon substrate 1 including the gate electrodes 6A to 6C,
The insulating film is etched back to form the insulating sidewall 10 while leaving only the both side portions of the gate electrodes 6A to 6C. For the insulating film, for example, a silicon oxide film formed by a CVD method is used.

続いて、絶縁性サイドウォール10とゲート電極6A,6Bをマスクにしてシリコン基
板1の表層に砒素等のn型不純物を再びイオン注入し、各ゲート電極6A,6Bの側方の
シリコン基板1に第1、第2ソース/ドレイン領域11A,11Bを形成する。
Subsequently, n-type impurities such as arsenic are ion-implanted again into the surface layer of the silicon substrate 1 using the insulating sidewall 10 and the gate electrodes 6A and 6B as a mask, and the silicon substrate 1 beside the gate electrodes 6A and 6B is implanted. First and second source / drain regions 11A and 11B are formed.

同様に、周辺回路領域Bにおいてもイオン注入によってゲート電極6Cの側方のシリコ
ン基板1に第1、第2ソース/ドレイン領域13A,13Bを形成する。
Similarly, in the peripheral circuit region B, first and second source / drain regions 13A and 13B are formed in the silicon substrate 1 on the side of the gate electrode 6C by ion implantation.

さらに、ゲート電極6A〜6Cを含むシリコン基板1の上側全面に金属膜をスパッタ法
により形成する。金属膜は、例えば、コバルト膜等の高融点金属が好ましいが、比較的に
融点が低い金属であっても良い。そして、この金属膜を加熱してシリコンと反応させるこ
とにより、ゲート電極6A〜6Cの上面と、第1、第2ソース/ドレイン領域11A,1
1B,13A,13Bにおけるシリコン基板1上にそれぞれにコバルトシリサイド層等の
金属シリサイド層12を形成する。この熱処理によって、各ソース/ドレイン領域11A
,11B,13A,13Bが活性化されて低抵抗化する。
Further, a metal film is formed on the entire upper surface of the silicon substrate 1 including the gate electrodes 6A to 6C by sputtering. The metal film is preferably a high melting point metal such as a cobalt film, but may be a metal having a relatively low melting point. Then, the metal film is heated to react with silicon, whereby the upper surfaces of the gate electrodes 6A to 6C and the first and second source / drain regions 11A, 1
A metal silicide layer 12 such as a cobalt silicide layer is formed on the silicon substrate 1 in 1B, 13A, and 13B. By this heat treatment, each source / drain region 11A
, 11B, 13A, 13B are activated to reduce the resistance.

その後に、素子分離絶縁膜2上などで未反応となっている高融点金属膜をウエットエッ
チングによって除去する。
Thereafter, the unreacted refractory metal film on the element isolation insulating film 2 and the like is removed by wet etching.

ここまでの工程で、シリコン基板1の活性領域にゲート絶縁膜5、ゲート電極6A,6
B、第1、第2ソース/ドレイン領域11A,11B等によって構成されるMOSトラン
ジスタT1,T2が形成される。また、周辺回路領域BにもトランジスタT3が形成され
る。
Up to this step, the gate insulating film 5 and the gate electrodes 6A, 6 are formed in the active region of the silicon substrate 1.
B, MOS transistors T1 and T2 constituted by the first and second source / drain regions 11A and 11B are formed. A transistor T3 is also formed in the peripheral circuit region B.

次に、ゲート電極6A〜6Cを含むシリコン基板1の上側全面に、酸化防止絶縁膜15
(カバー膜)としてプラズマCVD法で酸窒化シリコン(SiON)膜を厚さ約200nm
に形成する。さらに、TEOS(tetra ethoxy silane)ガスを使用するプラズマCVD法
により、酸化防止絶縁膜15の上に第1層間絶縁膜16として酸化シリコン(SiO)膜
を厚さ約1000nmに形成する。なお、TEOSを用いてプラズマCVD法によって形
成されるSiO2膜を以下TEOS膜という。
Next, the oxidation-preventing insulating film 15 is formed on the entire upper surface of the silicon substrate 1 including the gate electrodes 6A to 6C.
As a (cover film), a silicon oxynitride (SiON) film with a thickness of about 200 nm is formed by plasma CVD.
To form. Further, a silicon oxide (SiO 2 ) film having a thickness of about 1000 nm is formed as the first interlayer insulating film 16 on the antioxidant insulating film 15 by plasma CVD using TEOS (tetra ethoxy silane) gas. Note that a SiO 2 film formed by plasma CVD using TEOS is hereinafter referred to as a TEOS film.

そして、第1層間絶縁膜16の表面をCMP(Chemical Mechanical Polishing:化学的
機械研磨)法で研磨して平坦化させ、シリコン基板1の表面から第1層間絶縁膜16の表
面までの膜厚を所定値、例えば、約785nmに調整する。
Then, the surface of the first interlayer insulating film 16 is polished and planarized by a CMP (Chemical Mechanical Polishing) method, and the film thickness from the surface of the silicon substrate 1 to the surface of the first interlayer insulating film 16 is increased. It is adjusted to a predetermined value, for example, about 785 nm.

次に、図1Bに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

まず、酸化防止絶縁膜15と第1層間絶縁膜16とをフォトリソグラフィ法によってパ
ターニングして、第1コンタクトホール17A,17B,17Cを形成する。第1コンタ
クトホール17A〜17Cの深さは第1ソース/ドレイン領域10A〜10Dのそれぞれ
の高融点金属シリサイド層11に到達するまでとし、その径は例えば0.25μmにする
First, the antioxidant insulating film 15 and the first interlayer insulating film 16 are patterned by photolithography to form first contact holes 17A, 17B, and 17C. The depth of the first contact holes 17A to 17C is set to reach the refractory metal silicide layer 11 of each of the first source / drain regions 10A to 10D, and the diameter thereof is, for example, 0.25 μm.

そして、第1コンタクトホール17A〜17Cを用いて第1ソース/ドレイン領域11
A,11B,13A,13Bに電気的に接続される導電性プラグ18A〜18Eを形成す
る。具体的には、第1コンタクトホール17A〜17Cの内面に厚さが30nmのTi膜
と、厚さが20nmのTiN膜とを順番にスパッタ法等により形成し、2層の積層構造を
有する密着膜(グルー膜)19Aを作製する。さらに、密着膜19A上にタングステン(
W)膜19BをCVD法により成長させる。この膜厚は、第1層間絶縁膜16上で、例え
ば300nmとし、W膜19Bで第1コンタクトホール17A〜17Cの空隙を埋める。
第1層間絶縁膜16の上面上に成長した余分なW膜19Bは、CMP法で除去する。これ
により、コンタクトホール17A〜17Cには、それぞれ導電性プラグ18A〜18Cが
形成される。
Then, the first source / drain region 11 is formed using the first contact holes 17A to 17C.
Conductive plugs 18A to 18E electrically connected to A, 11B, 13A, and 13B are formed. Specifically, a Ti film having a thickness of 30 nm and a TiN film having a thickness of 20 nm are sequentially formed on the inner surfaces of the first contact holes 17A to 17C by a sputtering method or the like, and has a two-layer stacked structure. A film (glue film) 19A is produced. Further, tungsten (
W) The film 19B is grown by the CVD method. This film thickness is set to, for example, 300 nm on the first interlayer insulating film 16, and the W film 19B fills the gaps of the first contact holes 17A to 17C.
Excess W film 19B grown on the upper surface of first interlayer insulating film 16 is removed by CMP. As a result, conductive plugs 18A to 18C are formed in the contact holes 17A to 17C, respectively.

なお、周辺回路領域Bにも同様にして第1コンタクトホール17D,17Eを作製し、
それぞれに導電性プラグ18D,18Eを形成する。
The first contact holes 17D and 17E are similarly formed in the peripheral circuit region B,
Conductive plugs 18D and 18E are formed respectively.

次に、後の工程の熱アニール時に導電性プラグ18A〜18Eが酸化されないように、
第1層間絶縁膜16上及び導電性プラグ18A〜18E上に第2層間絶縁膜20を形成す
る。第2層間絶縁膜20は、例えば、SiON膜を約100nmの膜厚に形成し、さらに
TEOS膜を約130nmの膜厚で堆積させた構成を有する。第2層間絶縁膜20を形成
した後に、窒素雰囲気中で約650℃の温度で30分間程度アニールして第2層間絶縁膜
20の脱ガスを行う。
Next, in order to prevent the conductive plugs 18A to 18E from being oxidized during the thermal annealing in the subsequent process,
A second interlayer insulating film 20 is formed on the first interlayer insulating film 16 and the conductive plugs 18A to 18E. The second interlayer insulating film 20 has a configuration in which, for example, a SiON film is formed to a thickness of about 100 nm, and a TEOS film is deposited to a thickness of about 130 nm. After the second interlayer insulating film 20 is formed, the second interlayer insulating film 20 is degassed by annealing in a nitrogen atmosphere at a temperature of about 650 ° C. for about 30 minutes.

次に、図1Cに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

まず、第2層間絶縁膜20の上に、下部電極密着膜21として酸化アルミニウム(Al
)膜をスパッタ法で20nm程度の厚さに形成する。その後、急速加熱処理(RT
A)により650℃の酸素雰囲気中で下部電極密着膜21を酸化させる。
First, on the second interlayer insulating film 20, an aluminum oxide (Al
A 2 O 3 ) film is formed by sputtering to a thickness of about 20 nm. Then, rapid heat treatment (RT
According to A), the lower electrode adhesion film 21 is oxidized in an oxygen atmosphere at 650 ° C.

次に、下部電極密着膜21の上に、下部電極となる第1導電膜22(下部導電膜)を形
成する。第1導電膜22には、例えば、スパッタ法で形成したプラチナ膜が用いられ、そ
の厚さは約150nmとする。なお、第1導電膜22は、プラチナ膜の代りにイリジウム
膜、ルテニウム膜、酸化ルテニウム(RuO)膜、SrRuO膜のいずれかの単層膜
、或いはこれらの積層膜でも良い。なお、第1導電膜22を形成する前に下部電極密着膜
21を形成しているので、第1導電膜22と第2層間絶縁膜20との密着力が高められる
Next, a first conductive film 22 (lower conductive film) to be a lower electrode is formed on the lower electrode adhesion film 21. For example, a platinum film formed by a sputtering method is used for the first conductive film 22, and the thickness thereof is about 150 nm. The first conductive film 22 may be a single-layer film of any one of an iridium film, a ruthenium film, a ruthenium oxide (RuO 2 ) film, and a SrRuO 3 film, or a laminated film thereof, instead of the platinum film. Since the lower electrode adhesion film 21 is formed before the first conductive film 22 is formed, the adhesion between the first conductive film 22 and the second interlayer insulating film 20 is enhanced.

次に、第1導電膜22の上に第1強誘電体膜23を形成する。第1強誘電体膜23とし
ては、例えばPZT(Pb(Zrx, Ti1-x)O(0≦x≦1))膜が用いられ、PZTターゲットを
用いるRF(Radio Frequency)スパッタ法により厚さ約90nmに形成される。
Next, a first ferroelectric film 23 is formed on the first conductive film 22. As the first ferroelectric film 23, for example, a PZT (Pb (Zr x , Ti 1-x ) O 3 (0 ≦ x ≦ 1)) film is used, and an RF (Radio Frequency) sputtering method using a PZT target is used. A thickness of about 90 nm is formed.

なお、第1強誘電体膜23はPZTに限定されない。PZTにCa、Sr、La、Nb
、Ta、Ir、Wのいずれかを添加した材料から第1強誘電体膜23を形成しても良い。
さらに、(Bi1−xRx)Ti12(Rは希土類元素で0<x<1)、SrBi
Ta(SBT)、SrBiTi15等のBi層状化合物から第1強誘電体膜
23を形成しても良い。また、第1強誘電体膜23の成膜方法もスパッタ法に限定されず
、ゾル・ゲル法、MOD(Metal Organic Deposition)法、MOCVD(Metal Organic C
VD)法を採用しても良い。
The first ferroelectric film 23 is not limited to PZT. PZT with Ca, Sr, La, Nb
, Ta, Ir, or W may be used to form the first ferroelectric film 23.
Further, (Bi 1-x Rx) Ti 3 O 12 (R is a rare earth element, 0 <x <1), SrBi 2
The first ferroelectric film 23 may be formed from a Bi layered compound such as Ta 2 O 9 (SBT) or SrBi 4 Ti 4 O 15 . Further, the film formation method of the first ferroelectric film 23 is not limited to the sputtering method, but a sol-gel method, a MOD (Metal Organic Deposition) method, a MOCVD (Metal Organic C).
VD) method may be adopted.

ところで、第1強誘電体膜23をスパッタ法で形成すると膜質が非晶質になり、強誘電
体特性が結晶質の場合に比べて劣ることが知られている。そこで、成膜後に結晶化アニー
ルを実施して第1強誘電体膜23を結晶化させる。
By the way, it is known that when the first ferroelectric film 23 is formed by the sputtering method, the film quality becomes amorphous, and the ferroelectric characteristics are inferior to those of crystalline. Therefore, crystallization annealing is performed after film formation to crystallize the first ferroelectric film 23.

結晶化アニールは、酸素含有雰囲気、例えば酸素濃度が1.25流量%となるように調
整された酸素+アルゴンの雰囲気で、RTA(Rapid Thermal Anneal)により行われる。基
板温度は例えば600℃で、処理時間は90秒とする。これにより、第1強誘電体膜23
が結晶化して膜中にPZT結晶粒が多数形成される。なお、MOCVD法を採用した場合
、第1強誘電体膜23は成膜の時点で結晶化しているので結晶化アニールは不要になる。
The crystallization annealing is performed by RTA (Rapid Thermal Anneal) in an oxygen-containing atmosphere, for example, an oxygen + argon atmosphere adjusted so that the oxygen concentration becomes 1.25 flow%. The substrate temperature is 600 ° C., for example, and the processing time is 90 seconds. Thus, the first ferroelectric film 23
Is crystallized to form a large number of PZT crystal grains in the film. When the MOCVD method is employed, the first ferroelectric film 23 is crystallized at the time of film formation, so that crystallization annealing is not necessary.

次に、第1強誘電体膜23の上に、第2強誘電体膜24として非晶質のPZT膜をRF
スパッタ法で例えば厚さ10nm〜30nmに形成する。なお、第2強誘電体膜24はP
ZT膜に限定されず、PZTにCa、Sr、La、Nb、Ta、Ir、Wのいずれかを添
加した材料から第2強誘電体膜24を形成してもよい。さらに、(Bi1-xRx)Ti
12(Rは希土類元素で0<x<1)、SrBiTa(SBT)や、SrB
Ti15等のBi層状化合物で第2強誘電体膜24を形成しても良い。なお、第
2強誘電体膜24は、第1強誘電体膜23と同じ材料で形成することが好ましい。
Next, an amorphous PZT film is formed on the first ferroelectric film 23 as the second ferroelectric film 24 by RF.
For example, a thickness of 10 nm to 30 nm is formed by sputtering. The second ferroelectric film 24 is made of P.
The second ferroelectric film 24 may be formed from a material obtained by adding any of Ca, Sr, La, Nb, Ta, Ir, and W to PZT. Furthermore, (Bi 1-x Rx) Ti
3 O 12 (R is a rare earth element 0 <x <1), SrBi 2 Ta 2 O 9 (SBT), SrB
The second ferroelectric film 24 may be formed of a Bi layered compound such as i 4 Ti 4 O 15 . Note that the second ferroelectric film 24 is preferably formed of the same material as the first ferroelectric film 23.

次に、第2強誘電体膜24の上に第2導電膜25(上部導電膜)として第1酸化イリジ
ウム膜25Aをスパッタ法により、例えば、厚さ約50nmに形成する。その後に、酸素
含有雰囲気中において第2強誘電体膜24に対する結晶化アニールを行って非晶質の第2
強誘電体膜24を結晶化させると共に、第2強誘電体膜24の下の第1強誘電体膜23の
結晶性をさらに高める。アニールの条件は、例えば、基板温度710℃、処理時間を12
0秒とする。アニールを行う酸素含有雰囲気には、酸素濃度が1流量%に調整された酸素
ガスとアルゴンガスとの混合雰囲気が用いられる。
Next, a first iridium oxide film 25A is formed as a second conductive film 25 (upper conductive film) on the second ferroelectric film 24 by sputtering, for example, to a thickness of about 50 nm. Thereafter, crystallization annealing is performed on the second ferroelectric film 24 in an oxygen-containing atmosphere to perform amorphous second
The ferroelectric film 24 is crystallized, and the crystallinity of the first ferroelectric film 23 under the second ferroelectric film 24 is further enhanced. The annealing conditions are, for example, a substrate temperature of 710 ° C. and a processing time of 12
0 seconds. As the oxygen-containing atmosphere for annealing, a mixed atmosphere of oxygen gas and argon gas whose oxygen concentration is adjusted to 1 flow% is used.

第1酸化イリジウム膜25Aを形成した後に第2強誘電体膜24を結晶化することによ
り、膜を構成する酸化イリジウムが第2強誘電体膜24の結晶粒界に入り込むのを防止で
きる。これによって、酸化イリジウムによって第2強誘電体膜24にリークパスが形成さ
れることを抑制できる。また、このアニールにより、第1酸化イリジウム膜25Aを透過
して酸素が第2強誘電体膜24に供給され、第2強誘電体膜24の酸素欠損が補われると
いう利点も有する。
By crystallizing the second ferroelectric film 24 after forming the first iridium oxide film 25 </ b> A, it is possible to prevent iridium oxide constituting the film from entering the crystal grain boundary of the second ferroelectric film 24. As a result, the formation of a leak path in the second ferroelectric film 24 due to iridium oxide can be suppressed. This annealing also has an advantage that oxygen is supplied to the second ferroelectric film 24 through the first iridium oxide film 25A and oxygen deficiency in the second ferroelectric film 24 is compensated.

このような利点を得るために、第1酸化イリジウム膜25Aの厚さは酸素が透過し易い
ように薄く、例えば10nm〜100nmとするのが好ましい。しかしながら、このよう
に薄い第2導電膜25を第2強誘電体膜24上に形成しただけでは、後のエッチング工程
等において第2導電膜25で吸収しきれなかったダメージが第1、2強誘電体膜23,2
4に影響を及ぼす可能性がある。
In order to obtain such advantages, the thickness of the first iridium oxide film 25A is thin so that oxygen can easily pass through, and is preferably 10 nm to 100 nm, for example. However, if the thin second conductive film 25 is formed on the second ferroelectric film 24 in this way, damage that cannot be absorbed by the second conductive film 25 in the subsequent etching process or the like will be reduced to the first and second strong films. Dielectric films 23, 2
4 may be affected.

そこで、次の工程で第1、第2強誘電体膜23,24を保護するための導電性保護膜と
して、第2酸化イリジウム膜25Bを第1酸化イリジウム膜25Aの上にスパッタ法で厚
さ約200nmに形成する。この第2酸化イリジウム膜25B及び第1酸化イリジウム膜
25Aとで第2導電膜25が形成される。
Therefore, as a conductive protective film for protecting the first and second ferroelectric films 23 and 24 in the next step, the second iridium oxide film 25B is formed on the first iridium oxide film 25A by sputtering. Form about 200 nm. The second conductive film 25 is formed by the second iridium oxide film 25B and the first iridium oxide film 25A.

シリコン基板1の背面洗浄を行った後、第2酸化イリジウム膜25Bの上に、水素や水
などの還元性物質の透過を防止する拡散防止膜として機能する第1保護膜27を形成する
。第1保護膜27としては、例えばTiN膜が用いられ、スパッタ法で30〜100nm
の膜厚に形成される。TiN膜は、基板温度を200℃、Arを50sccm、Nを9
0sccmの混合ガス雰囲気中でTiのターゲットを用いて成膜する。
After the back surface of the silicon substrate 1 is cleaned, a first protective film 27 that functions as a diffusion preventing film for preventing permeation of a reducing substance such as hydrogen or water is formed on the second iridium oxide film 25B. As the first protective film 27, for example, a TiN film is used, and 30 to 100 nm is formed by sputtering.
The film thickness is formed. The TiN film has a substrate temperature of 200 ° C., Ar of 50 sccm and N 2 of 9
Film formation is performed using a Ti target in a mixed gas atmosphere of 0 sccm.

第1保護膜27は、第2導電膜25をエッチングして上部電極を形成する際のハードマ
スク(第1のマスク)としても使用される。本実施の形態ではTIN膜の膜厚を50nm
とした。なお、第1保護膜27はTiN膜に限定されず、Ti、TiN、Ta、TaN、
TiAl、TaAl、TiAlN、TaAlN、TiSiN、TaSiN、TiSi、T
aSiのいずれかから形成しても良い。
The first protective film 27 is also used as a hard mask (first mask) when the second conductive film 25 is etched to form the upper electrode. In this embodiment, the thickness of the TIN film is 50 nm.
It was. The first protective film 27 is not limited to the TiN film, and Ti, TiN, Ta, TaN,
TiAl, TaAl, TiAlN, TaAlN, TiSiN, TaSiN, TiSi, T
You may form from either of aSi.

次に、第1保護膜27の全面にレジストを塗布してから露光、現像する。これにより、図1Dに示すように、第2のマスクであるフォトレジスト膜38を所定の平面形状、即ち強誘電体キャパシタの上部電極の平面形状にパターニングする。そして、フォトレジスト膜38のパターンを利用して第2導電膜25第1保護膜27をエッチングする。この際、第1保護膜27はハードマスク(第1のハードマスク)としても機能し、第2導電膜25及び第1保護膜27が確実にエッチングされる。なお、フォトレジスト膜38の代わりに、第2のハードマスクを形成してエッチングを行っても良い。 Next, after applying a resist to the entire surface of the first protective film 27, exposure and development are performed. Thereby, as shown in FIG. 1D, the photoresist film 38 as the second mask is patterned into a predetermined planar shape, that is, a planar shape of the upper electrode of the ferroelectric capacitor. Then, the second conductive film 25 and the first protective film 27 are etched using the pattern of the photoresist film 38. At this time, the first protective film 27 also functions as a hard mask (first hard mask), and the second conductive film 25 and the first protective film 27 are reliably etched. Note that etching may be performed by forming a second hard mask instead of the photoresist film 38.

これにより、図1Eに示すように第2導電膜25からなる上部電極28が形成される。
エッチング後、フォトレジスト膜38は除去する。一方、ハードマスクとして使用した第
1保護膜27は除去せずに残しておく。
As a result, the upper electrode 28 made of the second conductive film 25 is formed as shown in FIG. 1E.
After the etching, the photoresist film 38 is removed. On the other hand, the first protective film 27 used as a hard mask is left without being removed.

なお、ハードマスクとしても機能する第1保護膜27の側部はある程度にエッチングされるので、上部領の積層方向に平行な断面積は下部領面積より小さくなる。つまり、第1保護膜27は上面の面積が下面より狭くなっている。 Since the side portion of the first protective layer 27 which also functions as a hard mask is etched to some extent, the cross-sectional area parallel to the stacking direction of the upper area is smaller than the cross-sectional area of the lower area. That is, the first protective film 27 has an upper surface area narrower than the lower surface.

さらに、フォトレジスト膜38が除去されたシリコン基板1を酸素含有雰囲気中で熱処理する。熱処理の温度は600〜700℃とする。本実施の形態では、その一例として650℃で40分間熱処理を行った。この熱処理は、プロセス中に強誘電体膜23,24が受けたダメージを回復させるもので、このようなアニールは回復アニールとも呼ばれる。 Further, heat-treated silicon substrate 1 on which the photoresist film 38 is removed in an oxygen containing atmosphere. The temperature of the heat treatment is 600 to 700 ° C. In this embodiment, as an example, heat treatment is performed at 650 ° C. for 40 minutes. This heat treatment recovers damage received by the ferroelectric films 23 and 24 during the process, and such annealing is also called recovery annealing.

このとき、第1保護膜27も表面及び側面から酸化され、第1保護膜27の表層(上部
領域及び側部領域)のTiNは殆ど酸化される。TiNの酸化度は膜厚方向、且つ基板方
向に徐々に低くなる。一方、第2酸化イリジウム膜25A中の酸素はその真上にある第1
保護膜27の下部領域のTiNへ拡散する。つまり、第1保護膜27が上下方向及び側方
のそれぞれから酸化されて、酸素が膜中心へ拡散する。これにより、酸化されたTiN膜
の上部領域及び下部領域並びに側部領域のそれぞれの酸化度が中心より高くなる。
At this time, the first protective film 27 is also oxidized from the surface and side surfaces, and TiN in the surface layer (upper region and side region) of the first protective film 27 is almost oxidized. The degree of oxidation of TiN gradually decreases in the film thickness direction and in the substrate direction. On the other hand, the oxygen in the second iridium oxide film 25A is directly above the first.
It diffuses into TiN in the lower region of the protective film 27. That is, the first protective film 27 is oxidized from each of the vertical direction and the lateral direction, and oxygen diffuses to the center of the film. Thereby, the oxidation degree of each of the upper region, the lower region, and the side region of the oxidized TiN film becomes higher than the center.

つまり、図2(a)に拡大して断面で示すように、第1保護膜27には、酸素含量が多
い上部領域27A及び下部領域27Bと、積層方向で上部領域27A及び下部領域27B
に挟まれ、これら2つの領域27A,27Bよりも酸素濃度が相対的に低い膜中心領域2
7Cとが形成される。つまり、第1保護膜27は、膜厚方向(積層方向)に酸素濃度が異
なる部分を有する。膜厚方向の酸素濃度プロファイルは、例えば、図2(b)に示すよう
に上側が最も高く、次いで下側が高くなっており、中央部分が最も低くなっている。
That is, as shown in an enlarged cross-sectional view in FIG. 2A, the first protective film 27 includes an upper region 27A and a lower region 27B having a high oxygen content, and an upper region 27A and a lower region 27B in the stacking direction.
Between the two regions 27A and 27B, the film central region 2 having a relatively lower oxygen concentration than the two regions 27A and 27B.
7C is formed. That is, the first protective film 27 has a portion where the oxygen concentration is different in the film thickness direction (stacking direction). For example, as shown in FIG. 2B, the oxygen concentration profile in the film thickness direction is highest on the upper side, then higher on the lower side, and lowest in the central portion.

なお、第1保護膜27の外周側の表面領域である側部領域は、上部領域27Aと一体に
形成され、略同じ酸素濃度になる。即ち、第1保護膜27は、膜中心領域27Cの酸素濃
度が上部領域27A及び下部領域27B及び側部領域27Dのそれぞれの酸素濃度より低
くなっている。例えば、第1保護膜27の厚さが約50nmのとき、上部領域27Aの厚
さは約30nm、側部領域27Dの幅は約30nm、下部領域27Bの厚さは5〜10n
m程度になっている。特に上部領域27Aを最も厚くすることで還元物質の透過を効率的
に防止することが可能になる。
Note that the side region, which is the outer peripheral surface region of the first protective film 27, is formed integrally with the upper region 27A and has substantially the same oxygen concentration. That is, in the first protective film 27, the oxygen concentration in the film center region 27C is lower than the oxygen concentration in each of the upper region 27A, the lower region 27B, and the side region 27D. For example, when the thickness of the first protective film 27 is about 50 nm, the thickness of the upper region 27A is about 30 nm, the width of the side region 27D is about 30 nm, and the thickness of the lower region 27B is 5 to 10 n.
m. In particular, by making the upper region 27A thickest, it becomes possible to efficiently prevent the permeation of the reducing substance.

ここで、第1保護膜27を構成するTiNの酸化度は熱処理雰囲気中の酸素含量で調整
できる。さらに、第1保護膜27の表面が貴金属膜である場合、第1保護膜27を表面側
から酸化させたときの酸化度、即ち酸素の組成比は上部領域27Aから下部領域27Bに
向かって徐々に低くなる。したがって、この熱処理により、第1保護膜27が下から順に
(又は上から順に)TiO、TiON、TiO(x>y)の層構造、又はTiO
TiO、TiON(x>y)の層構造、又はTiO、TiON、TiOの層構造を
持つようになる。一般に、TiNよりもTiOの方が水分や水素を透過し難いことが知ら
れているので、このような構成にすることで、確実に水分等の透過を防止できるようにな
り、強誘電体膜23,24の還元が防止される。
Here, the oxidation degree of TiN constituting the first protective film 27 can be adjusted by the oxygen content in the heat treatment atmosphere. Further, when the surface of the first protective film 27 is a noble metal film, the oxidation degree when the first protective film 27 is oxidized from the surface side, that is, the composition ratio of oxygen gradually increases from the upper region 27A toward the lower region 27B. It becomes low. Therefore, by this heat treatment, the first protective film 27 has a layer structure of TiO x , TiOON, TiO y (x> y) in order from the bottom (or in order from the top), or TiO x ,
It has a layer structure of TiO y and TiON (x> y) or a layer structure of TiO x , TiON and TiO x . In general, it is known that TiO is less permeable to moisture and hydrogen than TiN. By adopting such a configuration, it is possible to reliably prevent the transmission of moisture and the like, and the ferroelectric film. Reduction of 23 and 24 is prevented.

なお、酸化処理後の第1保護膜27はTiOやTiONに限定されず、TaN、TaO
、TaON、TiAlO、TaAlO、TiAlON、TaAlON、TiSiO
N、TaSiON、TiSiO、TaSiO、AlO、ZrOなどでも良い。
The first protective film 27 after the oxidation treatment is not limited to TiO or TiON, but TaN, TaO
x, TaON, TiAlO x, TaAlO x, TiAlON, TaAlON, TiSiO
N, TaSiON, TiSiO x , TaSiO x , AlO x , ZrO x and the like may be used.

次に、図1Fに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

まず、第1保護膜27及び第2強誘電体膜24の全面に、図示を省略するフォトレジス
ト膜を例えばスピンコート法によって形成し、フォトリソグラフィ法によってフォトレジ
スト膜を強誘電体膜23,24の平面形状にパターニングする。続いて、パターニングさ
れたフォトレジストマスクを使用して強誘電体膜23,24をエッチングする。その後、
フォトレジスト膜を除去する。次いで、酸素雰囲気で例えば300℃〜400℃、30分
間〜120分間、熱処理を行う。なお、強誘電体膜23,24は、複数の上部電極28の
下を通る長方形の平面形状を有している。
First, a photoresist film (not shown) is formed on the entire surface of the first protective film 27 and the second ferroelectric film 24 by, for example, a spin coat method, and the photoresist film is formed by a photolithography method. Patterned into a planar shape. Subsequently, the ferroelectric films 23 and 24 are etched using the patterned photoresist mask. after that,
The photoresist film is removed. Next, heat treatment is performed in an oxygen atmosphere at, for example, 300 ° C. to 400 ° C. for 30 minutes to 120 minutes. The ferroelectric films 23 and 24 have a rectangular planar shape that passes under the plurality of upper electrodes 28.

この後、第1保護膜27、上部電極28、強誘電体膜23,24、及び第1導電膜22
の上に第2保護膜30を例えばスパッタ法又はCVD法、或いはALD法により形成する
。第2保護膜30としては、例えば膜厚が20nm〜50nmの酸化アルミニウム膜が用
いられる。第2保護膜30の形成後は酸素雰囲気で熱処理を行う。熱処理条件は、例えば
400℃〜600℃、30分〜120分間である。
Thereafter, the first protective film 27, the upper electrode 28, the ferroelectric films 23 and 24, and the first conductive film 22 are formed.
A second protective film 30 is formed thereon by, for example, sputtering, CVD, or ALD. As the second protective film 30, for example, an aluminum oxide film having a thickness of 20 nm to 50 nm is used. After the formation of the second protective film 30, heat treatment is performed in an oxygen atmosphere. The heat treatment conditions are, for example, 400 ° C. to 600 ° C. and 30 minutes to 120 minutes.

なお、第2保護膜30は第1保護膜27と構成材料が異なるが、第1保護膜27と同様
に水素又は水が強誘電キャパシタ31に拡散することを防止する拡散防止膜である。また
、第2保護膜30は、酸化アルミニウム膜に限定されず、TiO、TaO、AlO
、ZrO、HfO、NbO、VO、ZnO膜や、PZTからなる群から選択さ
れる材料から形成された膜であっても良い。
The second protective film 30 is a diffusion preventing film that prevents hydrogen or water from diffusing into the ferroelectric capacitor 31, although the constituent material is different from that of the first protective film 27. In addition, the second protective film 30 is not limited to the aluminum oxide film, but may be TiO x , TaO x , AlO x.
, ZrO x , HfO x , NbO x , VO x , ZnO x film, or a film formed from a material selected from the group consisting of PZT.

さらに、図1Gに示す断面構造を得るまでの工程について説明する。   Further, steps required until a sectional structure shown in FIG.

第2保護膜30の全面にフォトレジスト膜を例えばスピンコート法によって形成する。
フォトリソグラフィ法でフォトレジスト膜を所定の平面形状、即ち強誘電体キャパシタの
下部電極の平面形状にパターニングする。続いて、フォトレジスト膜をマスクにして第2
保護膜30及び第1導電膜22及び下部電極密着膜21をエッチングすることによって第
1導電膜22からなる下部電極29を形成する。下部電極29の平面形状は、複数の上部
電極28を含む略長方形であり、その端部は誘電体膜23,24からはみ出す大きさであ
る。
A photoresist film is formed on the entire surface of the second protective film 30 by, eg, spin coating.
The photoresist film is patterned into a predetermined planar shape, that is, the planar shape of the lower electrode of the ferroelectric capacitor by photolithography. Subsequently, the second is performed using the photoresist film as a mask.
The protective film 30, the first conductive film 22, and the lower electrode adhesion film 21 are etched to form the lower electrode 29 made of the first conductive film 22. The planar shape of the lower electrode 29 is a substantially rectangular shape including a plurality of upper electrodes 28, and the end portions thereof are sized to protrude from the dielectric films 23 and 24.

このようにしてパターニングされた上部電極28、強誘電体膜23,24及び下部電極
29によって、強誘電体キャパシタ31が構成される。
The upper electrode 28, the ferroelectric films 23 and 24, and the lower electrode 29 thus patterned constitute a ferroelectric capacitor 31.

第2保護膜30は、上部電極膜である第2導電膜25と、強誘電体膜23,24を覆う
ように残存する。エッチングが終了したら残ったフォトレジスト膜(不図示)を除去する
。次いで、例えば300℃〜400℃の酸素雰囲気で、30分間〜120分間の熱処理を
行う。
The second protective film 30 remains so as to cover the second conductive film 25 that is the upper electrode film and the ferroelectric films 23 and 24. When the etching is completed, the remaining photoresist film (not shown) is removed. Next, for example, heat treatment is performed for 30 minutes to 120 minutes in an oxygen atmosphere of 300 ° C. to 400 ° C.

強誘電体キャパシタ31及び第2層間絶縁膜20の上面に第3保護膜32を例えばスパ
ッタ法又はCVD法、或いはALD法により形成する。第3保護膜32は、例えば膜厚が
20nmの酸化アルミニウム膜からなる。
A third protective film 32 is formed on the upper surfaces of the ferroelectric capacitor 31 and the second interlayer insulating film 20 by, for example, sputtering, CVD, or ALD. The third protective film 32 is made of, for example, an aluminum oxide film having a thickness of 20 nm.

第3保護膜32を形成した後、例えば500℃〜700℃の酸素雰囲気にて、30分間〜120分間の熱処理を行う。この結果、強誘電体膜23,24に酸素が供給され、強誘電体キャパシタ31の電気的特性が回復する
次に、図1Hに示す断面構造を得るまでの工程について説明する。
After the third protective film 32 is formed, heat treatment is performed for 30 minutes to 120 minutes in an oxygen atmosphere of 500 ° C. to 700 ° C., for example. As a result, oxygen is supplied to the ferroelectric films 23 and 24, and the electrical characteristics of the ferroelectric capacitor 31 are restored .
Next, steps required until a sectional structure shown in FIG.

第3保護膜32の全面に第3層間絶縁膜33として、例えばTEOSを用いるプラズマ
CVD法により膜厚が1400nmのシリコン酸化物を形成する。この後、例えばCMP
法により、第3層間絶縁膜33の表面を平坦化する。
As a third interlayer insulating film 33, a silicon oxide film having a thickness of 1400 nm is formed on the entire surface of the third protective film 32 by, for example, a plasma CVD method using TEOS. After this, for example, CMP
The surface of the third interlayer insulating film 33 is planarized by the method.

次いで、NOガス又はNガスを用いて発生させたプラズマ雰囲気にて、例えば35
0℃、2分間の熱処理を行う。熱処理の結果、第3層間絶縁膜33中の水分が除去される
と共に、第3層間絶縁膜33の膜質が変化して膜中に水分が入り難くなる。この熱処理に
よって、第3層間絶縁膜33の表面が窒化されてSiON膜が形成される。
Next, in a plasma atmosphere generated using N 2 O gas or N 2 gas, for example, 35
Heat treatment is performed at 0 ° C. for 2 minutes. As a result of the heat treatment, moisture in the third interlayer insulating film 33 is removed, and the film quality of the third interlayer insulating film 33 changes to make it difficult for moisture to enter the film. By this heat treatment, the surface of the third interlayer insulating film 33 is nitrided to form a SiON film.

さらに、第3層間絶縁膜33の全面に、第4保護膜34を形成する。第4保護膜34は
、例えばスパッタ法又はCVD法で成膜した膜厚20nm〜50nmの酸化アルミニウム
膜からなる。さらに、第4保護膜34の全面に、第4層間絶縁膜35として例えば膜厚が
300nmのシリコン酸化物をTEOSを用いるプラズマCVD法で形成する。
Further, a fourth protective film 34 is formed on the entire surface of the third interlayer insulating film 33. The fourth protective film 34 is made of, for example, an aluminum oxide film having a thickness of 20 nm to 50 nm formed by sputtering or CVD. Further, a silicon oxide having a thickness of, for example, 300 nm is formed as a fourth interlayer insulating film 35 on the entire surface of the fourth protective film 34 by a plasma CVD method using TEOS.

次に、図1Iに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

まず、第4層間絶縁膜35の上面に図示を省略するフォトレジスト膜を形成し、フォト
レジスト膜をマスクにしてエッチングを行い、第4保護膜34、第3層間絶縁膜33、第
3保護膜32を経て強誘電体キャパシタ31の上部電極28まで達する第2コンタクトホ
ール41を形成する。
First, a photoresist film (not shown) is formed on the upper surface of the fourth interlayer insulating film 35, and etching is performed using the photoresist film as a mask, so that a fourth protective film 34, a third interlayer insulating film 33, a third protective film are formed. A second contact hole 41 that reaches the upper electrode 28 of the ferroelectric capacitor 31 through 32 is formed.

この実施の形態では第1保護膜27が導電性を有しないので、第2コンタクトホール4
1は第1保護膜27を貫通して上部電極28に至る深さにしている。しかしながら、第1
保護膜27が導電性を有する材料から形成され、第1保護膜27を介して上部電極28と
電気的な接続が可能な場合には、第2コンタクトホール41は第1保護膜27に至る深さ
にすれば良い。
In this embodiment, since the first protective film 27 does not have conductivity, the second contact hole 4
Reference numeral 1 denotes a depth reaching the upper electrode 28 through the first protective film 27. However, the first
When the protective film 27 is formed of a conductive material and can be electrically connected to the upper electrode 28 through the first protective film 27, the second contact hole 41 has a depth reaching the first protective film 27. Just do it.

同様に、第4層間絶縁膜35上に形成したフォトレジスト膜を用いてエッチングを実施
し、強誘電体キャパシタ31の下部電極29まで達する第2コンタクトホール42を形成
する。
Similarly, etching is performed using a photoresist film formed on the fourth interlayer insulating film 35 to form a second contact hole 42 reaching the lower electrode 29 of the ferroelectric capacitor 31.

次いで、例えば400℃〜600℃の酸素雰囲気で、30分間〜120分間の熱処理を
行う。この結果、強誘電体膜23,24に酸素が供給され、強誘電体キャパシタ31の電
気的特性が回復する。なお、この熱処理を、酸素雰囲気中ではなく、オゾン雰囲気中で行
っても良い。オゾン雰囲気中で熱処理を行った場合にも、強誘電体膜23,24に酸素が
供給されて強誘電体キャパシタ31の電気的特性が回復する。
Next, for example, heat treatment is performed for 30 minutes to 120 minutes in an oxygen atmosphere of 400 ° C. to 600 ° C. As a result, oxygen is supplied to the ferroelectric films 23 and 24, and the electrical characteristics of the ferroelectric capacitor 31 are restored. Note that this heat treatment may be performed not in an oxygen atmosphere but in an ozone atmosphere. Even when heat treatment is performed in an ozone atmosphere, the electric characteristics of the ferroelectric capacitor 31 are restored by supplying oxygen to the ferroelectric films 23 and 24.

次いで、第3及び第4層間絶縁膜33,34、第3保護膜32、第1及び第2層間絶縁
膜16,20を貫通し、導電性プラグ18A〜18Eまで達するビアホール43A〜43
Eをフォトリソグラフィ及びエッチングにより形成する。
Next, via holes 43A to 43 that penetrate through the third and fourth interlayer insulating films 33 and 34, the third protective film 32, and the first and second interlayer insulating films 16 and 20 and reach the conductive plugs 18A to 18E.
E is formed by photolithography and etching.

次に、図1Jに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

第2コンタクトホール41内に例えば膜厚が20nmのTi膜と、膜厚が50nmのT
iN膜と順番に例えばスパッタ法により形成する。これらのTi膜及びTiN膜によって
、第2コンタクトホール41に密着膜44Aが形成される。さらに、密着膜44A上にW
膜44BをCVD法により成長させ、W膜44Bで第2コンタクトホール41,42、ビ
アホール43A〜43Eの空隙を埋めて導電性プラグ45A〜44Gを形成する。第4層
間絶縁膜35上に形成された余分な密着膜44A及びW膜44BはCMP法により除去す
る。これにより、各導電性プラグ45C〜45Gがその下の導電性プラグ18A〜18E
を介してソース/ドレイン領域11A,11B,13A,13Bに電気的に接続される。
For example, a Ti film having a thickness of 20 nm and a T film having a thickness of 50 nm are formed in the second contact hole 41.
The iN film and the iN film are sequentially formed by, for example, sputtering. An adhesion film 44A is formed in the second contact hole 41 by these Ti film and TiN film. Further, W on the adhesion film 44A.
The film 44B is grown by the CVD method, and the gaps between the second contact holes 41 and 42 and the via holes 43A to 43E are filled with the W film 44B to form conductive plugs 45A to 44G. Excess adhesive film 44A and W film 44B formed on fourth interlayer insulating film 35 are removed by CMP. As a result, the conductive plugs 45C to 45G become conductive plugs 18A to 18E below the conductive plugs 45C to 45G.
Are electrically connected to the source / drain regions 11A, 11B, 13A, 13B.

次いで、プラズマ洗浄を行って導電性プラグ45A〜45Gの表面の自然酸化膜等を除
去する。プラズマ洗浄には、例えばアルゴンガスが用いられる。
Next, plasma cleaning is performed to remove the natural oxide film and the like on the surfaces of the conductive plugs 45A to 45G. For the plasma cleaning, for example, argon gas is used.

そして、導電性プラグ45及び第4層間絶縁膜34の上面に、導体膜46を形成する。
導体膜46は、例えば膜厚が150nmのTiN膜と、膜厚が550nmのAlCu合金
膜と、膜厚が5nmのTi膜と、膜厚が150nmのTiN膜とを順次積層することによ
って形成される。
Then, a conductor film 46 is formed on the upper surfaces of the conductive plug 45 and the fourth interlayer insulating film 34.
For example, the conductor film 46 is formed by sequentially stacking a TiN film having a thickness of 150 nm, an AlCu alloy film having a thickness of 550 nm, a Ti film having a thickness of 5 nm, and a TiN film having a thickness of 150 nm. The

図1Kに示すように、フォトリソグラフィ及びドライエッチングにより、導体膜46を
パターニングして第1層目の金属配線層を形成する。これにより、導電体プラグ45Aを
介して上部電極28に電気的に接続された配線47Aと、導電体プラグ45Bを介して下
部電極29に電気的に接続された配線47Bと導電体プラグ18A〜18E,45C〜4
5Gを介してソース/ドレイン領域11A,11B,13A,13Bに電気的に接続され
る配線47Cとが形成される。
As shown in FIG. 1K, the conductor film 46 is patterned by photolithography and dry etching to form a first metal wiring layer. Thereby, the wiring 47A electrically connected to the upper electrode 28 via the conductor plug 45A, and the wiring 47B electrically connected to the lower electrode 29 via the conductor plug 45B and the conductor plugs 18A to 18E. , 45C ~ 4
A wiring 47C electrically connected to the source / drain regions 11A, 11B, 13A, 13B through 5G is formed.

図示を省略するが、この後に第1層目の金属配線層の形成とほぼ同様な成膜方法や、パ
ターニング方法によって3層配線や5層配線を行うと、この実施の形態に係る半導体装置
の基本構造が完成する。
Although illustration is omitted, if three-layer wiring or five-layer wiring is performed by a film formation method or patterning method substantially the same as the formation of the first metal wiring layer, the semiconductor device according to this embodiment will be described. The basic structure is completed.

以上、説明したように、この実施の形態における第1保護膜27は、強誘電体キャパシタ31の回復アニールによって上部領域27A及び下部領域27Bの酸素濃度が膜中心領域27Cに比べて高くなるような構造を有する。このような第1保護膜27は、上部電極28をパターニングする際のメタルマスクになると共に、還元元素の透過を防止する機能を備える。即ち、強誘電体キャパシタ31をパターニングした後に、除去されることなく上部電極28上に残されて、還元元素が強誘電体キャパシタ31に透過することを防止する還元元素バリア膜として機能する。上部電極28をパターニングするときの第1保
護膜27は、酸化前の金属膜の状態であるので、酸化膜に比べてエッチングレートが大きく、エッチングプロセスに要する時間を短縮できる。
As described above, in the first protective film 27 in this embodiment, the oxygen concentration in the upper region 27A and the lower region 27B is higher than that in the film center region 27C by the recovery annealing of the ferroelectric capacitor 31. It has a structure. The first protective film 27 serves as a metal mask for patterning the upper electrode 28 and has a function of preventing the reduction element from permeating. That is, after patterning the ferroelectric capacitor 31, is left on the upper electrode 28 without being removed, which functions as a reducing element barrier film for preventing the reduction element is transmitted to the ferroelectric capacitor 31. Since the first protective film 27 when patterning the upper electrode 28 is in the state of a metal film before oxidation, the etching rate is higher than that of the oxide film, and the time required for the etching process can be shortened.

なお、従来では、ハードマスクを使用して上部電極を形成し、上部電極の上に形成した
ハードマスクをパターニング後に除去していた。ハードマスクの除去はウェットやドライ
エッチングの二種類の方法があるが、ウェット方法で除去する場合、シリコン基板の周辺
やベベル部分に膜剥がれが発生し易くなり、剥がれた膜がシリコン基板に再付着して、コ
ンタクト不良の原因となっていた。
Conventionally, an upper electrode is formed using a hard mask, and the hard mask formed on the upper electrode is removed after patterning. There are two methods of removing the hard mask: wet and dry etching. When the wet method is removed, film peeling tends to occur around the silicon substrate and the bevel, and the peeled film is reattached to the silicon substrate. As a result, contact failure was caused.

一方、ドライエッチング方法では、ハードマスクをうまく除去できるが、ドライエッチ
ングすると同時に、微小異物(0.2μm以下)が上部電極の表面に残される。上部電極
28の上に微小異物が残ったままで第2保護膜30を形成すると、図6の破線で囲まれた
部分に例示するように、微小異物が介在する第2保護膜30と上部電極29の密着性が悪
くなったり、膜に欠陥が生じたりしていた。これにより、導電性プラグ45Aを形成する
際に、WFを含む反応ガスを構成するタングステン、フッ素、水素が第2保護膜30の
欠陥を通ってキャパシタに侵入し、強誘電体膜23,24を劣化するおそれがある。
On the other hand, in the dry etching method, the hard mask can be removed well, but at the same time as the dry etching, minute foreign matters (0.2 μm or less) are left on the surface of the upper electrode. When the second protective film 30 is formed with the minute foreign matter remaining on the upper electrode 28, the second protective film 30 and the upper electrode 29 in which the minute foreign matter is interposed, as illustrated in a portion surrounded by a broken line in FIG. The adhesion of the film deteriorated or the film had defects. Thereby, when forming the conductive plug 45A, tungsten, fluorine, and hydrogen constituting the reactive gas containing WF 6 enter the capacitor through the defect of the second protective film 30, and the ferroelectric films 23 and 24 are formed. May deteriorate.

この実施の形態では、強誘電体キャパシタ31のパターニング後に第1保護膜27を除
去する必要がなくなるので、従来のような微小異物が発生することがない。したがって、
膜剥がれや強誘電体膜の劣化を防止でき、高品質の半導体装置が得られる。さらに、第1
保護膜27の除去工程が不要になることで、プロセスを簡略化することができ、作業効率
を向上できる。
In this embodiment, it is not necessary to remove the first protective film 27 after the patterning of the ferroelectric capacitor 31, so that no minute foreign matter is generated as in the prior art. Therefore,
Film peeling and deterioration of the ferroelectric film can be prevented, and a high-quality semiconductor device can be obtained. In addition, the first
Since the removal process of the protective film 27 becomes unnecessary, the process can be simplified and the working efficiency can be improved.

ここで、この実施の形態の変形例について説明する。   Here, a modified example of this embodiment will be described.

図3(a)に示すように、第1保護膜27は、膜厚方向で上側の上部領域27Aから中間領域、下部領域27の順に徐々に酸素濃度が低下する構成であっても良い。つまり、図3(b)に膜厚方向の酸素濃度プロファイルの一例を示すように、上部域27から下部領域27Bに向かって酸素濃度が漸次低下するような構成であっても同様の効果が得られる。なお、この場合も側部は酸化によって酸素濃度が高められている。 As shown in FIG. 3 (a), first protective layer 27, the intermediate region from the upper side of the upper region 27A in the film thickness direction, gradually oxygen concentration in the order of the lower region 27 B may be configured to decrease. That is, as an example of an oxygen concentration profile in the thickness direction in FIG. 3 (b), the upper area 27 A toward the lower region 27B oxygen concentration similar effect even gradual as to decrease construction Is obtained. In this case as well, the oxygen concentration in the side portions is increased by oxidation.

また、図4Aに示すように、第2保護膜30は、第1層30Aと第2層30Bと順番に
積層した二層構造でも良い。第2保護膜30を構成する材料としては、例えばTiO
TaO、AlO、ZrO、HfO、NbO、VO、ZnOや、PZTなど
があげられる。第1層30Aと第2層30Bとは、同一成分から構成しても良いし、異な
る成分にしても良い。いずれの場合でも水素や水が強誘電体キャパシタ31に拡散するこ
とを防止する拡散防止膜として機能する。そして、2層の積層構造を有することから、よ
り確実に水素等の拡散を防止できる。また、第1層30Aを第2層30Bより薄くするこ
とにより、第1層30Aを形成した後に回復アニールを行えば強誘電体膜23,24への
酸素添加が容易になる。
As shown in FIG. 4A, the second protective film 30 may have a two-layer structure in which the first layer 30A and the second layer 30B are sequentially stacked. As a material constituting the second protective film 30, for example, TiO x ,
Examples include TaO x , AlO x , ZrO x , HfO x , NbO x , VO x , ZnO x , and PZT. The first layer 30A and the second layer 30B may be composed of the same component or different components. In any case, it functions as a diffusion preventing film for preventing hydrogen and water from diffusing into the ferroelectric capacitor 31. And since it has a two-layer laminated structure, diffusion of hydrogen or the like can be prevented more reliably. Further, by making the first layer 30A thinner than the second layer 30B, if recovery annealing is performed after the first layer 30A is formed, oxygen addition to the ferroelectric films 23 and 24 is facilitated.

図4Bに示すように、第1保護膜51は、積層方向に2層構造を有し、上部電極28に
接する下部領域となる下層保護膜51Aと、上部領域になる上層保護膜51Bとからなる
。上層保護膜51Bは酸素含有量が略均一で、下層保護膜51Aより高い。下層保護膜5
1Aは、膜内の酸素含有量の分布が積層方向で異なり、より具体的には上部から下部にか
けて漸次酸素含有量が低下している。このような第1保護膜51であっても前記と同様の
効果が得られる。なお、上層保護膜51Bは、成膜時に金属酸化膜として形成される。下
層保護膜51Aの側部も酸化によって酸素濃度を高められる。また、第1保護膜51を1
層構造とし、成膜後の熱処理で酸化させることによって下層保護膜51A及び上層保護膜
51Bを形成しても良い。
As shown in FIG. 4B, the first protective film 51 has a two-layer structure in the stacking direction, and includes a lower protective film 51A serving as a lower region in contact with the upper electrode 28 and an upper protective film 51B serving as an upper region. . The upper protective film 51B has a substantially uniform oxygen content and is higher than the lower protective film 51A. Lower protective film 5
In 1A, the distribution of oxygen content in the film differs in the stacking direction, and more specifically, the oxygen content gradually decreases from the upper part to the lower part. Even with such a first protective film 51, the same effect as described above can be obtained. The upper protective film 51B is formed as a metal oxide film during film formation. The oxygen concentration of the side portion of the lower protective film 51A can also be increased by oxidation. Further, the first protective film 51 is set to 1
The lower protective film 51A and the upper protective film 51B may be formed by forming a layer structure and oxidizing by heat treatment after film formation.

さらに、図5に示すように、上部電極28の上には、還元性物質の透過を防止する機能
を有する第1保護膜52が3層構造になっている。具体的には、第1保護膜52は、積層
方向に順番に積層された下層保護膜52Aと、中間保護膜52Bと、上層保護膜52Cと
を有する。下層保護膜52Aは下部領域に相当し、中間保護膜52Bは膜中心領域に相当
する。上層保護膜52Cは上部領域に相当する。さらに、第2保護膜53が第1保護膜5
2の上面及び側面を覆うと共に、上部電極27を含む強誘電体キャパシタ31の側面を覆
うように設けられている。
Further, as shown in FIG. 5, a first protective film 52 having a function of preventing permeation of the reducing substance has a three-layer structure on the upper electrode 28. Specifically, the first protective film 52 includes a lower protective film 52A, an intermediate protective film 52B, and an upper protective film 52C that are sequentially stacked in the stacking direction. The lower protective film 52A corresponds to the lower region, and the intermediate protective film 52B corresponds to the film central region. The upper protective film 52C corresponds to the upper region. Further, the second protective film 53 is replaced with the first protective film 5.
2 and the side surface of the ferroelectric capacitor 31 including the upper electrode 27 is provided.

下層保護膜52Aと上層保護膜52Cとは、第1保護膜27と同様の材料、例えばTi
や、TiON、TaO、TaON、TiAlO、TaAlO、TiAlON、
TaAlON、TiSiON、TaSiON、TiSiO、TaSiO、AlO
ZrOなどから形成される。保護膜52Aと上層保護膜52Cとは同じ材料から構成し
ても良い。中間保護膜52Bは、例えば、TiONや、TaON、TiAlON、TaA
lON、TiSiON、TaSiONなどから形成される。中間保護膜52Bは、その直
下の下層保護膜52A及び直上に上層保護膜52Cのそれぞれより酸素含有量が低い。こ
の第1保護膜52を作製するときは、下層保護膜52A、中間保護膜52B、上層保護膜
52Cの順番に膜を形成する。中間保護膜52Bの酸素量を相対的に少なくしている理由
は、酸素が少ないほどパターニング時のエッチングレートを速くできるからである。
The lower protective film 52A and the upper protective film 52C are made of the same material as the first protective film 27, for example, Ti.
O x and, TiON, TaO x, TaON, TiAlO x, TaAlO x, TiAlON,
TaAlON, TiSiON, TaSiON, TiSiO x , TaSiO x , AlO x ,
It is made of ZrO x or the like. The protective film 52A and the upper protective film 52C may be made of the same material. The intermediate protective film 52B is, for example, TiON, TaON, TiAlON, TaA.
1ON, TiSiON, TaSiON or the like. The intermediate protective film 52B has a lower oxygen content than the lower protective film 52A immediately below it and the upper protective film 52C directly above it. When the first protective film 52 is formed, the lower protective film 52A, the intermediate protective film 52B, and the upper protective film 52C are formed in this order. The reason why the amount of oxygen in the intermediate protective film 52B is relatively small is that as the amount of oxygen decreases, the etching rate during patterning can be increased.

このように膜質が異なる保護膜積の積層構造を採用することで水素に対する耐性がさら
に向上される。なお、積層構造を有する第1保護膜52は、4層以上、でも良い。そのよ
うな場合でも中間保護膜の酸素含有量を下部領域の保護膜及び上部領域の保護膜より低く
することが好ましい。
(第2実施の形態)
本発明の第2実施の形態について図面を参照して詳細に説明する。なお、第1実施の形
態と同じ構成要素には同じ符号を付し、重複する説明は省略する。
By adopting a laminated structure of protective film products having different film qualities as described above, resistance to hydrogen is further improved. The first protective film 52 having a laminated structure may be four or more layers. Even in such a case, it is preferable that the oxygen content of the intermediate protective film is lower than the protective film in the lower region and the protective film in the upper region.
(Second Embodiment)
A second embodiment of the present invention will be described in detail with reference to the drawings. In addition, the same code | symbol is attached | subjected to the same component as 1st Embodiment, and the overlapping description is abbreviate | omitted.

本実施の形態に係る半導体装置は、スタック構造を有する半導体記憶装置(強誘電体メ
モリ)である。
The semiconductor device according to the present embodiment is a semiconductor memory device (ferroelectric memory) having a stack structure.

図7Aに示す断面構造を得るまでの工程について説明する。   The steps required until a sectional structure shown in FIG. 7A is obtained will be described.

まず、シリコン基板1の表面に素子分離絶縁膜2によってメモリ領域A内の活性領域同
士、即ちpウェル3同士を区画し、トランジスタT1,T2を形成する。さらに、各ソー
ス/ドレイン領域11A,11Bの位置に対応して第1層間絶縁膜16にコンタクトホー
ル17A〜17Eを利用した導電性プラグ18A〜18Cを形成する。ここまでの工程の
詳細は、第1実施の形態と同様である。
First, the active regions in the memory region A, that is, the p-wells 3 are partitioned on the surface of the silicon substrate 1 by the element isolation insulating film 2 to form transistors T1 and T2. Further, conductive plugs 18A to 18C using contact holes 17A to 17E are formed in the first interlayer insulating film 16 corresponding to the positions of the source / drain regions 11A and 11B. The details of the steps so far are the same as those in the first embodiment.

次に、導電性プラグ18〜18Cを含む第1層間絶縁膜16の全面に第1酸化防止膜6
1として、SiON膜を例えばプラズマCVD法により130nmの膜厚に形成する。さ
らに、第1酸化防止膜61の上に第2層間絶縁膜62として、シリコン酸化膜を例えばT
EOSを原料とするプラズマCVD法によって300nmの膜厚で形成する。なお、Si
ON膜の代わりにSiN膜やAlO膜を形成しても良い。
Next, the first antioxidant film 6 is formed on the entire surface of the first interlayer insulating film 16 including the conductive plugs 18 to 18C.
First, a SiON film is formed to a thickness of 130 nm by, for example, a plasma CVD method. Furthermore, a silicon oxide film is formed on the first antioxidant film 61 as a second interlayer insulating film 62, for example, T
It is formed with a film thickness of 300 nm by plasma CVD using EOS as a raw material. Si
A SiN film or an AlO film may be formed instead of the ON film.

第2層間絶縁膜62上にレジストパターンを形成する。レジストパターンはpウェル3
の両側寄りの導電性プラグ18A,18Cの上に開口を有している。そして、レジストパ
ターンをマスクに用いて第2層間絶縁膜62及び第1酸化防止膜61をドライエッチング
し、第2ソース/ドレイン領域11A,11Bに達するビアホール63を形成する。ビア
ホール63には、導電性プラグ64A,64Bが埋め込まれる。導電性プラグ64A,6
4Bは、第1の実施の形態と同様のプロセスで作製される密着層65AとW層65Bとか
ら形成される。
A resist pattern is formed on the second interlayer insulating film 62. The resist pattern is p-well 3
Openings are formed on the conductive plugs 18A and 18C near both sides. Then, using the resist pattern as a mask, the second interlayer insulating film 62 and the first antioxidant film 61 are dry-etched to form via holes 63 reaching the second source / drain regions 11A and 11B. Conductive plugs 64 </ b> A and 64 </ b> B are embedded in the via hole 63. Conductive plugs 64A, 6
4B is formed of an adhesion layer 65A and a W layer 65B manufactured by the same process as in the first embodiment.

第2層間絶縁膜62の上に形成された余分な密着層65A及びW層65Bは、CMP法
による研磨で除去される。CMP法では、研磨対象である密着層65A及びW層65Bの
研磨速度が下地の第1絶縁膜よりも速くなるようなスラリ、例えばキャボット・マイクロ
エレクトロニクス社製のSSW2000を使用することができる。そして、第1層間絶縁
膜16上に研磨残を残さないために、このCMPの研磨量は密着層65A及びW層65B
の合計膜厚よりも厚く設定する。即ち、ここでのCMP研磨はオーバー研磨となる。
The excess adhesion layer 65A and W layer 65B formed on the second interlayer insulating film 62 are removed by polishing by the CMP method. In the CMP method, it is possible to use a slurry in which the polishing rate of the adhesion layer 65A and the W layer 65B to be polished is faster than that of the underlying first insulating film, for example, SSW2000 manufactured by Cabot Microelectronics. In order not to leave a polishing residue on the first interlayer insulating film 16, the polishing amount of this CMP is determined by the adhesion layer 65A and the W layer 65B.
It is set to be thicker than the total film thickness. That is, the CMP polishing here is over polishing.

その結果、導電性プラグ64A,64Bの上面高さが第2層間絶縁膜62の上面よりも
低くなってリセスが発生する。このリセスの深さは20〜50nmであり、典型的には約
50nm程度である。
As a result, the upper surface height of the conductive plugs 64A and 64B is lower than the upper surface of the second interlayer insulating film 62, and a recess is generated. The depth of the recess is 20 to 50 nm, typically about 50 nm.

このリセスを解消するために、第2層間絶縁膜62の表面をNHプラズマで処理する
ことにより、表面の酸素原子にNH基を結合させる。これによって、第2層間絶縁膜62
上にTi原子をさらに堆積させてもTi原子が酸素原子に捕獲されてしまうことがなく、
第2層間絶縁膜62の表面を自在に移動できるようになる。このようにして第2層間絶縁
膜62上に(002)配向に自己組織化されたTi膜は、その上面の平坦性が改善される
In order to eliminate this recess, the surface of the second interlayer insulating film 62 is treated with NH 3 plasma to bond NH groups to oxygen atoms on the surface. Thus, the second interlayer insulating film 62
Even if Ti atoms are further deposited thereon, Ti atoms are not trapped by oxygen atoms,
The surface of the second interlayer insulating film 62 can be freely moved. Thus, the flatness of the upper surface of the Ti film self-organized in the (002) orientation on the second interlayer insulating film 62 is improved.

なお、アンモニアプラズマ処理は、例えばシリコン基板1に対して約9mm(350m
ils)離間した位置に対向電極を有する平行平板型のプラズマ処理装置を使いて実施す
る。処理条件は、例えば、266Pa(2Torr)の圧力下、400℃の基板温度に保
持された処理容器中にアンモニアガスを350sccmの流量で供給し、シリコン基板1
側に13.56MHzの高周波を100Wのパワーで、また対向電極に350kHzの高
周波を55Wのパワーで、60秒間供給することにより実行する。
The ammonia plasma treatment is about 9 mm (350 m) with respect to the silicon substrate 1, for example.
Ils) This is carried out using a parallel plate type plasma processing apparatus having a counter electrode at a spaced position. The processing conditions are, for example, that ammonia gas is supplied at a flow rate of 350 sccm into a processing vessel held at a substrate temperature of 400 ° C. under a pressure of 266 Pa (2 Torr), and the silicon substrate 1
This is carried out by supplying a high frequency of 13.56 MHz to the side with a power of 100 W and a high frequency of 350 kHz to the counter electrode with a power of 55 W for 60 seconds.

次に、図7Bに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

例えばシリコン基板1とターゲットの間の距離を60mmに設定したスパッタ装置中で
、0.15PaのAr雰囲気下、20℃の基板温度で2.6kWのスパッタDCパワーを
35秒間供給する。これによって、強い(002)配向のTi膜が約100nmの厚さに
形成される。
For example, a sputtering DC power of 2.6 kW is supplied for 35 seconds at a substrate temperature of 20 ° C. in an Ar atmosphere of 0.15 Pa in a sputtering apparatus in which the distance between the silicon substrate 1 and the target is set to 60 mm. As a result, a strong (002) -oriented Ti film is formed to a thickness of about 100 nm.

さらに、窒素の雰囲気中において基板温度650℃で60秒のRTAを行い、(111
)配向のTiN膜からなる下地導電膜70を形成する。この下地導電膜70の厚さは10
0nm〜300nmで、より好ましくは約100nmである。下地導電膜70は窒化チタ
ン膜に限定されず、タングステン膜、シリコン膜、及び銅膜のいずれかを下地導電膜70
として形成しても良い。但し、結晶性を向上するために、下地導電膜70はTi膜をアン
モニアプラズマ処理することにより作製したTiN膜であることが好ましい。
Further, RTA was performed for 60 seconds at a substrate temperature of 650 ° C. in a nitrogen atmosphere, and (111
) A base conductive film 70 made of an oriented TiN film is formed. The thickness of the underlying conductive film 70 is 10
It is 0 nm to 300 nm, more preferably about 100 nm. The base conductive film 70 is not limited to the titanium nitride film, and any one of a tungsten film, a silicon film, and a copper film is used as the base conductive film 70.
You may form as. However, in order to improve crystallinity, it is preferable that the underlying conductive film 70 is a TiN film produced by treating the Ti film with ammonia plasma.

導電性プラグ64A,64Bのリセスの影響によって、下地導電膜70の上面には凹部
が形成されるので、CMP法で下地導電膜70の上面を研磨して平坦化されることで凹部
を除去する。CMPで使用されるスラリは特に限定されないが、例えば、キャボット・マ
イクロエレクトロニクス社製のSSW2000を使用できる。ところで、CMP後の下地
導電膜70の厚さは、研磨誤差に起因して、シリコン基板1の面内や、複数のシリコン基
板1間でばらつきが生じる。そのようなばらつきを考慮して研磨時間を制御すれば、CM
P後の下地導電膜70の厚さの目標値を50nm〜100nm、より好ましくは50nm
にする。
A recess is formed on the upper surface of the underlying conductive film 70 due to the influence of the recess of the conductive plugs 64A and 64B. Therefore, the recess is removed by polishing and planarizing the upper surface of the underlying conductive film 70 by CMP. . The slurry used in CMP is not particularly limited. For example, SSW2000 manufactured by Cabot Microelectronics can be used. By the way, the thickness of the underlying conductive film 70 after CMP varies within the surface of the silicon substrate 1 or between the plurality of silicon substrates 1 due to polishing errors. If polishing time is controlled in consideration of such variations, CM
The target value of the thickness of the underlying conductive film 70 after P is 50 nm to 100 nm, more preferably 50 nm.
To.

ところで、下地導電膜70に対してCMPを行った後では、下地導電膜70の上面付近
の結晶が研磨によって歪んだ状態となっている。このように結晶に歪を有する下地導電膜
70の上方にキャパシタの下部電極を形成すると、その歪みの影響が下部電極に及んで下
部電極の結晶性が劣化し、下地導電膜70の上の強誘電体膜の強誘電体特性が劣化するこ
とがある。
By the way, after the CMP is performed on the base conductive film 70, the crystals near the upper surface of the base conductive film 70 are distorted by polishing. When the lower electrode of the capacitor is formed above the underlying conductive film 70 having a crystal distortion as described above, the distortion affects the lower electrode and the crystallinity of the lower electrode is deteriorated. The ferroelectric characteristics of the dielectric film may be deteriorated.

このような不都合を回避するために、下地導電膜70の上面を前記したNHプラズマ
に曝すことで、下地導電膜70の結晶の歪みを解消させ、これ以降に堆積させる膜に影響
を与えないようにする。
In order to avoid such an inconvenience, the upper surface of the underlying conductive film 70 is exposed to the NH 3 plasma described above, so that the distortion of the crystal of the underlying conductive film 70 is eliminated and the films deposited thereafter are not affected. Like that.

そして、NHプラズマ処理を行って結晶の歪みを解消した下地導電膜70の上に結晶
性導電密着膜71としてTi膜をスパッタ法により厚さ約20nmに形成する。結晶性導
電密着膜71を形成した後、窒素雰囲気中で650℃、60秒間の処理条件でRTAを行
い、(111)配向のTiN膜を形成する。結晶性導電密着膜71は、自身の配向の作用
によって、その上に後に堆積される膜の配向を高める機能に加え、密着膜としての機能も
有する。
Then, a Ti film having a thickness of about 20 nm is formed as a crystalline conductive adhesive film 71 on the underlying conductive film 70 which has been subjected to NH 3 plasma treatment to eliminate crystal distortion, by sputtering. After the crystalline conductive adhesion film 71 is formed, RTA is performed in a nitrogen atmosphere at 650 ° C. for 60 seconds to form a (111) -oriented TiN film. The crystalline conductive adhesion film 71 has a function as an adhesion film in addition to the function of increasing the orientation of a film deposited later on the crystalline conductive adhesion film 71 by the action of its own orientation.

なお、結晶性導電密着膜71は、TiNに限定されない。例えば、薄い(20nmが望
ましい)貴金属Ir、Ptなどでも良い。
The crystalline conductive adhesive film 71 is not limited to TiN. For example, a thin (preferably 20 nm) noble metal Ir or Pt may be used.

次に、結晶性導電密着膜71上に導電性酸素バリア膜72AとしてTiAlN膜を形成
する。
Next, a TiAlN film is formed on the crystalline conductive adhesion film 71 as the conductive oxygen barrier film 72A.

導電性酸素バリア膜72Aは、TiとAlを合金化させたターゲットを使った反応性ス
パッタにより100nmの厚さに形成される。成膜条件は、例えばArを40SCCM及
び窒素を10SCCMの流量で供給した混合雰囲気において、253.3Paの圧力、4
00℃の基板温度、1.0kWのスパッタパワーに設定する。
The conductive oxygen barrier film 72A is formed to a thickness of 100 nm by reactive sputtering using a target obtained by alloying Ti and Al. The film forming conditions are, for example, a pressure of 253.3 Pa, 4 in a mixed atmosphere in which Ar is supplied at a flow rate of 40 SCCM and nitrogen is 10 SCCM.
A substrate temperature of 00 ° C. and a sputtering power of 1.0 kW are set.

さらに、導電性酸素バリア膜72A上に下部電極膜72BしてIr膜をAr雰囲気中、
0.11Paの圧力下、500℃の基板温度、0.5kWのスパッタパワーで100nm
の厚さに形成する。
Further, the lower electrode film 72B on the conductive oxygen barrier film 72A and the Ir film in an Ar atmosphere,
100 nm at a substrate temperature of 500 ° C. and a sputtering power of 0.5 kW under a pressure of 0.11 Pa.
The thickness is formed.

なお、下部電極膜72Bは、Ir膜の代わりにPtなどの白金族の金属、あるいはPt
Oや、IrO、SrRuOなどの導電性酸化物を用いることもできる。さらに、これ
らの金属あるいは金属酸化物の積層膜でも良い。
The lower electrode film 72B is made of a platinum group metal such as Pt, or Pt instead of the Ir film.
Conductive oxides such as O, IrO x , and SrRuO 3 can also be used. Furthermore, a laminated film of these metals or metal oxides may be used.

次に、第1強誘電体膜74としてPZT膜をMOCVD法により形成する。より具体的
には、Pb(DPM)、Zr(dmhd)およびTi(O−iOr)(DPM)
をTHF溶媒中に、いずれも0.3mol/lの濃度で溶解し、Pb,ZrおよびTiの
各液体原料を形成する。さらにこれらの液体原料を、MOCVD装置の気化器に、流量が
0.474ml/分のTHF溶媒と共に、それぞれ0.326ml/分、0.200ml
/分、及び0.200ml/分の流量で供給する。
Next, a PZT film is formed as the first ferroelectric film 74 by the MOCVD method. More specifically, Pb (DPM) 2 , Zr (dmhd) 4 and Ti (O—iOr) 2 (DPM) 2
Are dissolved in a THF solvent at a concentration of 0.3 mol / l to form Pb, Zr and Ti liquid raw materials. Furthermore, these liquid raw materials are supplied to the vaporizer of the MOCVD apparatus together with THF solvent at a flow rate of 0.474 ml / min, respectively 0.326 ml / min and 0.200 ml.
At a flow rate of 0.200 ml / min.

このような液体が気化することでPb,Zr及びTiの原料ガスが形成される。さらに
、MOCVD装置中に、665Pa(5Torr)の圧力下、620℃の基板温度で、P
b,Zr及びTiの原料ガスをMOCVD装置に620秒間供給する。これにより、第2
の下部電極膜72B上に所望のPZT膜が、例えば100nmの厚さに形成される。
By vaporizing such a liquid, raw material gases of Pb, Zr and Ti are formed. Further, in a MOCVD apparatus, P is applied at a substrate temperature of 620 ° C. under a pressure of 665 Pa (5 Torr).
b, Zr and Ti source gases are supplied to the MOCVD apparatus for 620 seconds. As a result, the second
A desired PZT film is formed to a thickness of, for example, 100 nm on the lower electrode film 72B.

次に、図7Cに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

まず、第1強誘電体膜74の全面に、アモルファス状の第2強誘電体膜75を例えばス
パッタ法により形成する。第2強誘電体膜75は、例えば膜厚が1nm〜30nm(より
好ましくは20nm)とする。MOCVDで成膜する場合、鉛(Pb)供給用の有機ソー
スとしては、Pb(DPM)(Pb(C1119)をTHF(tetrahydrofu
ran:CO)液に溶かした材が用いられる。また、ジルコニウム(Zr)供給用の
有機ソースとしては、Zr(DMHD)(Zr((C15)をTHF液に
溶かした材料が用いられる。チタン(Ti)供給用の有機ソースとしては、Ti(O−i
Pr)(DPM)(Ti(CO)(C1119)をTHF液に溶
かした材料が用いられる。
First, an amorphous second ferroelectric film 75 is formed on the entire surface of the first ferroelectric film 74 by, for example, sputtering. The second ferroelectric film 75 has a film thickness of, for example, 1 nm to 30 nm (more preferably 20 nm). In the case of forming a film by MOCVD, as an organic source for supplying lead (Pb), Pb (DPM) 2 (Pb (C 11 H 19 O 2 ) 2 ) is THF (tetrahydrofu).
ran: C 4 H 8 O) A material dissolved in a liquid is used. As an organic source for supplying zirconium (Zr), a material in which Zr (DMHD) 4 (Zr ((C 9 H 15 O 2 ) 4 ) is dissolved in a THF solution is used. As an organic source, Ti (O-i
A material in which Pr) 2 (DPM) 2 (Ti (C 3 H 7 O) 2 (C 11 H 19 O 2 ) 2 ) is dissolved in a THF solution is used.

次いで、第2強誘電体膜75上に第1上部電極膜76Aを形成する。第1上部電極膜7
6Aの形成に当たっては、先ず、第2強誘電体膜75上に、厚さが50nmで成膜の時点
で結晶化したIrO膜をスパッタ法により形成する。例えば、このときの成膜温度を3
00℃とし、成膜ガスとしてAr及びOを用い、これらの流量をいずれも100scc
mとする。また、スパッタパワーは、例えば1kW〜2kW程度とする。
Next, a first upper electrode film 76 </ b> A is formed on the second ferroelectric film 75. First upper electrode film 7
In forming 6A, first, an IrO x film having a thickness of 50 nm and crystallized at the time of film formation is formed on the second ferroelectric film 75 by sputtering. For example, the film formation temperature at this time is 3
00 ° C., Ar and O 2 were used as film forming gases, and these flow rates were both 100 scc.
m. Further, the sputtering power is, for example, about 1 kW to 2 kW.

ついで、RTA法で725℃、20sccmの酸素と2000sccmのArを供給し
た雰囲気中で60秒間熱処理を行う。この熱処理によって第2強誘電体膜75が完全に結
晶化すると共に、第1上部電極膜76Aのプラズマダメージが回復し、第1強誘電体膜7
4中の酸素欠損が補償される。
Next, heat treatment is performed for 60 seconds in an atmosphere supplied with 725 ° C., 20 sccm of oxygen and 2000 sccm of Ar by the RTA method. By this heat treatment, the second ferroelectric film 75 is completely crystallized, and the plasma damage of the first upper electrode film 76A is recovered, so that the first ferroelectric film 7
4 is compensated for oxygen deficiency.

さらに、膜厚が100nm〜300nmの第2上部電極膜76Bとして、IrO膜を
例えば200nm形成する。IrO膜は、例えば、Ar雰囲気中、且つ0.8Paの圧
力下、1.0kWのスパッタパワーで79秒間堆積させる。
Further, as the second upper electrode film 76B having a film thickness of 100 nm to 300 nm, an IrO Y film is formed to 200 nm, for example. For example, the IrO Y film is deposited in an Ar atmosphere and under a pressure of 0.8 Pa with a sputtering power of 1.0 kW for 79 seconds.

この際、工程劣化を抑えるために酸化イリジウム膜をIrOの化学量論組成に近い組
成にすると、水素に対して触媒作用を生じることがなく、第2強誘電体膜75が水素ラジ
カルにより還元される問題が抑制され、キャパシタの水素耐性が向上する。
At this time, if the iridium oxide film is made to have a composition close to the stoichiometric composition of IrO 2 in order to suppress the process deterioration, the second ferroelectric film 75 is reduced by hydrogen radicals without causing a catalytic action against hydrogen. Problem is suppressed, and the hydrogen resistance of the capacitor is improved.

なお、第2上部電極76Bの材料として、IrOの代わりにIr、Ru、Rh、Re
、Os、Pd、これらの酸化物、SrRuOなどの導電性酸化物や、これらの積層構造
を用いても良い。
The material of the second upper electrode 76B is Ir, Ru, Rh, Re instead of IrO 2.
, Os, Pd, oxides thereof, conductive oxides such as SrRuO 3 , or a stacked structure thereof may be used.

次に、図7Dに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

まず、第2上部電極膜76上に水素バリア膜77として、Ir膜をスパッタにより、A
r雰囲気中、1Paの圧力下、1.0kWのスパッタパワーで100nmの厚さに堆積す
る。なお、水素バリア膜77は、Ir膜に限定されず、Pt膜やSrRuO膜を使用し
ても良い。
First, as a hydrogen barrier film 77 on the second upper electrode film 76, an Ir film is sputtered to form A
In an r atmosphere, the film is deposited to a thickness of 100 nm with a sputtering power of 1.0 kW under a pressure of 1 Pa. The hydrogen barrier film 77 is not limited to the Ir film, and a Pt film or a SrRuO 3 film may be used.

そして、シリコン基板1の背面を洗浄した後、第1、第2上部電極膜76A,76Bや
、第1、第2強誘電体膜74,75、第1、第2下部電極膜72A,72Bなどをパター
ニングする際にハードマスクとして用いる第1保護膜78とマスク材料層79とを順次形
成する。
After the back surface of the silicon substrate 1 is cleaned, the first and second upper electrode films 76A and 76B, the first and second ferroelectric films 74 and 75, the first and second lower electrode films 72A and 72B, etc. A first protective film 78 and a mask material layer 79 which are used as a hard mask when patterning are sequentially formed.

第1保護膜78は、水素バリア膜77の上に形成され、スパッタ法により成膜されたT
iNからなる。第1保護膜78は、TiAlNや、TaAlN、TaN膜及びこれらの積
層膜でも良い。
The first protective film 78 is formed on the hydrogen barrier film 77 and formed by sputtering.
It consists of iN. The first protective film 78 may be a TiAlN, TaAlN, TaN film, or a laminated film thereof.

マスク材料層79は、第1保護膜78の上に形成され、例えばTEOSガスを使用する
CVD法で成膜された酸化シリコン膜からなる。
The mask material layer 79 is formed on the first protective film 78 and is made of, for example, a silicon oxide film formed by a CVD method using a TEOS gas.

この後、マスク材料層79の上にフォトレジストを塗布し、次いでフォトレジストを露
光、現像してキャパシタ平面形状のレジストパターンを形成する。
Thereafter, a photoresist is applied on the mask material layer 79, and then the photoresist is exposed and developed to form a resist pattern having a capacitor planar shape.

次に、図7Eに示すように、エッチングの際には、最初にレジストパターンをマスクに
使用してマスク材料層79を島状にパターニングし、マスク材料層79をマスクにして第
1保護膜78をエッチングする。これにより、マスク材料層79(第2のマスク)と、第
1保護膜78(第1のマスク)とからなるハードマスクがキャパシタ形成領域に島状に形
成される。その後、マスク材料層79上のレジストパターンを除去する。
Next, as shown in FIG. 7E, in etching, the mask material layer 79 is first patterned into an island shape using the resist pattern as a mask, and the first protective film 78 is used using the mask material layer 79 as a mask. Etch. As a result, a hard mask including the mask material layer 79 (second mask) and the first protective film 78 (first mask) is formed in an island shape in the capacitor formation region. Thereafter, the resist pattern on the mask material layer 79 is removed.

次に、図7Fに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

HBr、O、Ar、及びCの混合ガスをエッチングガスとするプラズマエッチ
ングにより、ハードマスクで覆われていない部分の第1、第2上部電極膜76A,76B
、第1、第2強誘電体膜74、75、第2下部電極膜72A、72Bをドライエッチング
する。
By plasma etching using a mixed gas of HBr, O 2 , Ar, and C 4 F 8 as an etching gas, portions of the first and second upper electrode films 76A and 76B that are not covered with the hard mask are formed.
The first and second ferroelectric films 74 and 75 and the second lower electrode films 72A and 72B are dry-etched.

これによって、上部電極膜76A,76Bがパターニングされてキャパシタ用の上部電
極80が形成され、同様に下部電極膜72A、72Bがパターニングされてキャパシタ用
の下部電極73が形成される。
Thus, the upper electrode films 76A and 76B are patterned to form the capacitor upper electrode 80, and similarly, the lower electrode films 72A and 72B are patterned to form the capacitor lower electrode 73.

上部電極80、強誘電体膜74,75、及び下部電極73を有する強誘電体キャパシタ
81が形成される。
A ferroelectric capacitor 81 having an upper electrode 80, ferroelectric films 74 and 75, and a lower electrode 73 is formed.

次に、強誘電体キャパシタ81で覆われていない部分の結晶性導電密着膜71、下地導
電膜70をエッチングにより除去し、これらの膜を強誘電体キャパシタ81の下のみに島
状に残す。
Next, the portions of the crystalline conductive adhesive film 71 and the underlying conductive film 70 that are not covered with the ferroelectric capacitor 81 are removed by etching, and these films are left in an island shape only under the ferroelectric capacitor 81.

なお、エッチング後にハードマスクの内、マスク材料層79を除去する。その一方で、
第1保護膜78は除去せずに残される。
Note that the mask material layer 79 in the hard mask is removed after the etching. On the other hand,
The first protective film 78 is left without being removed.

下地導電膜70及び結晶性導電密着膜71のエッチングは、例えば、ダウンフロー型プ
ラズマエッチングにより行われる。その条件として、チャンバ内に流量比で5%のCF
ガスと95%のOガスとの混合ガスをエッチングガスとして供給すると共に、チャンバ
の上部電極に周波数2.45GHzでパワーが1400Wの高周波電力を供給して、基板
温度を200℃にする。
Etching of the base conductive film 70 and the crystalline conductive adhesive film 71 is performed by, for example, downflow plasma etching. As the condition, 5% CF 4 in the flow rate ratio in the chamber.
A mixed gas of gas and 95% O 2 gas is supplied as an etching gas, and high-frequency power with a frequency of 2.45 GHz and a power of 1400 W is supplied to the upper electrode of the chamber to bring the substrate temperature to 200 ° C.

図8に拡大して示すように、第1保護膜78には、酸素含量が多い上部領域78A及び
下部領域78Bと、積層方向で上部領域78A及び下部領域78Bに挟まれ、これら2つ
の領域78A,78Bよりも酸素濃度が相対的に低い膜中心領域78Cとが形成される。
つまり、第1保護膜78は、積層方向に酸素濃度が異なる部分を有する。
As shown in an enlarged view in FIG. 8, the first protective film 78 is sandwiched between an upper region 78A and a lower region 78B having a high oxygen content, and an upper region 78A and a lower region 78B in the stacking direction. , 78B, a film center region 78C having a relatively lower oxygen concentration is formed.
That is, the first protective film 78 has a portion with a different oxygen concentration in the stacking direction.

なお、第1保護膜78の外周側の表面領域である側部領域78Dは、上部領域78Aと
一体に形成され、略同じ酸素濃度になる。即ち、第1保護膜78は、側部領域78Dを除
いて、酸素濃度が積層方向に異なる。より詳細には、側部領域78Dを除いて、膜中心領
域78Cの酸素濃度が上部領域78A及び下部領域78Bのそれぞれの酸素濃度より低く
なっている。各領域の膜厚の割合は第1の実施の形態と同様であることが好ましい。
The side region 78D, which is the outer peripheral surface region of the first protective film 78, is formed integrally with the upper region 78A and has substantially the same oxygen concentration. That is, the first protective film 78 has a different oxygen concentration in the stacking direction except for the side region 78D. More specifically, except for the side region 78D, the oxygen concentration in the film center region 78C is lower than the oxygen concentration in each of the upper region 78A and the lower region 78B. The ratio of the film thickness in each region is preferably the same as that in the first embodiment.

これにより、第1保護膜78が下から順にTiO、TiON、TiO(x>y)の
層構造、又はTiO、TiO、TiON(x>y)の層構造、又はTiO、TiO
N、TiOの層構造を持つようになる。
As a result, the first protective film 78 has a layer structure of TiO x , TiON, TiO y (x> y) or a layer structure of TiO x , TiO y , TiON (x> y), or TiO x , TiO in order from the bottom.
It comes to have a layer structure of N, TiO x .

なお、第1保護膜78はTiN膜に限定されず、Ti、TiN、Ta、TaN、TiA
l、TaAl、TiAlN、TaAlN、TiSiN、TaSiN、TiSi、TaSi
のいずれかから形成しても良い。つまり、酸化後の第1保護膜78は、TaN、TiON
、TiO、TaO、TaON、TiAlO、TaAlO、TiAlON、TaA
lON、TiSiON、TaSiON、TiSiO、TaSiO、AlO、ZrO
などの材料から形成しても良い。
The first protective film 78 is not limited to the TiN film, and Ti, TiN, Ta, TaN, TiA
l, TaAl, TiAlN, TaAlN, TiSiN, TaSiN, TiSi, TaSi
You may form from either. In other words, the oxidized first protective film 78 is TaN, TiON.
, TiO x , TaO x , TaON, TiAlO x , TaAlO x , TiAlON, TaA
lON, TiSiON, TaSiON, TiSiO x , TaSiO x , AlO x , ZrO
You may form from materials, such as x .

また、ハードマスクとしても機能する第1保護膜78の側部はある程度にエッチングさ
れるので、膜厚方向の上部領域の面積が下部領域の面積より小さくなる。
Further, since the side portion of the first protective film 78 that also functions as a hard mask is etched to some extent, the area of the upper region in the film thickness direction becomes smaller than the area of the lower region.

次に、図7Gに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

強誘電体キャパシタ81及び第2層間絶縁膜62を覆う第2保護膜82としてAl
をスパッタ法により20nmの膜厚に形成する。或いは、MOCVD法又はALD法で
2nm〜5nmのAlOを形成する。
Al 2 O as a second protective film 82 covering the ferroelectric capacitor 81 and the second interlayer insulating film 62
3 is formed to a thickness of 20 nm by sputtering. Alternatively, AlO having a thickness of 2 nm to 5 nm is formed by MOCVD or ALD.

ここで、強誘電体膜74,75のダメージを回復させる目的で、酸素含有雰囲気中で回
復アニールを施す。回復アニールの条件は特に限定されないが、例えば炉内において基板
温度550℃〜700℃で実施する。また、強誘電体膜74,75がPZTの場合、60
0℃酸素の雰囲気中で60分アニールを行うことが好ましい。この熱処理により、ハード
マスクである第1保護膜78中のTiNが酸化する。酸化されたTiN膜の酸素含有量は
、膜の縦方向(積層方向)における上部領域の方が下部領域より多くなる。
Here, recovery annealing is performed in an oxygen-containing atmosphere for the purpose of recovering damage to the ferroelectric films 74 and 75. The conditions for the recovery annealing are not particularly limited. For example, the recovery annealing is performed in a furnace at a substrate temperature of 550 ° C. to 700 ° C. When the ferroelectric films 74 and 75 are PZT, 60
It is preferable to perform annealing for 60 minutes in an oxygen atmosphere at 0 ° C. By this heat treatment, TiN in the first protective film 78 which is a hard mask is oxidized. The oxygen content of the oxidized TiN film is higher in the upper region in the longitudinal direction (stacking direction) of the film than in the lower region.

次に、第1保護膜78及び強誘電体キャパシタ81を覆うように、第3保護膜83とし
てAlをCVD法により約38nmの膜厚に形成する。
Next, Al 2 O 3 is formed to a thickness of about 38 nm as a third protective film 83 by a CVD method so as to cover the first protective film 78 and the ferroelectric capacitor 81.

第3保護膜83を構成する酸化アルミニウム膜は、水素や水分等の還元性物質が透過す
ることを阻止する機能に優れている。このため、強誘電体膜74,75の強誘電体特性が
還元性物質により劣化されるのを防止する。
The aluminum oxide film constituting the third protective film 83 is excellent in the function of preventing a reducing substance such as hydrogen or moisture from permeating. This prevents the ferroelectric characteristics of the ferroelectric films 74 and 75 from being deteriorated by the reducing substance.

なお、第2、第3絶縁保護膜82,83の膜剥がれを防止するために、第3保護膜83
の形成前に酸素を含む炉内でアニールを行っても良い。アニール条件としては、例えば基
板温度350℃、処理時間1時間とする。第3保護膜83は、酸化アルミニウム以外に、
チタン酸化膜、タンタル酸化膜、ジルコニウム酸化膜、アルミニウム窒化膜、タンタル窒
化膜及びアルミニウム酸窒化膜でも良い。なお、第2、第3保護膜82,83は、第1実
施の形態の第2保護膜30に相当し、同様の材料から形成しても良い。
The third protective film 83 is used to prevent the second and third insulating protective films 82 and 83 from peeling off.
Annealing may be performed in a furnace containing oxygen before the formation of. The annealing conditions are, for example, a substrate temperature of 350 ° C. and a processing time of 1 hour. The third protective film 83 is not only aluminum oxide,
A titanium oxide film, a tantalum oxide film, a zirconium oxide film, an aluminum nitride film, a tantalum nitride film, and an aluminum oxynitride film may be used. The second and third protective films 82 and 83 correspond to the second protective film 30 of the first embodiment, and may be formed of the same material.

次に、第3保護膜83の全面に、第3層間絶縁膜85としてシリコン酸化物を例えばプ
ラズマTEOSCVD法により膜厚1500nmで形成する。第3層間絶縁膜85として
シリコン酸化膜を形成する場合には、原料ガスとして、例えば、TEOSガスと酸素ガス
とヘリウムガスとの混合ガスを用いる。なお、第3層間絶縁膜85として、例えば、絶縁
性を有する無機膜等を形成しても良い。第3層間絶縁膜85を形成したら、その表面を例
えばCMPで平坦化する。
Next, on the entire surface of the third protective film 83, silicon oxide is formed as a third interlayer insulating film 85 with a film thickness of 1500 nm by, for example, plasma TEOSCVD. When a silicon oxide film is formed as the third interlayer insulating film 85, for example, a mixed gas of TEOS gas, oxygen gas, and helium gas is used as the source gas. As the third interlayer insulating film 85, for example, an insulating inorganic film or the like may be formed. After the third interlayer insulating film 85 is formed, the surface is planarized by, for example, CMP.

続いて、NOガス又はNガス等を用いて発生させたプラズマ雰囲気で、熱処理を行
って第3層間絶縁膜85中の水分を除去する。この際、第3層間絶縁膜85の膜質が改善
されて膜中に水分が入り難くなる。
Subsequently, a plasma atmosphere generated by using N 2 O gas or N 2 gas or the like, to remove the moisture in the third interlayer insulating film 85 by heat treatment. At this time, the film quality of the third interlayer insulating film 85 is improved and it becomes difficult for moisture to enter the film.

次に、図7Hに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

まず、第3層間絶縁膜85の全面に、バリア膜86を例えばスパッタ法又はCVD法に
より形成する。バリア膜86としては、例えば、膜厚が20nm〜100nmの酸化アル
ミニウム膜が用いられる。平坦化された第3層間絶縁膜85上にバリア膜86が形成され
るため、バリア膜86の表面は平坦になる。
First, the barrier film 86 is formed on the entire surface of the third interlayer insulating film 85 by, for example, sputtering or CVD. As the barrier film 86, for example, an aluminum oxide film having a thickness of 20 nm to 100 nm is used. Since the barrier film 86 is formed on the planarized third interlayer insulating film 85, the surface of the barrier film 86 becomes flat.

さらに、バリア膜86の全面に第4層間絶縁膜87を例えばプラズマTEOSCVD法
により形成する。第4層間絶縁膜87としては、例えば膜厚が800nm〜1000nm
のシリコン酸化膜が用いられる。なお、第4層間絶縁膜87として、SiON膜又はシリ
コン窒化膜等を形成しても良い。第4層間絶縁膜87を形成したら、その表面を例えばC
MPで平坦化する。
Further, a fourth interlayer insulating film 87 is formed on the entire surface of the barrier film 86 by, for example, a plasma TEOSCVD method. As the fourth interlayer insulating film 87, for example, the film thickness is 800 nm to 1000 nm.
The silicon oxide film is used. As the fourth interlayer insulating film 87, a SiON film, a silicon nitride film, or the like may be formed. When the fourth interlayer insulating film 87 is formed, the surface thereof is, for example, C
Flatten with MP.

続いて、レジストマスクを用いて第4層間絶縁膜87中にビアホール88A,88Bを
形成する。ビアホール88A,88Bを形成する際には、キャパタ81の上部電極80を
覆う水素バリア膜77を露出させた後、550℃で酸素雰囲気中において熱処理を行う。
これによって、ビアホール88A,88Bの形成時に第1強誘電体膜74中に生じた酸素
欠損が回復される。また、この後に、pウェル3の中央の導電性プラグ18Bの上にビア
ホール89を形成する。
Subsequently, via holes 88A and 88B are formed in the fourth interlayer insulating film 87 using a resist mask. When forming the via holes 88A and 88B, the hydrogen barrier film 77 covering the upper electrode 80 of the capacitor 81 is exposed, and then heat treatment is performed at 550 ° C. in an oxygen atmosphere.
Thereby, oxygen vacancies generated in the first ferroelectric film 74 when the via holes 88A and 88B are formed are recovered. Thereafter, a via hole 89 is formed on the conductive plug 18B in the center of the p-well 3.

次に、図7Iに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

ビアホール88,89に導電性プラグ90A,90B,90Cを形成する。まず、ビア
ホール88,89の内面にTiN膜を単層で密着層として形成することが好ましい。なお
、密着層は、Ti膜をスパッタにより形成し、その上にTiN膜をMOCVD法により形
成した積層構造でも良い。この場合、TiN膜から炭素除去を行うため、窒素と水素の混
合ガスプラズマ中での処理が必要になるが、上部電極80にIrよりなる水素バリア膜7
7をそれぞれ形成しているため、上部電極80の還元は防止される。
Conductive plugs 90A, 90B, and 90C are formed in the via holes 88 and 89, respectively. First, it is preferable to form a single layer of TiN film as an adhesion layer on the inner surfaces of the via holes 88 and 89. The adhesion layer may have a laminated structure in which a Ti film is formed by sputtering and a TiN film is formed thereon by MOCVD. In this case, in order to remove carbon from the TiN film, treatment in a mixed gas plasma of nitrogen and hydrogen is required. However, the hydrogen barrier film 7 made of Ir is formed on the upper electrode 80.
7 is formed, so that the reduction of the upper electrode 80 is prevented.

なお、ビアホール88A,88Bは、第1保護膜78を貫通して導電性の水素バリア膜
77に至るまで形成されているが、水素バリア膜77を絶縁材から形成する場合には、ビ
アホール88A,88Bは上部電極80に達する深さに形成する。
The via holes 88A and 88B are formed through the first protective film 78 to reach the conductive hydrogen barrier film 77. However, when the hydrogen barrier film 77 is formed of an insulating material, the via holes 88A and 88B are formed. 88B is formed to a depth reaching the upper electrode 80.

次に、図7Jに示す断面構造を得るまでの工程について説明する。   Next, steps required until a sectional structure shown in FIG.

第4層間絶縁膜87上に導電性プラグ90A,90B,90Cに対応して配線パターン
を形成する。即ち、例えばスパッタ法により、膜厚が60nmのTi膜と、膜厚が30n
mのTiN膜と、膜厚が360nmのAlCu合金膜と、膜厚が5nmのTi膜と、膜厚
が70nmのTiN膜とを順次形成する。これにより、Ti膜、TiN膜、AlCu合金
膜、Ti膜及びTiN膜からなる積層膜を形成する。
A wiring pattern is formed on the fourth interlayer insulating film 87 corresponding to the conductive plugs 90A, 90B, 90C. That is, for example, by sputtering, a Ti film having a film thickness of 60 nm and a film thickness of 30 n
m TiN film, an AlCu alloy film having a thickness of 360 nm, a Ti film having a thickness of 5 nm, and a TiN film having a thickness of 70 nm are sequentially formed. Thereby, a laminated film composed of a Ti film, a TiN film, an AlCu alloy film, a Ti film, and a TiN film is formed.

次に、フォトリソグラフィ技術を用い、積層膜をパターニングする。この結果、積層膜
からなる配線(第1金属配線層)92A,92Bが形成される。これにより、導電性プラ
グ90A,90Bを介して強誘電体キャパシタ81の上部電極膜80と配線92Aとが電
気的に接続される。同様に、導電性プラグ18B,90Cを介して第1ソース/ドレイン
領域11Aと配線92Bとが電気的に接続される。
Next, the laminated film is patterned using a photolithography technique. As a result, wirings (first metal wiring layers) 92A and 92B made of a laminated film are formed. Thereby, the upper electrode film 80 of the ferroelectric capacitor 81 and the wiring 92A are electrically connected via the conductive plugs 90A and 90B. Similarly, the first source / drain region 11A and the wiring 92B are electrically connected through the conductive plugs 18B and 90C.

その後、図示を省略するが層間絶縁膜を形成した後、導電性プラグの形成及び下から第
2〜5層目以降の配線の形成等を行う。そして、例えばTEOS酸化膜及びSiN膜から
なるカバー膜を形成すると、強誘電体キャパシタを有する強誘電体メモリが完成する。
Thereafter, although not shown in the drawing, after forming an interlayer insulating film, a conductive plug is formed and wirings in the second to fifth layers from the bottom are formed. Then, when a cover film made of, for example, a TEOS oxide film and a SiN film is formed, a ferroelectric memory having a ferroelectric capacitor is completed.

以上、述べたように、この実施の形態における第1保護膜78は、強誘電体キャパシタ
81の回復アニールによって上部領域78A及び下部領域78Bの酸素濃度が膜中心領域
78Cに比べて高くなるような構造を有する。このような第1保護膜78は、上部電極8
0をパターニングする際のメタルマスクになると共に、還元性物質の透過を防止する機能
を備える。即ち、強誘電体キャパシタ81のパターニングを行った後に、除去されること
なく上部電極80上に残されて、還元性物質が強誘電体キャパシタ81に透過することを
防止するバリア膜として機能する。これにより、半導体装置の製造工程を簡略化できると
共に、不良の発生が抑制されて生産効率が向上する。さらに、強誘電体メモリ(半導体装
置)の信頼性を向上できる。
As described above, in the first protective film 78 in this embodiment, the oxygen concentration in the upper region 78A and the lower region 78B becomes higher than that in the film center region 78C by the recovery annealing of the ferroelectric capacitor 81. It has a structure. Such a first protective film 78 is formed on the upper electrode 8.
It functions as a metal mask for patterning 0 and has the function of preventing the transmission of reducing substances. That is, after the patterning of the ferroelectric capacitor 81, it remains on the upper electrode 80 without being removed, and functions as a barrier film that prevents the reducing substance from passing through the ferroelectric capacitor 81. As a result, the manufacturing process of the semiconductor device can be simplified and the occurrence of defects is suppressed, thereby improving the production efficiency. Furthermore, the reliability of the ferroelectric memory (semiconductor device) can be improved.

なお、強誘電体膜74,75の形成方法としては、スパッタ法及びMOCVD法の他に
、ゾル−ゲル法や、有機金属分解(MOD)法、CSD(Chemical Solution Deposition
)法、化学気相蒸着(CVD)法、エピタキシャル成長法等があげられる。
In addition to the sputtering method and the MOCVD method, the ferroelectric films 74 and 75 can be formed by a sol-gel method, an organic metal decomposition (MOD) method, CSD (Chemical Solution Deposition).
) Method, chemical vapor deposition (CVD) method, epitaxial growth method and the like.

また、強誘電体膜74,75としては、例えば、熱処理により結晶構造がBi層状構造
又はペロブスカイト構造となる膜を形成することができる。このような膜としては、PZ
T膜の他、La、Ca、Sr及び/又はSi等を微量ドープしたPZT、SBT、BLT
並びにBi系層状化合物などの一般式ABOで表される膜が挙げられる。
In addition, as the ferroelectric films 74 and 75, for example, a film whose crystal structure becomes a Bi layer structure or a perovskite structure can be formed by heat treatment. Such films include PZ
In addition to T film, PZT, SBT, BLT doped with a small amount of La, Ca, Sr and / or Si, etc.
And film can be cited of the general formula ABO 3, such as Bi-based layered compound.

また、第1上部電極膜76Aを形成する際には、例えば、白金、イリジウム、ルテニウ
ム、ロジウム、レニウム、オスミウム及び/又はパラジウムを含むターゲットを用いたス
パッタリングを、これらの貴金属元素の酸化が生じる条件下で行うことができる。特に、
Ir酸化膜を形成する場合には、成膜温度を20℃乃至400℃、例えば300℃とする
ことが好ましい。また、スパッタガスを構成する酸素ガス及び不活性ガスの圧力に対する
酸素ガスの分圧は10%乃至60%が好ましい。膜厚さは10nm乃至75nmとするこ
とが好ましい。
In forming the first upper electrode film 76A, for example, sputtering using a target containing platinum, iridium, ruthenium, rhodium, rhenium, osmium, and / or palladium is performed under conditions in which oxidation of these noble metal elements occurs. Can be done below. In particular,
In the case of forming an Ir oxide film, the film formation temperature is preferably 20 ° C. to 400 ° C., for example, 300 ° C. Further, the partial pressure of the oxygen gas with respect to the pressure of the oxygen gas and the inert gas constituting the sputtering gas is preferably 10% to 60%. The film thickness is preferably 10 nm to 75 nm.

また、第1上部電極膜76Aを成膜した後の熱処理温度は、650℃乃至750℃、例
えば700℃とすることが好ましく、熱処理雰囲気は酸素含有量を1%乃至50%とする
ことが好ましい。
The heat treatment temperature after forming the first upper electrode film 76A is preferably 650 ° C. to 750 ° C., for example 700 ° C., and the heat treatment atmosphere preferably has an oxygen content of 1% to 50%. .

さらに、第1上部電極76A上に形成される導電膜はIrO膜に限定されるものでは
なく、白金(Pt)、イリジウム(Ir)、ルテニウム(Ru)、ロジウム(Rh)、レ
ニウム(Re)、オスミウム(Os)及び/又はパラジウム(Pd)等の貴金属元素を含
有する金属膜を形成しても良い。さらに、これらの酸化膜、例えばSrRuO膜を形成
しても良い。また、導電膜として、2層構造以上、の膜を形成しても良い。
Further, the conductive film formed on the first upper electrode 76A is not limited to the IrO x film, but is platinum (Pt), iridium (Ir), ruthenium (Ru), rhodium (Rh), rhenium (Re). A metal film containing a noble metal element such as osmium (Os) and / or palladium (Pd) may be formed. Further, these oxide films, for example, SrRuO 3 films may be formed. Alternatively, a film having a two-layer structure or more may be formed as the conductive film.

なお、第1保護膜78の構成を第1の実施の形態と同様、例えば図3、図4A、図4B
、図5に示すような構造にしても良い。
The configuration of the first protective film 78 is the same as that of the first embodiment, for example, FIG. 3, FIG. 4A, FIG. 4B.
The structure shown in FIG. 5 may be used.

以下に、本発明の実施形態についてさらに付記する。
(付記1)半導体基板と前記半導体基板上に絶縁膜を介して順次積層された下部電極、強誘電体膜及び上部電極を有する強誘電体キャパシタと前記強誘電体キャパシタの積層方向において前記上部電極の上方に設けられ、膜厚方向に酸素濃度の分布が異なる部分を有する第1保護膜と前記第1保護膜の上方、及び前記強誘電体キャパシタの側壁を含む領域に設けられる第2保護膜とを有することを特徴とする半導体装置
(付記2)前記第1保護膜は、膜厚方向の上部領域より下部領域の前記酸素濃度が低い部分を有することを特徴とする付記1に記載の半導体装置
(付記3)前記第1保護膜は、膜厚方向の上部領域及び下部領域より膜中心となる部分の前記酸素濃度が低い部分を有することを特徴とする付記1に記載の半導体装置
(付記4)前記第1保護膜は、前記上部領域の少なくとも一部が膜厚方向に均一な高い前記酸素濃度を有し、前記下部領域が下方へ向かうほど前記酸素濃度が低くなっている部分を有することを特徴とする付記1乃至付記3のいずれか一項に記載の半導体装置。
(付記5)前記第1保護膜は、複数の膜を積層させた構成を有し、積層方向で最も下層に配置される下層保護膜と、最も上側に配置される上層保護膜と、これら2つの膜に挟まれる中間保護膜を有し、前記中間保護膜の前記酸素濃度は、前記上層保護膜及び前記下層保護膜のそれぞれの前記酸素濃度より低いことを特徴とする付記1に記載の半導体装置。
(付記6)前記第1及び第2保護膜は異なる材料から形成されていることを特徴とする付記1乃至付記5のいずれか一項に記載の半導体装置。
(付記7)前記第2保護膜は、同一成分或いは異なる成分を有する複数の膜の積層体であることを特徴とする付記1乃至付記6のいずれか一項に記載の半導体装置。
(付記8)前記第1保護膜は、TiO、TiON、TaO、TaON、TiAlO、TaAlO、TiAlON、TaAlON、TiSiON、TaSiON、TiSiO、TaSiO、AlO、ZrO膜からなる群から選択された1種であることを特徴とする付記5に記載の半導体装置。
(付記9) 前記中間保護膜は、TiON、TaON、TiAlON、TaAlON、TiSiON、TaSiON膜からなる群から選択された1種であることを特徴とする付記5に記載の半導体装置。
(付記10)前記第1保護膜は、上面が下面よりの面積が狭いことを特徴とする付記1乃至付記9のいずれか一項に記載の半導体装置。
(付記11)半導体基板の上方に下部導電膜、強誘電体膜、上部導電膜を積層する工程と前記上部電極の上に第1保護膜を形成する工程と前記第1保護膜及び前記上部導電膜をパターニングすることにより、前記導電膜からキャパシタの上部電極を形成する工程と前記強誘電体膜及び前記第1保護膜を酸素雰囲気中で加熱することにより、前記第1保護膜中で酸素濃度が膜厚方向に異なる部分を形成する熱処理工程と前記強誘電体膜及び前記下部導電膜をパターニングすることにより、前記下部導電膜から前記キャパシタの下部電極を形成する工程と前記第1保護膜及び前記キャパシタを覆う第2保護膜を形成する工程とを有する工程を含むことを特徴とする半導体装置の製造方法
(付記12)半導体基板の上方に下部導電膜、強誘電体膜、及び上部導電膜を順に積層する工程と前記上部導電膜の上に第1のハードマスク及び第2のハードマスクを順番に形成する工程と前記第1及び第2のハードマスクを用いて、前記下部導電膜、前記強誘電体膜、及び前記上部導電膜をパターニングして強誘電体キャパシタを形成する工程と前記強誘電体キャパシタを形成した後に、前記第1のハードマスクを残して前記第2のハードマスクを除去する工程と前記強誘電体キャパシタ及び前記第1のハードマスクを酸素雰囲気中で加熱し、前記第1のマスクの少なくとも一部を酸化させ膜厚方向で酸素濃度の分布が異なる部分を有する第1保護膜を形成する熱処理工程と前記第1保護膜及び前記強誘電体キャパシタを覆う第2保護膜を形成する工程とを有することを特徴とする半導体装置の製造方法
(付記13)前記熱処理を行う工程を、550℃乃至700℃の温度条件下で行うことを特徴とする付記12に記載の半導体装置の製造方法。
(付記14)前記上部導電膜として、貴金属の酸化物を含有する膜を形成することを特徴とする付記12又は付記13に記載の半導体装置の製造方法。
(付記15)前記熱処理は、前記第1のハードマスク中の前記酸素濃度を上部領域より下部領域が低くなる部分を形成する工程を含むことを特徴とする付記12に記載の半導体装置の製造方法。
(付記16)前記熱処理は、前記第1のハードマスク中の前記酸素濃度を上部領域及び下部領域よりも膜中心の方が低くなる部分を形成する工程を含むことを特徴とする付記12乃至付記15のいずれか一項に記載の半導体装置の製造方法。
(付記17)前記上部導電膜と前記第1保護膜をパターニングする工程は、前記第1保護膜の上面を下面の面積より狭くする工程を含むことを特徴とする付記12乃至付記16のいずれか一つに記載の半導体装置の製造方法。
(付記18)前記第1保護膜を形成する工程は、Ti、TiN、Ta、TaN、TiAl、TaAl、TiAlN、TaAlN、TiSiN、TaSiN、TiSi、TaSi膜からなる群から選択された1種の膜を形成する工程であることを特徴とする付記12乃至付記17のいずれか一項に記載の半導体装置の製造方法。
(付記19)前記第1保護膜を形成する工程は、積層方向で最も下層に配置される下層保護膜と、前記下層保護膜上に形成される中間保護膜と、最も上側に配置される上層保護膜とを順番に積層させる工程を含み、前記中間保護膜は、前記上層保護膜及び前記下層保護膜のそれぞれより前記酸素濃度が低くなるように形成することを特徴とする付記12乃至付記17に記載の半導体装置の製造方法。
Hereinafter, embodiments of the present invention will be further described.
(Appendix 1) A semiconductor substrate, a ferroelectric capacitor having a lower electrode, a ferroelectric film, and an upper electrode sequentially stacked on the semiconductor substrate with an insulating film interposed therebetween, and in the stacking direction of the ferroelectric capacitor, provided above the upper electrode, it is provided in a region including a first protective layer having a distribution different portions of the oxygen concentration in the film thickness direction, above the first protective film, and the sidewall of the ferroelectric capacitor first wherein a has 2 and the protective film.
(Additional remark 2) The said 1st protective film has a part with the said oxygen concentration of a lower area | region lower than the upper area | region of a film thickness direction, The semiconductor device of Additional remark 1 characterized by the above-mentioned .
(Supplementary note 3) The semiconductor device according to supplementary note 1, wherein the first protective film has a portion where the oxygen concentration is lower in a central portion of the film than in an upper region and a lower region in the film thickness direction .
(Supplementary Note 4) The first protective film has a portion in which at least a part of the upper region has the uniform high oxygen concentration in the film thickness direction, and the lower the region, the lower the oxygen concentration is. The semiconductor device according to any one of appendices 1 to 3, wherein the semiconductor device includes:
(Supplementary Note 5) The first protective film has a configuration in which a plurality of films are stacked, and a lower protective film disposed in the lowermost layer in the stacking direction, an upper protective film disposed in the uppermost layer, and these two The semiconductor according to claim 1, further comprising an intermediate protective film sandwiched between two films, wherein the oxygen concentration of the intermediate protective film is lower than the oxygen concentration of each of the upper protective film and the lower protective film apparatus.
(Supplementary note 6) The semiconductor device according to any one of supplementary notes 1 to 5, wherein the first and second protective films are formed of different materials.
(Supplementary note 7) The semiconductor device according to any one of supplementary notes 1 to 6, wherein the second protective film is a stacked body of a plurality of films having the same component or different components.
(Supplementary Note 8) The first protective film is made of TiO x , TiON, TaO x , TaON, TiAlO x , TaAlO x , TiAlON, TaAlON, TiSiON, TaSiON, TiSiO x , TaSiO x , AlO x , ZrO x film. 6. The semiconductor device according to appendix 5, wherein the semiconductor device is one selected from the group consisting of:
(Additional remark 9) The said intermediate protective film is 1 type selected from the group which consists of TiON, TaON, TiAlON, TaAlON, TiSiON, TaSiON film | membrane, The semiconductor device of Additional remark 5 characterized by the above-mentioned.
(Supplementary note 10) The semiconductor device according to any one of supplementary notes 1 to 9, wherein the first protective film has an upper surface having a smaller area than a lower surface.
(Supplementary Note 11) lower conductive film above a semiconductor substrate, a ferroelectric film, and a step of laminating an upper conductive layer, forming a first protective film on the upper electrode, the first protective film and the by patterning the upper conductive layer, and forming an upper electrode of the capacitor from the conducting film, by heating the ferroelectric film and the first protective film in an oxygen atmosphere, the first protective film in a heat treatment step the oxygen concentration to form different portions in the thickness direction, by patterning the ferroelectric film and the lower conductive layer, forming a lower electrode of the capacitor from the lower conductive layer, wherein Forming a second protective film that covers the first protective film and the capacitor , and a method for manufacturing a semiconductor device .
(Supplementary Note 12) lower conductive film above a semiconductor substrate, a ferroelectric film, and an upper conductive film and the step of sequentially stacked in the order of the first hard mask and the second hard mask on the upper conductive film forming, a step of using the first and second hard mask, to form the lower conductive layer, the ferroelectric capacitor by patterning the ferroelectric film, and the upper conductive layer, wherein the strong After forming the dielectric capacitor, removing the second hard mask while leaving the first hard mask ; heating the ferroelectric capacitor and the first hard mask in an oxygen atmosphere; a heat treatment step of the distribution of at least the oxygen concentration in the film thickness direction partially oxidized in the first mask to form a first protective layer having a different portion, the covering the first protective film and the ferroelectric capacitor The method of manufacturing a semiconductor device characterized by having a step of forming a protective film.
(Additional remark 13) The manufacturing method of the semiconductor device of Additional remark 12 characterized by performing the process which performs the said heat processing on 550 degreeC thru | or 700 degreeC temperature conditions.
(Supplementary note 14) The semiconductor device manufacturing method according to supplementary note 12 or supplementary note 13, wherein a film containing a noble metal oxide is formed as the upper conductive film.
(Supplementary note 15) The method for manufacturing a semiconductor device according to Supplementary note 12, wherein the heat treatment includes a step of forming a portion in which the lower region is lower than the upper region in the oxygen concentration in the first hard mask. .
(Supplementary Note 16) The supplementary notes 12 to 12, wherein the heat treatment includes a step of forming a portion where the oxygen concentration in the first hard mask is lower in the center of the film than in the upper region and the lower region. 15. A method for manufacturing a semiconductor device according to claim 15.
(Supplementary note 17) Any one of Supplementary notes 12 to 16, wherein the step of patterning the upper conductive film and the first protective film includes a step of making an upper surface of the first protective film narrower than an area of a lower surface. The manufacturing method of the semiconductor device as described in one.
(Supplementary Note 18) The step of forming the first protective film is a film selected from the group consisting of Ti, TiN, Ta, TaN, TiAl, TaAl, TiAlN, TaAlN, TiSiN, TaSiN, TiSi, and TaSi film. 18. The method of manufacturing a semiconductor device according to any one of appendices 12 to 17, wherein the semiconductor device is formed.
(Supplementary note 19) The step of forming the first protective film includes a lower layer protective film disposed in the lowermost layer in the stacking direction, an intermediate protective film formed on the lower layer protective film, and an upper layer disposed on the uppermost side. And adding the protective film in order, wherein the intermediate protective film is formed so that the oxygen concentration is lower than each of the upper protective film and the lower protective film. The manufacturing method of the semiconductor device as described in any one of Claims 1-3.

図1Aは、本発明の第1の実施の形態に係る半導体装置の製造工程を示す断面図(その1)である。FIG. 1A is a sectional view (No. 1) showing a manufacturing step of the semiconductor device according to the first embodiment of the invention. 図1Bは、本発明の第1の実施の形態に係る半導体装置の製造工程を示す断面図(その2)である。FIG. 1B is a sectional view (No. 2) showing the manufacturing process of the semiconductor device according to the first embodiment of the invention. 図1Cは、本発明の第1の実施の形態に係る半導体装置の製造工程を示す断面図(その3)である。FIG. 1C is a cross-sectional view (part 3) illustrating the manufacturing process of the semiconductor device according to the first embodiment of the present invention. 図1Dは、本発明の第1の実施の形態に係る半導体装置の製造工程を示す断面図(その4)である。FIG. 1D is a sectional view (No. 4) showing a manufacturing step of the semiconductor device according to the first embodiment of the invention. 図1Eは、本発明の第1の実施の形態に係る半導体装置の製造工程を示す断面図(その5)である。FIG. 1E is a cross-sectional view (part 5) illustrating the manufacturing process of the semiconductor device according to the first embodiment of the present invention. 図1Fは、本発明の第1の実施の形態に係る半導体装置の製造工程を示す断面図(その6)である。FIG. 1F is a sectional view (No. 6) showing a manufacturing step of the semiconductor device according to the first embodiment of the invention. 図1Gは、本発明の第1の実施の形態に係る半導体装置の製造工程を示す断面図(その7)である。FIG. 1G is a sectional view (No. 7) showing the manufacturing process of the semiconductor device according to the first embodiment of the invention. 図1Hは、本発明の第1の実施の形態に係る半導体装置の製造工程を示す断面図(その8)である。FIG. 1H is a sectional view (No. 8) showing a manufacturing step of the semiconductor device according to the first embodiment of the invention. 図1Iは、本発明の第1の実施の形態に係る半導体装置の製造工程を示す断面図(その9)である。FIG. 1I is a sectional view (No. 9) showing a manufacturing step of the semiconductor device according to the first embodiment of the invention. 図1Jは、本発明の第1の実施の形態に係る半導体装置の製造工程を示す断面図(その10)である。FIG. 1J is a sectional view (No. 10) showing a manufacturing step of the semiconductor device according to the first embodiment of the invention. 図1Kは、本発明の第1の実施の形態に係る半導体装置の製造工程を示す断面図(その11)である。FIG. 1K is a sectional view (No. 11) showing a manufacturing step of the semiconductor device according to the first embodiment of the invention. 図2(a)は第1保護膜の構成を説明する断面図を示し、図2(b)は膜厚方向の酸素濃度の変化の一例を示すグラフである。FIG. 2A is a cross-sectional view illustrating the configuration of the first protective film, and FIG. 2B is a graph illustrating an example of a change in oxygen concentration in the film thickness direction. 図3(a)は第1保護膜の構成の変形例を説明する断面図を示し、図3(b)は膜厚方向の酸素濃度の変化の一例を示すグラフである。FIG. 3A is a cross-sectional view illustrating a modification of the configuration of the first protective film, and FIG. 3B is a graph illustrating an example of a change in oxygen concentration in the film thickness direction. 図4Aは、本発明の第1の実施の形態に係る半導体装置におけるキャパシタ上の第1保護膜の構成の第1変形例を説明する断面図である。FIG. 4A is a cross-sectional view illustrating a first modification of the configuration of the first protective film on the capacitor in the semiconductor device according to the first embodiment of the present invention. 図4Bは、本発明の第1の実施の形態に係る半導体装置におけるキャパシタ上の第1保護膜の構成の第2変形例を説明する断面図である。FIG. 4B is a cross-sectional view illustrating a second modification of the configuration of the first protective film on the capacitor in the semiconductor device according to the first embodiment of the present invention. 図5は、本発明の第1の実施の形態に係る半導体装置におけるキャパシタ上の第1保護膜の構成の第3変形例を説明する断面図である。FIG. 5 is a cross-sectional view illustrating a third modification of the configuration of the first protective film on the capacitor in the semiconductor device according to the first embodiment of the present invention. 図6は、リファレンスに係る半導体装置のキャパシタを示す断面図である。FIG. 6 is a cross-sectional view showing a capacitor of the semiconductor device according to the reference. 図7Aは、本発明の第2の実施の形態に係る半導体装置の製造工程を示す断面図(その1)である。FIG. 7A is a sectional view (No. 1) showing a manufacturing step of the semiconductor device according to the second embodiment of the invention. 図7Bは、本発明の第2の実施の形態に係る半導体装置の製造工程を示す断面図(その2)である。FIG. 7B is a cross-sectional view (part 2) illustrating the manufacturing process of the semiconductor device according to the second embodiment of the present invention. 図7Cは、本発明の第2の実施の形態に係る半導体装置の製造工程を示す断面図(その3)である。FIG. 7C is a cross-sectional view (part 3) illustrating the manufacturing process of the semiconductor device according to the second embodiment of the present invention. 図7Dは、本発明の第2の実施の形態に係る半導体装置の製造工程を示す断面図(その4)である。FIG. 7D is a sectional view (No. 4) showing the manufacturing step of the semiconductor device according to the second embodiment of the invention. 図7Eは、本発明の第2の実施の形態に係る半導体装置の製造工程を示す断面図(その5)である。FIG. 7E is a sectional view (No. 5) showing the manufacturing process of the semiconductor device according to the second embodiment of the invention. 図7Fは、本発明の第2の実施の形態に係る半導体装置の製造工程を示す断面図(その6)である。FIG. 7F is a sectional view (No. 6) showing a manufacturing step of the semiconductor device according to the second embodiment of the invention. 図7Gは、本発明の第2の実施の形態に係る半導体装置の製造工程を示す断面図(その7)である。FIG. 7G is a sectional view (No. 7) showing the manufacturing process of the semiconductor device according to the second embodiment of the invention. 図7Hは、本発明の第2の実施の形態に係る半導体装置の製造工程を示す断面図(その8)である。FIG. 7H is a sectional view (No. 8) showing a manufacturing step of the semiconductor device according to the second embodiment of the invention. 図7Iは、本発明の第2の実施の形態に係る半導体装置の製造工程を示す断面図(その9)である。FIG. 7I is a sectional view (No. 9) showing the manufacturing process of the semiconductor device according to the second embodiment of the invention. 図7Jは、本発明の第2の実施の形態に係る半導体装置の製造工程を示す断面図(その10)である。FIG. 7J is a sectional view (No. 10) showing a manufacturing step of the semiconductor device according to the second embodiment of the invention. 図8は、本発明の第2の実施の形態に係る半導体装置におけるキャパシタ上の第1保護膜の構成を説明する断面図である。FIG. 8 is a cross-sectional view illustrating the configuration of the first protective film on the capacitor in the semiconductor device according to the second embodiment of the present invention.

符号の説明Explanation of symbols

1 シリコン基板
16 第1層間絶縁膜
11A 第1ソース/ドレイン領域
11B 第2ソース/ドレイン領域
17A,17B,17C 第1コンタクトホール
18A,18B,18C,18D,18E,44A,45B,45C,45D,45E
,64A,64B,90A,90B,90C 導電性プラグ
20,62 第2層間絶縁膜
22 第1導電膜
23,75 第1強誘電体膜
24,75 第2強誘電体膜
25 第2導電膜
7,51,52,78 第1保護膜(第1のマスク)
27A,51B,52C,78A 上部領域
27B,51A,52A,78B 下部領域
27C,52B,78C 膜中心領域
28,80 上部電極
29,73 下部電極
30 第2保護膜
30A 第1層
30B 第2層
31,81 強誘電体キャパシタ
33,85 第3層間絶縁膜
35,87 第4層間絶縁膜
38 フォトレジスト膜
41,42,43,88,89 コンタクトホール
72A 第1下部電極膜
72B 第2下部電極膜
76A 第1上部電極膜
76B 第2上部電極膜
79 マスク材料層(第2のマスク)
DESCRIPTION OF SYMBOLS 1 Silicon substrate 16 1st interlayer insulation film 11A 1st source / drain region 11B 2nd source / drain region 17A, 17B, 17C 1st contact hole 18A, 18B, 18C, 18D, 18E, 44A, 45B, 45C, 45D, 45E
, 64A, 64B, 90A, 90B, 90C Conductive plugs 20, 62 Second interlayer insulating film 22 First conductive film 23, 75 First ferroelectric film 24, 75 Second ferroelectric film 25 Second conductive film
2 7, 51, 52, 78 First protective film (first mask)
27A, 51B, 52C, 78A Upper region 27B, 51A, 52A, 78B Lower region 27C, 52B, 78C Film center region 28, 80 Upper electrode 29, 73 Lower electrode 30 Second protective film 30A First layer 30B Second layer 31 , 81 Ferroelectric capacitor 33, 85 Third interlayer insulating film 35, 87 Fourth interlayer insulating film 38 Photoresist film 41, 42, 43, 88, 89 Contact hole 72A First lower electrode film 72B Second lower electrode film 76A First upper electrode film 76B Second upper electrode film 79 Mask material layer (second mask)

Claims (5)

半導体基板と、
前記半導体基板上に絶縁膜を介して順次積層された下部電極、強誘電体膜及び上部電極を有する強誘電体キャパシタと、
前記強誘電体キャパシタの積層方向において前記上部電極の上方に設けられ、膜厚方向に酸素濃度の分布が異なる部分を有する第1保護膜と、
前記第1保護膜の上方、及び前記強誘電体キャパシタの側壁を含む領域に設けられる還元元素拡散防止用の第2保護膜と、
前記第1保護膜及び前記第2保護膜を貫通し、前記上部電極に達する導電性プラグと、
を有することを特徴とする半導体装置。
A semiconductor substrate;
A ferroelectric capacitor having a lower electrode, a ferroelectric film and an upper electrode sequentially stacked on the semiconductor substrate via an insulating film;
A first protective film provided above the upper electrode in the stacking direction of the ferroelectric capacitor and having a portion having a different oxygen concentration distribution in the film thickness direction;
A second protective film for preventing diffusion of a reducing element provided above the first protective film and in a region including a sidewall of the ferroelectric capacitor;
A conductive plug that penetrates the first protective film and the second protective film and reaches the upper electrode;
A semiconductor device comprising:
前記第1保護膜は、膜厚方向の上部領域より下部領域の前記酸素濃度が低い部分を有することを特徴とする請求項1に記載の半導体装置。   The semiconductor device according to claim 1, wherein the first protective film has a portion in which the oxygen concentration is lower in a lower region than in an upper region in the film thickness direction. 前記第1保護膜は、膜厚方向の上部領域及び下部領域より膜中心となる部分の前記酸素濃度が低い部分を有することを特徴とする請求項1に記載の半導体装置。   2. The semiconductor device according to claim 1, wherein the first protective film includes a portion having a lower oxygen concentration in a portion that is a film center than an upper region and a lower region in a film thickness direction. 半導体基板の上方に下部導電膜、強誘電体膜、上部導電膜を積層する工程と、
前記上部導電膜の上に第1保護膜を形成する工程と、
前記第1保護膜及び前記上部導電膜をパターニングすることにより、前記上部導電膜からキャパシタの上部電極を形成する工程と、
前記強誘電体膜及び前記第1保護膜を酸素雰囲気中で加熱することにより、前記第1保護膜中で酸素濃度が膜厚方向に異なる部分を形成する熱処理工程と、
前記強誘電体膜及び前記下部導電膜をパターニングすることにより、前記下部導電膜から前記キャパシタの下部電極を形成する工程と、
前記第1保護膜及び前記キャパシタを覆う還元元素拡散防止用の第2保護膜を形成する工程と、
前記第1保護膜及び前記第2保護膜を貫通し、前記上部電極に達する導電性プラグを形成する工程と、
を含むことを特徴とする半導体装置の製造方法。
Laminating a lower conductive film, a ferroelectric film, and an upper conductive film over a semiconductor substrate;
Forming a first protective film on the upper conductive film ;
Forming an upper electrode of a capacitor from the upper conductive film by patterning the first protective film and the upper conductive film;
A heat treatment step in which the ferroelectric film and the first protective film are heated in an oxygen atmosphere to form portions in the first protective film having different oxygen concentrations in the film thickness direction;
Forming a lower electrode of the capacitor from the lower conductive film by patterning the ferroelectric film and the lower conductive film;
Forming a second protective film for preventing reduction element diffusion covering the first protective film and the capacitor;
Forming a conductive plug that penetrates the first protective film and the second protective film and reaches the upper electrode;
A method for manufacturing a semiconductor device, comprising:
半導体基板の上方に下部導電膜、強誘電体膜、及び上部導電膜を順に積層する工程と、
前記上部導電膜の上に第1のハードマスク及び第2のハードマスクを順番に形成する工程と、
前記第1及び第2のハードマスクを用いて、前記下部導電膜、前記強誘電体膜、及び前記上部導電膜をパターニングして、上部電極を有する強誘電体キャパシタを形成する工程と、
前記強誘電体キャパシタを形成した後に、前記第1のハードマスクを残して前記第2のハードマスクを除去する工程と、
前記強誘電体キャパシタ及び前記第1のハードマスクを酸素雰囲気中で加熱し、前記第1のハードマスクの少なくとも一部を酸化させて膜厚方向で酸素濃度の分布が異なる部分を有する第1保護膜を形成する熱処理工程と、
前記第1保護膜及び前記強誘電体キャパシタを覆う還元元素拡散防止用の第2保護膜を形成する工程と、
前記第1保護膜及び前記第2保護膜を貫通し、前記上部電極に達する導電性プラグを形成する工程と、
を含むことを特徴とする半導体装置の製造方法。
Laminating a lower conductive film, a ferroelectric film, and an upper conductive film in order over the semiconductor substrate;
Sequentially forming a first hard mask and a second hard mask on the upper conductive film;
Patterning the lower conductive film, the ferroelectric film, and the upper conductive film using the first and second hard masks to form a ferroelectric capacitor having an upper electrode ;
After forming the ferroelectric capacitor, removing the second hard mask leaving the first hard mask;
The ferroelectric capacitor and the first hard mask are heated in an oxygen atmosphere to oxidize at least a part of the first hard mask and to have a portion having a different oxygen concentration distribution in the film thickness direction. A heat treatment step for forming a film;
Forming a second protective film for preventing diffusion of a reducing element covering the first protective film and the ferroelectric capacitor;
Forming a conductive plug that penetrates the first protective film and the second protective film and reaches the upper electrode;
A method for manufacturing a semiconductor device, comprising:
JP2008159043A 2008-06-18 2008-06-18 Semiconductor device and manufacturing method of semiconductor device Expired - Fee Related JP5412754B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008159043A JP5412754B2 (en) 2008-06-18 2008-06-18 Semiconductor device and manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008159043A JP5412754B2 (en) 2008-06-18 2008-06-18 Semiconductor device and manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2010003741A JP2010003741A (en) 2010-01-07
JP5412754B2 true JP5412754B2 (en) 2014-02-12

Family

ID=41585241

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008159043A Expired - Fee Related JP5412754B2 (en) 2008-06-18 2008-06-18 Semiconductor device and manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP5412754B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5552916B2 (en) * 2010-06-18 2014-07-16 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP6476633B2 (en) * 2014-07-31 2019-03-06 セイコーエプソン株式会社 Piezoelectric device
JP6492681B2 (en) * 2015-01-20 2019-04-03 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6225656B1 (en) * 1998-12-01 2001-05-01 Symetrix Corporation Ferroelectric integrated circuit with protective layer incorporating oxygen and method for fabricating same
WO2007116440A1 (en) * 2006-03-30 2007-10-18 Fujitsu Limited Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
JP2010003741A (en) 2010-01-07

Similar Documents

Publication Publication Date Title
JP5251864B2 (en) Semiconductor device and manufacturing method thereof
JP4884104B2 (en) Semiconductor device including capacitor and manufacturing method thereof
US8236643B2 (en) Method of manufacturing semiconductor device including ferroelectric capacitor
JP4952148B2 (en) Semiconductor device and manufacturing method thereof
JP5092461B2 (en) Semiconductor device and manufacturing method thereof
JP5205741B2 (en) Manufacturing method of semiconductor device
JP5211558B2 (en) Manufacturing method of semiconductor device
JP4882548B2 (en) Semiconductor device and manufacturing method thereof
JP5347381B2 (en) Manufacturing method of semiconductor device
JP4845624B2 (en) Semiconductor device and manufacturing method thereof
JP5412754B2 (en) Semiconductor device and manufacturing method of semiconductor device
KR101262432B1 (en) Method for manufacturing semiconductor devuce
JP5007723B2 (en) Semiconductor device including capacitor and manufacturing method thereof
JP5326256B2 (en) Manufacturing method of semiconductor device
JP4716938B2 (en) Manufacturing method of semiconductor device
JP2007266023A (en) Semiconductor device and method of manufacturing same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130226

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130430

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130723

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131015

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131028

R150 Certificate of patent or registration of utility model

Ref document number: 5412754

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees