JP2007526351A5 - - Google Patents

Download PDF

Info

Publication number
JP2007526351A5
JP2007526351A5 JP2006517640A JP2006517640A JP2007526351A5 JP 2007526351 A5 JP2007526351 A5 JP 2007526351A5 JP 2006517640 A JP2006517640 A JP 2006517640A JP 2006517640 A JP2006517640 A JP 2006517640A JP 2007526351 A5 JP2007526351 A5 JP 2007526351A5
Authority
JP
Japan
Prior art keywords
group
optionally substituted
polymer
substituted
carbon atoms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006517640A
Other languages
Japanese (ja)
Other versions
JP2007526351A (en
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2004/020346 external-priority patent/WO2005000923A1/en
Publication of JP2007526351A publication Critical patent/JP2007526351A/en
Publication of JP2007526351A5 publication Critical patent/JP2007526351A5/ja
Pending legal-status Critical Current

Links

Description

リビングフリーラジカルプロセスにより調製した、アクリル酸またはメタクリル酸ベースのポリマー樹脂を有するフォトレジストポリマーおよび組成物Photoresist polymers and compositions with acrylic acid or methacrylic acid based polymer resins prepared by a living free radical process

(発明の背景)
半導体ウエハーのパターン形成についてのプロセスは通常、放射線感受性レジスト材料の薄膜から所望のイメージをリソグラフィー転写することに依存している。このプロセスでは、犠牲層である「レジスト」の形成を必要とし、このレジストはフォトリソグラフによりパターンが付けられる。一般的に、これらのレジストは「フォトレジスト」と称される。
(Background of the Invention)
Processes for patterning semiconductor wafers typically rely on lithographic transfer of the desired image from a thin film of radiation sensitive resist material. This process requires the formation of a “resist”, a sacrificial layer, which is patterned by photolithography. Generally, these resists are referred to as “photoresists”.

レジストのパターン形成には、適切なマスクを介して、選択された光源にレジストを露光してマスクの潜像を記録する工程、および次いでレジストの選択された領域を現像して取り外す工程を含む、幾つかの工程を必要とする。「ポジ」レジストについては、露光された領域が選択的に除去されるようにこのような領域が変化する;一方「ネガ」レジストについては、露光されなかった領域がより簡単に除去される。   Resist patterning includes exposing the resist to a selected light source through an appropriate mask to record a latent image of the mask, and then developing and removing selected areas of the resist. Several steps are required. For "positive" resists, such areas change so that the exposed areas are selectively removed; whereas for "negative" resists, the unexposed areas are more easily removed.

残された、パターン形成されたレジストを保護用のマスク層として用いての、反応ガスでのエッチングによって、このパターンをウエハーにおける表面テクスチャーに転写することができる。あるいは、レジストパターンによってウエハーが「マスク」されている場合、導体材料もしくは半導体材料を蒸着することによって、またはドーパントを注入することによってそれを処理して有効な電子デバイスおよび回路を形成することができる。   This pattern can be transferred to the surface texture on the wafer by etching with reactive gas using the remaining patterned resist as a protective mask layer. Alternatively, if the wafer is “masked” by a resist pattern, it can be processed to form effective electronic devices and circuits by depositing a conductive or semiconductor material or by implanting a dopant. .

光学リソグラフィー用の単層フォトレジストにおいて用いられる材料は、幾つかの目的を達成するべきである。露光波長での光学密度が低いことおよびプラズマエッチングなどのイメージの転写プロセスに対する耐性は、このようなフォトレジスト材料によって達成される二つの重要な目的である。放射波長が短くなるほど、より高い解像度が可能になる。半導体リソグラフィーにおいて現在用いられている最も一般的な波長は365nm、248nmであり、ごく最近では193nmである。より細い線幅およびより高い解像度への要求によって、波長がさらに短い光によるパターン形成が可能なフォトレジスト材料についての興味が刺激されてきた。   Materials used in single layer photoresists for optical lithography should achieve several objectives. Low optical density at the exposure wavelength and resistance to image transfer processes such as plasma etching are two important objectives achieved by such photoresist materials. The shorter the emission wavelength, the higher the resolution possible. The most common wavelengths currently used in semiconductor lithography are 365 nm, 248 nm, and most recently 193 nm. The demand for thinner line widths and higher resolution has stimulated interest in photoresist materials that can be patterned with light of even shorter wavelengths.

微細加工の分野においては、集積度を高くするために加工サイズが極めて小さくなってきた。最近では、リソグラフィープロセスの発展によって、0.5ミクロンの線幅で、より好ましくは0.2ミクロン以下の線幅で安定した微細加工が可能となっていることに、強い関心が持たれてきた。   In the field of fine processing, the processing size has become extremely small in order to increase the degree of integration. Recently, there has been a strong interest in the development of lithography processes that enables stable microfabrication with a line width of 0.5 microns, more preferably with a line width of 0.2 microns or less. .

従来のリソグラフィープロセスでは、i線などの近紫外線の放射を利用している。しかしながら、可視光線(波長:700〜400nm)または近紫外線(波長:400〜300nm)を利用する従来の方法を用いて、高精度で微細なパターンを形成することは困難である。この問題に取り組むために、より短い波長(波長:300nm以下)での放射を用いるリソグラフィープロセスが開発されてきた。このようなより短い波長の放射によって、より広範囲の焦点深度を達成することができ、この放射は、最小限の大きさを伴う設計ルールを保証することに有効である。   In a conventional lithography process, near ultraviolet radiation such as i-line is used. However, it is difficult to form a fine pattern with high accuracy using a conventional method using visible light (wavelength: 700 to 400 nm) or near ultraviolet light (wavelength: 400 to 300 nm). To address this problem, lithographic processes have been developed that use radiation at shorter wavelengths (wavelength: 300 nm or less). With such shorter wavelength radiation, a wider range of depth of focus can be achieved and this radiation is effective in ensuring design rules with a minimum size.

シンクロトロン放射などのX線、電子線などの荷電粒子線などと同様に、短い波長、遠紫外線の放射の具体例、例えば、KrFエキシマレーザー(波長:248nm)またはArFエキシマレーザー(波長:193nm)から生じるものも利用することができる。   Similar to X-rays such as synchrotron radiation, charged particle beams such as electron beams, and the like, specific examples of short-wavelength and far-ultraviolet radiation such as KrF excimer laser (wavelength: 248 nm) or ArF excimer laser (wavelength: 193 nm) Can also be used.

エキシマレーザー放射に適用できるレジストとして、酸解離性官能基を有する成分と、照射(以下、「露光」と称する)時に酸を発生させる酸発生成分(以下、「光酸発生剤」と称する)との間の化学増幅効果を利用する多数のレジストが提案されてきた。このようなレジストを以下、化学増幅レジストと呼ぶ。   As a resist applicable to excimer laser radiation, a component having an acid dissociable functional group, and an acid generating component (hereinafter referred to as “photo acid generator”) that generates an acid upon irradiation (hereinafter referred to as “exposure”) Numerous resists have been proposed that take advantage of the chemical amplification effect between the two. Such a resist is hereinafter referred to as a chemically amplified resist.

日本国特許公報2−27660号は、カルボン酸のt−ブチルエステル基またはフェノールのt−ブチル=カルボナート基を有するポリマーを含む化学増幅レジストと、光酸発生剤とを開示している。ポリマーにおけるt−ブチルエステル基またはt−ブチル=カルボナート基は、露光時に発生する酸の作用によって解離する。それによって、このポリマーはカルボキシル基またはフェノール性ヒドロキシル基などの酸性基を有する。結果として、レジストフィルムの露光された領域は、アルカリ性の現像液に容易に溶解するようになる。   Japanese Patent Publication 2-27660 discloses a chemically amplified resist containing a polymer having a t-butyl ester group of a carboxylic acid or a t-butyl = carbonate group of a phenol, and a photoacid generator. The t-butyl ester group or t-butyl carbonate group in the polymer is dissociated by the action of an acid generated during exposure. Thereby, the polymer has acidic groups such as carboxyl groups or phenolic hydroxyl groups. As a result, the exposed areas of the resist film are easily dissolved in an alkaline developer.

一般的に、従来のKrF化学増幅レジストは、ベース樹脂としてフェノール樹脂を含む。しかしながら、芳香環によってArFのレーザー光線が強烈に吸収されて十分な量のArFのレーザー光線がレジストフィルムの下部に効果的に到達せず、レジストフィルムの上部での照射線量が増加し、下部での照射線量が減少する。結果として、現像後のレジストパターンが、上部が薄く下部が厚いレジストパターンとのような台形状で残るために、フェノール樹脂は、ArFフォトレジストに用いることには適していない。十分な解像度は一般的に得られない。現像後のレジストパターンが台形の形状である場合、エッチング工程またはイオン注入工程などの次の工程で望まれる寸法精度を達成することはできない。さらに、レジストパターンの上部の形状が長方形でない場合、ドライエッチングによるレジストの除去速度が高まり、それによって、エッチング条件をコントロールすることが困難になる。   In general, conventional KrF chemically amplified resists include a phenolic resin as a base resin. However, the ArF laser beam is strongly absorbed by the aromatic ring, so that a sufficient amount of ArF laser beam does not reach the lower part of the resist film effectively, the irradiation dose on the upper part of the resist film increases, and the lower part is irradiated. The dose is reduced. As a result, the resist pattern after development remains in a trapezoidal shape such as a resist pattern with a thin upper portion and a thick lower portion, so that the phenol resin is not suitable for use in an ArF photoresist. Sufficient resolution is generally not obtained. If the developed resist pattern has a trapezoidal shape, the desired dimensional accuracy cannot be achieved in the next step such as an etching step or an ion implantation step. Furthermore, when the shape of the upper part of the resist pattern is not rectangular, the resist removal rate by dry etching increases, thereby making it difficult to control the etching conditions.

レジストフィルムの放射透過率を上昇させることによって、レジストパターンの形状を改善することができる。例えば、ポリメチルメタクリラートなどのアクリル(メタクリル)樹脂は、放射透過率の観点からは極めて望ましい樹脂である。というのは、アクリル(メタクリル)樹脂は遠紫外線に対する透明性が高いからである。例えば、日本国公開特許公報4−226461号は、メタクリラート樹脂を用いる化学増幅レジストを開示している。しかしながら、芳香環が存在しないためにこの組成物のドライエッチング耐性は不十分ではあるが、この組成物の微細加工性における性能は十分である。このことが、高精度でのエッチングを実施することを困難にしている。従って、放射に対する透明性とドライエッチング耐性との両方を有する組成物は、このやり方では提供されない。   The shape of the resist pattern can be improved by increasing the radiation transmittance of the resist film. For example, an acrylic (methacrylic) resin such as polymethyl methacrylate is a highly desirable resin from the viewpoint of radiation transmittance. This is because acrylic (methacrylic) resin is highly transparent to deep ultraviolet rays. For example, Japanese Published Patent Publication No. 4-226461 discloses a chemically amplified resist using a methacrylate resin. However, since the aromatic ring is not present, the dry etching resistance of the composition is insufficient, but the performance of the composition in microfabrication is sufficient. This makes it difficult to perform etching with high accuracy. Thus, compositions that have both transparency to radiation and dry etch resistance are not provided in this manner.

化学増幅レジストのドライエッチング耐性を改善するための、放射に対する透明性を損なうことのない手段として、芳香環の代わりに脂肪族環をレジストポリマーにおける樹脂成分に導入する方法が研究されてきた。例えば、日本国公開特許公報7−234511号は、脂肪族環を有するアクリル(メタクリル)樹脂を用いる化学増幅レジストを開示している。   In order to improve the dry etching resistance of chemically amplified resists, a method for introducing an aliphatic ring instead of an aromatic ring into a resin component in a resist polymer has been studied as a means without impairing transparency to radiation. For example, Japanese Patent Publication No. 7-234511 discloses a chemically amplified resist using an acrylic (methacrylic) resin having an aliphatic ring.

ArFフォトレジストの性能をさらに改善するために、一つ以上の繰り返し単位を上記の樹脂に導入してきた。例えば、日本国特許第3042618号は、ラクトン骨格を有する繰り返し単位を組み込むことによる樹脂を用いる化学増幅レジストを開示している。日本国公開特許公報第2002−296783A号は、上記よりもさらに多い繰り返し単位を組み込むことによる樹脂を用いる化学増幅レジストを開示している。   In order to further improve the performance of ArF photoresists, one or more repeating units have been introduced into the above resins. For example, Japanese Patent No. 3042618 discloses a chemically amplified resist using a resin by incorporating a repeating unit having a lactone skeleton. Japanese Patent Publication No. 2002-296783A discloses a chemically amplified resist using a resin by incorporating more repeating units than the above.

しかしながら、現時点で検討されているアクリル酸(メタクリル酸)ベースのフォトレジスト樹脂は、従来のフリーラジカル重合プロセスによって調製される。例えば、次のものも参照すること:米国特許第6,013,416号、第6,087,063号、第6,207,342号、第6,303,266号および第6,596,458号、これらのものは引用によって本明細書に取り込まれる。その中のモノマーは、それらの化学構造についての分子サイズおよび極性の両方が全く異なるため、従来のフリーラジカル重合によってそれらを共重合することで、樹脂の分子特性にいくつかの欠点:(1)多分散性の広さ(2)ポリマー鎖間のモノマードリフト(3)重合の再現性のコントロールの困難さが生じる。   However, the acrylic acid (methacrylic acid) based photoresist resins currently under consideration are prepared by conventional free radical polymerization processes. For example, see also: US Pat. Nos. 6,013,416, 6,087,063, 6,207,342, 6,303,266, and 6,596,458 No., these are incorporated herein by reference. The monomers therein are quite different in both molecular size and polarity for their chemical structure, so copolymerizing them by conventional free radical polymerization has some disadvantages in the molecular properties of the resin: (1) Wideness of polydispersity (2) Monomer drift between polymer chains (3) Difficulties in controlling reproducibility of polymerization.

本技術分野においては、活性化させる光の透過を可能とする、DUVにおける使用のために透明なものであって、かつさらなる処理条件に十分に耐える程に丈夫な新たなポリマー材料が必要である。   There is a need in the art for new polymer materials that are transparent for use in DUVs and that are transparent enough for use in DUVs and that are sufficiently durable to withstand further processing conditions. .

さらに、現在利用することができるフォトレジストポリマー樹脂の上記に特定された欠点の一つ以上を克服するポリマー樹脂が必要である。   Furthermore, there is a need for polymer resins that overcome one or more of the above-identified drawbacks of currently available photoresist polymer resins.

(発明の簡単な要旨)
一つの側面においては、本発明は、アクリル酸またはメタクリル酸ベースの、式(1)
(Simple Summary of Invention)
In one aspect, the invention provides an acrylic or methacrylic acid based formula (1)

Figure 2007526351
ここで、Rは水素原子またはメチル基を表し、それぞれのRは独立して、直鎖もしくは分枝鎖で、非置換のもしくは置換された、1〜4の炭素原子を有するアルキル基を表すか、または、橋されたもしくは非架橋で、非置換のもしくは置換された、4〜20の炭素原子を有する一価の脂環式炭化水素基を表し、任意の二つのR基が互いに、その二つのR基が結合する炭素原子と一緒になって、架橋されたもしくは非架橋で、非置換のもしくは置換された、4〜20の炭素原子を有する二価の脂環式炭化水素基を形成し、残りのR基は直鎖もしくは分枝鎖で、非置換のもしくは置換された、1〜4の炭素原子を有するアルキル基であ;を有するモノマー単位を有するポリマーであって、式:
Figure 2007526351
Here, R 1 represents a hydrogen atom or a methyl group, and each R 2 independently represents a linear or branched, unsubstituted or substituted alkyl group having 1 to 4 carbon atoms. or represents, or, in cross-linking have been or unbridged, unsubstituted or substituted, and display the alicyclic monovalent hydrocarbon radical having from 4 to 20 carbon atoms, any two R 2 groups Are divalent alicyclic having from 4 to 20 carbon atoms, bridged or unbridged, unsubstituted or substituted, together with the carbon atom to which the two R 2 groups are bonded. having a monomer unit having; may form a hydrocarbon group, the remaining R 2 groups in straight or branched chain, which is or substituted unsubstituted, alkyl group Ru der having 1 to 4 carbon atoms A polymer having the formula:

Figure 2007526351
ここで、Rはそのフリーラジカル型として放出されるほど十分に不安定な基であり、Tは炭素またはリンであり、Zは可逆的なフリーラジカル付加分解反応に関するC=S二重結合を活性化させる任意の基である;を有する連鎖移動剤(CTA)の存在下でのリビングフリーラジカルプロセスによって調製されるポリマーを提供する。
Figure 2007526351
Where R x is a sufficiently unstable group to be released as its free radical form, T is carbon or phosphorus, Z is a C = S double bond for a reversible free radical addition decomposition reaction. Provided is a polymer prepared by a living free radical process in the presence of a chain transfer agent (CTA) having any group to be activated.

特定の態様においては、Zは、ヒドロカルビル、置換されたヒドロカルビル、ヘテロ原子含有ヒドロカルビル、置換されたヘテロ原子含有ヒドロカルビルおよびそれらの組み合わせからなる群より選択される。   In certain embodiments, Z is selected from the group consisting of hydrocarbyl, substituted hydrocarbyl, heteroatom-containing hydrocarbyl, substituted heteroatom-containing hydrocarbyl, and combinations thereof.

その他の態様においては、Zは、水素、必要に応じて置換されるアルキル、必要に応じて置換されるアリール、必要に応じて置換されるアルケニル、必要に応じて置換されるアシル、必要に応じて置換されるアロイル、必要に応じて置換されるアルコキシ、必要に応じて置換されるヘテロアリール、必要に応じて置換されるヘテロシクリル、必要に応じて置換されるアルキルスルホニル、必要に応じて置換されるアルキルスルフィニル、必要に応じて置換されるアルキルホスホニル、必要に応じて置換されるアリールスルフィニルおよび必要に応じて置換されるアリールホスホニルからなる群より選択される。   In other embodiments, Z is hydrogen, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkenyl, optionally substituted acyl, optionally. Substituted aroyl, optionally substituted alkoxy, optionally substituted heteroaryl, optionally substituted heterocyclyl, optionally substituted alkylsulfonyl, optionally substituted Selected from the group consisting of optionally substituted alkylphosphonyl, optionally substituted arylsulfinyl and optionally substituted arylphosphonyl.

本発明のポリマー樹脂は、式(2):   The polymer resin of the present invention has the formula (2):

Figure 2007526351
ここで、Eは非架橋もしくは架橋された、非置換のもしくは置換された脂環式炭化水素に由来する基を表し、Rは水素原子、トリフルオロメチル基またはメチル基である
を有する少なくとも一つの追加的な繰り返し単位をさらに含むことができる。
Figure 2007526351
Here, E represents a non-bridged or bridged group derived from an unsubstituted or substituted alicyclic hydrocarbon, and R 3 is at least one having a hydrogen atom, a trifluoromethyl group or a methyl group. One additional repeat unit may be further included.

別の側面においては、本発明は(A)光酸発生剤、および(B)アクリル酸またはメタクリル酸ベースのポリマー樹脂を含むフォトレジスト組成物に関し:
ここで、このポリマーは、式:
In another aspect, the invention relates to a photoresist composition comprising (A) a photoacid generator and (B) an acrylic acid or methacrylic acid based polymer resin:
Where the polymer has the formula:

Figure 2007526351
ここで、R、TおよびZは上記の定義と同じである;を有する連鎖移動剤(CTA)の存在下でのリビングフリーラジカルプロセスによって調製される。
Figure 2007526351
Where R x , T and Z are as defined above; prepared by a living free radical process in the presence of a chain transfer agent (CTA).

特定の態様においては、Zは、ヒドロカルビル、置換されたヒドロカルビル、ヘテロ原子含有ヒドロカルビル、置換されたヘテロ原子含有ヒドロカルビルおよびそれらの組み合わせからなる群より選択される。   In certain embodiments, Z is selected from the group consisting of hydrocarbyl, substituted hydrocarbyl, heteroatom-containing hydrocarbyl, substituted heteroatom-containing hydrocarbyl, and combinations thereof.

その他の態様においては、Zは、水素、必要に応じて置換されるアルキル、必要に応じて置換されるアリール、必要に応じて置換されるアルケニル、必要に応じて置換されるアシル、必要に応じて置換されるアロイル、必要に応じて置換されるアルコキシ、必要に応じて置換されるヘテロアリール、必要に応じて置換されるヘテロシクリル、必要に応じて置換されるアルキルスルホニル、必要に応じて置換されるアルキルスルフィニル、必要に応じて置換されるアルキルホスホニル、必要に応じて置換されるアリールスルフィニルおよび必要に応じて置換されるアリールホスホニルからなる群より選択される。   In other embodiments, Z is hydrogen, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkenyl, optionally substituted acyl, optionally. Substituted aroyl, optionally substituted alkoxy, optionally substituted heteroaryl, optionally substituted heterocyclyl, optionally substituted alkylsulfonyl, optionally substituted Selected from the group consisting of optionally substituted alkylphosphonyl, optionally substituted arylsulfinyl and optionally substituted arylphosphonyl.

さらなる側面においては、フォトレジスト組成物のポリマー樹脂は、上記に定義されるような式(1)を有する繰り返し単位を含むことができる。   In a further aspect, the polymer resin of the photoresist composition can comprise repeating units having formula (1) as defined above.

フォトレジスト組成物のポリマー樹脂は、上記に定義された式(2)を有する少なくとも一つの追加的な繰り返し単位をさらに含むことができる。   The polymer resin of the photoresist composition may further comprise at least one additional repeating unit having the formula (2) defined above.

(例えばフォトレジスト組成物の)ポリマー樹脂は一般的に、約2,000〜約30,000の間の分子量を有する。さらに、このポリマー樹脂は一般的に、約1.5以下の多分散度を有する。最後に、本発明の方法によって調製されるこのポリマー樹脂は、本明細書の全体を通して開示される方法によって切断することができるCTA断片を一般的に含む。   The polymer resin (eg, of a photoresist composition) generally has a molecular weight between about 2,000 and about 30,000. In addition, the polymer resin generally has a polydispersity of about 1.5 or less. Finally, the polymer resin prepared by the method of the present invention generally contains CTA fragments that can be cleaved by the methods disclosed throughout this specification.

一般的に、本発明のポリマーはランダムコポリマーであり、バッチプロセスにてまたは半連続的な重合反応条件下で調製され得る。   In general, the polymers of the present invention are random copolymers and can be prepared in a batch process or under semi-continuous polymerization reaction conditions.

本発明の別の側面においては、(例えばフォトレジスト組成物の)ポリマーは約1.7未満の多分散度指数を有し、より具体的には、約1.2〜約1.4の間の多分散度指数を有する。本発明のポリマーの分子量(M)は、約2,000〜約30,000の間の範囲である。 In another aspect of the invention, the polymer (e.g., of the photoresist composition) has a polydispersity index of less than about 1.7, and more specifically between about 1.2 and about 1.4. Having a polydispersity index of The molecular weight (M w ) of the polymers of the present invention ranges between about 2,000 and about 30,000.

エステルおよびその混合物を含み、立体的に大きなエステル基を有するアクリルタイプの樹脂およびメタクリルタイプの樹脂の両者は本発明に包含され、例えばフォトレジスト材料などのコーティングの応用に有用である。   Both acrylic and methacrylic type resins containing esters and mixtures thereof and having sterically large ester groups are encompassed by the present invention and are useful for coating applications such as photoresist materials.

本発明の特定の側面においては、(例えばフォトレジスト組成物の)ポリマー樹脂はアルカリに不溶性または難溶性であるが、酸の作用によってアルカリに可溶性となる。   In certain aspects of the invention, the polymer resin (eg, in a photoresist composition) is insoluble or sparingly soluble in alkali, but becomes soluble in alkali by the action of an acid.

本発明の別の側面においては、(例えばフォトレジスト組成物の)ポリマー樹脂(アクリル酸誘導体またはメタクリル酸誘導体)の末端の位置は、チオカルボニルチオの部分を含む。一つの態様におけるポリマーの末端の位置に、式:   In another aspect of the invention, the terminal position of the polymeric resin (acrylic acid derivative or methacrylic acid derivative) (eg of a photoresist composition) comprises a thiocarbonylthio moiety. In one embodiment, at the terminal position of the polymer, the formula:

Figure 2007526351
ここで、R’はCNまたはCOOMeである;を有する末端基が含まれるような切断条件にこのチオカルボニルチオの部分を従わせることもできる。あるいは、選択される条件に応じて、末端の位置を水素原子、モノマー単位またはRAFT基によってキャップすることができる。
Figure 2007526351
Where R ′ is CN or COOMe; the thiocarbonylthio moiety can also be subjected to cleavage conditions to include end groups having: Alternatively, the terminal position can be capped with a hydrogen atom, monomer unit or RAFT group depending on the conditions selected.

複数の態様が開示されているが、当業者であれば、本発明を例証する態様を示し説明するところの次の詳細な説明によって、本発明のさらに他の態様を明らかにするだろう。理解されるに従って、本発明の精神および範囲から逸脱することなく、すべての本発明の種々の自明の側面を修飾することができる。従って、図面および詳細な説明を本質的に例示と解釈すべきであり、制限するものと解釈すべきではない。   While multiple aspects are disclosed, those skilled in the art will appreciate still other aspects of the present invention by the following detailed description, which illustrates and describes aspects of the invention. As will be appreciated, various obvious aspects of the invention may be modified without departing from the spirit and scope of the invention. Accordingly, the drawings and detailed description are to be construed as illustrative in nature and not as restrictive.

(詳細な説明)
本出願は、同時係属中の、Didier Benoitらにより2004年6月25日に出願された、「Photoresist Polymer Compositions」という題名の米国特許出願第 号、およびDidier Benoitらにより2004年6月25日に出願された、「Photoresist Polymers」という題名の米国特許出願第 号に関し、この内容は本明細書中に参考として援用される。
(Detailed explanation)
This application is a co-pending US patent application entitled “Photoresist Polymer Compositions” filed June 25, 2004 by Didier Benoiit et al. And U.S. patent application entitled “Photoresist Polymers” filed June 25, 2004 by Didier Benoit et al. The contents of which are incorporated herein by reference.

193nmまたは157nmでの高い吸収によって、レジスト内への光の透過が制限され、そのレジストの下部での完全なレジスト露光は不可能となる。完全なレジスト露光でなければ、そのレジストは正確に像を得ることができない。このレジストが、完全な露光を保証できる程に十分薄く作られている場合、プラズマエッチングまたはイオン注入などの次の加工工程に十分に耐える程度の厚みがないかもしれない。この問題を補うために、多くの場合、レジストの設計者は、光反応性がより高い第二のレジストの上部に十分に薄いレジストが蒸着された多層レジストに頼る。これらの複合レジストは効果的ではあるが、現像の間に露光された領域の下部を切り取るかまたは広げることによって、解像度が妥協されている。本発明は、エッチングおよび/またはその他の露光後加工工程に十分に耐える程度の厚さを持つと同時に、光がレジストの下部を十分透過できる程度に薄い単層または多層の薄いレジストを生産するための材料および方法を提供する。放射エネルギー源への露光後に、従来の水性現像液を用いて、露光された塩基可溶性ポリマーを除去することができる。 High absorption at 193 nm or 157 nm limits the transmission of light into the resist, making complete resist exposure below the resist impossible. Unless the resist is completely exposed, the resist cannot obtain an image accurately. If the resist is made thin enough to guarantee complete exposure, it may not be thick enough to withstand subsequent processing steps such as plasma etching or ion implantation. To compensate for this problem, resist designers often rely on multilayer resists with a sufficiently thin resist deposited on top of a second photoreactive higher resist. While these composite resists are effective, resolution is compromised by cutting or expanding the bottom of the exposed areas during development. The present invention produces a single or multi-layer thin resist that is sufficiently thick to withstand etching and / or other post-exposure processing steps while being thin enough to allow light to sufficiently penetrate the bottom of the resist Materials and methods are provided. After exposure to the radiant energy source, the exposed base soluble polymer can be removed using a conventional aqueous developer.

フォトリソグラフによるパターンを形成する能力はRayleighの方程式によって規定され、この中でRは解像度すなわち光学系の線幅を表す。Rayleighの方程式は:
R=kλ/NAであり、
ここで、λは露光の波長を表し、NAはレンズの開口数であり、そしてkはプロセス因子である。より高い解像度を達成するかまたはより小さなRを得るためには、露光の波長λの値を小さくしなければならないことを、Rayleigh方程式から理解すべきである。例えば、高圧水銀灯は、365nmの波長における放射(「i線」)である規定された帯域を放射することが広く知られている。64Mビット以下の集積度を有するダイナミック・ランダム・アクセス・メモリ(DRAM)を製造するための光源として、水銀灯が使われてきた。同様に、248nmの波長の放射エネルギーを放射するKrFエキシマレーザーは、256ビットDRAMデバイスの大量生産に広く用いられている。この製造プロセスには、0.25ミクロン未満の加工寸法を必要とする。1Gビットを超える集積度を有するDRAMsの製造のためには、さらに短い波長が必要である。このようなデバイスには、0.2ミクロン未満の加工寸法が必要であろう。この目的のためには、222nmの波長を有するKrClレーザー、193nmの波長を有するArFレーザーおよび157nmの波長を有するFレーザーなどのその他のエキシマレーザーが現在研究されている。
The ability to form a photolithographic pattern is defined by the Rayleigh equation, where R represents the resolution or line width of the optical system. Rayleigh's equation is:
R = kλ / NA,
Where λ represents the wavelength of exposure, NA is the numerical aperture of the lens, and k is a process factor. It should be understood from the Rayleigh equation that in order to achieve higher resolution or to obtain smaller R, the value of the exposure wavelength λ must be reduced. For example, high pressure mercury lamps are widely known to emit a defined band of radiation (“i-line”) at a wavelength of 365 nm. A mercury lamp has been used as a light source for manufacturing a dynamic random access memory (DRAM) having an integration density of 64 Mbit or less. Similarly, KrF excimer lasers that emit radiant energy at a wavelength of 248 nm are widely used for mass production of 256-bit DRAM devices. This manufacturing process requires processing dimensions of less than 0.25 microns. Shorter wavelengths are required for the manufacture of DRAMs having an integration density exceeding 1 Gbit. Such devices will require processing dimensions of less than 0.2 microns. For this purpose, other excimer lasers are currently being investigated, such as a KrCl laser with a wavelength of 222 nm, an ArF laser with a wavelength of 193 nm, and an F 2 laser with a wavelength of 157 nm.

一つの側面においては、本発明は、アクリル酸またはメタクリル酸ベースの、式:   In one aspect, the invention provides an acrylic or methacrylic acid based formula:

Figure 2007526351
ここで、Rは水素原子またはメチル基を表し、それぞれのRは独立して、直鎖もしくは分枝鎖で、非置換のもしくは置換された、1〜4の炭素原子を有するアルキル基を表すか、または、橋されたもしくは非架橋で、非置換のもしくは置換された、4〜20の炭素原子を有する一価の脂環式炭化水素基を表し、任意の二つのR基が互いに、その二つのR基が結合する炭素原子と一緒になって、架橋されたもしくは非架橋で、非置換のもしくは置換された、4〜20の炭素原子を有する二価の脂環式炭化水素基を形成し、残りのR基は直鎖もしくは分枝鎖で、非置換のもしくは置換された、1〜4の炭素原子を有するアルキル基であ;を有するモノマー単位を有するポリマーであって、式:
Figure 2007526351
Here, R 1 represents a hydrogen atom or a methyl group, and each R 2 independently represents a linear or branched, unsubstituted or substituted alkyl group having 1 to 4 carbon atoms. or represents, or, in cross-linking have been or unbridged, unsubstituted or substituted, and display the alicyclic monovalent hydrocarbon radical having from 4 to 20 carbon atoms, any two R 2 groups Are divalent alicyclic having from 4 to 20 carbon atoms, bridged or unbridged, unsubstituted or substituted, together with the carbon atom to which the two R 2 groups are bonded. having a monomer unit having; may form a hydrocarbon group, the remaining R 2 groups in straight or branched chain, which is or substituted unsubstituted, alkyl group Ru der having 1 to 4 carbon atoms A polymer having the formula:

Figure 2007526351
ここで、Rはそのフリーラジカル型として放出されるほど十分に不安定な基であり、Tは炭素またはリンであり、Zは可逆的なフリーラジカル付加分解反応に関するC=S二重結合を活性化させる任意の基である;を有する連鎖移動剤(CTA)の存在下でのリビングフリーラジカルプロセスによって調製されるポリマーを提供する。
Figure 2007526351
Where R x is a sufficiently unstable group to be released as its free radical form, T is carbon or phosphorus, Z is a C = S double bond for a reversible free radical addition decomposition reaction. Provided is a polymer prepared by a living free radical process in the presence of a chain transfer agent (CTA) having any group to be activated.

特定の態様においては、Zは、ヒドロカルビル、置換されたヒドロカルビル、ヘテロ原子含有ヒドロカルビル、置換されたヘテロ原子含有ヒドロカルビルおよびそれらの組み合わせからなる群より選択される。   In certain embodiments, Z is selected from the group consisting of hydrocarbyl, substituted hydrocarbyl, heteroatom-containing hydrocarbyl, substituted heteroatom-containing hydrocarbyl, and combinations thereof.

その他の態様においては、Zは、水素、必要に応じて置換されるアルキル、必要に応じて置換されるアリール、必要に応じて置換されるアルケニル、必要に応じて置換されるアシル、必要に応じて置換されるアロイル、必要に応じて置換されるアルコキシ、必要に応じて置換されるヘテロアリール、必要に応じて置換されるヘテロシクリル、必要に応じて置換されるアルキルスルホニル、必要に応じて置換されるアルキルスルフィニル、必要に応じて置換されるアルキルホスホニル、必要に応じて置換されるアリールスルフィニルおよび必要に応じて置換されるアリールホスホニルからなる群より選択される。   In other embodiments, Z is hydrogen, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkenyl, optionally substituted acyl, optionally. Substituted aroyl, optionally substituted alkoxy, optionally substituted heteroaryl, optionally substituted heterocyclyl, optionally substituted alkylsulfonyl, optionally substituted Selected from the group consisting of optionally substituted alkylphosphonyl, optionally substituted arylsulfinyl and optionally substituted arylphosphonyl.

さらなる側面においては、本発明のポリマー樹脂は、式:   In a further aspect, the polymer resin of the present invention has the formula:

Figure 2007526351
ここで、Eは非架橋もしくは架橋された、非置換のもしくは置換された脂環式炭化水素に由来する基を表し、Rは水素原子、トリフルオロメチル基またはメチル基である
を有する少なくとも一つの追加的な繰り返し単位をさらに含むことができる。
Figure 2007526351
Here, E represents a non-bridged or bridged group derived from an unsubstituted or substituted alicyclic hydrocarbon, and R 3 is at least one having a hydrogen atom, a trifluoromethyl group or a methyl group. One additional repeat unit may be further included.

別の側面においては、本発明は、光酸発生剤およびアクリル酸またはメタクリル酸ポリマー樹脂を含むフォトレジスト組成物を提供し、このポリマーは、式:   In another aspect, the present invention provides a photoresist composition comprising a photoacid generator and an acrylic acid or methacrylic acid polymer resin, wherein the polymer has the formula:

Figure 2007526351
ここで、R、TおよびZは上記に定義された通りである;を有する連鎖移動剤(CTA)の存在下でのリビングフリーラジカルプロセスによって調製される。
Figure 2007526351
Wherein R x , T and Z are as defined above; prepared by a living free radical process in the presence of a chain transfer agent (CTA) having:

特定の態様においては、Zは、ヒドロカルビル、置換されたヒドロカルビル、ヘテロ原子含有ヒドロカルビル、置換されたヘテロ原子含有ヒドロカルビルおよびそれらの組み合わせからなる群より選択される。   In certain embodiments, Z is selected from the group consisting of hydrocarbyl, substituted hydrocarbyl, heteroatom-containing hydrocarbyl, substituted heteroatom-containing hydrocarbyl, and combinations thereof.

その他の態様においては、Zは、水素、必要に応じて置換されるアルキル、必要に応じて置換されるアリール、必要に応じて置換されるアルケニル、必要に応じて置換されるアシル、必要に応じて置換されるアロイル、必要に応じて置換されるアルコキシ、必要に応じて置換されるヘテロアリール、必要に応じて置換されるヘテロシクリル、必要に応じて置換されるアルキルスルホニル、必要に応じて置換されるアルキルスルフィニル、必要に応じて置換されるアルキルホスホニル、必要に応じて置換されるアリールスルフィニルおよび必要に応じて置換されるアリールホスホニルからなる群より選択される。   In other embodiments, Z is hydrogen, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkenyl, optionally substituted acyl, optionally. Substituted aroyl, optionally substituted alkoxy, optionally substituted heteroaryl, optionally substituted heterocyclyl, optionally substituted alkylsulfonyl, optionally substituted Selected from the group consisting of optionally substituted alkylphosphonyl, optionally substituted arylsulfinyl and optionally substituted arylphosphonyl.

さらなる側面においては、フォトレジスト組成物は、光酸発生剤と、上記に定義されるような式(1)を有する繰り返し単位を含むことができるポリマー樹脂とを含む。   In a further aspect, the photoresist composition comprises a photoacid generator and a polymer resin that can include a repeating unit having formula (1) as defined above.

(例えばフォトレジスト組成物の)ポリマー樹脂は、上記に定義された式(2)少なくとも一つの追加的な繰り返し単位をさらに含むことができる。   The polymer resin (eg of the photoresist composition) can further comprise at least one additional repeating unit of formula (2) as defined above.

(例えばフォトレジスト組成物の)ポリマー樹脂の分子量は一般的に、約2,000〜約30,000の間である。さらに、ポリマー樹脂多分散度は一般的に、約1.5以下である。最後に、本発明の方法によって調製されるこのポリマー樹脂は、本明細書の全体を通して開示される方法によって切断することができるCTA断片を一般的に含む。   The molecular weight of the polymer resin (eg, in a photoresist composition) is generally between about 2,000 and about 30,000. Further, the polymer resin polydispersity is generally about 1.5 or less. Finally, the polymer resin prepared by the method of the present invention generally contains CTA fragments that can be cleaved by the methods disclosed throughout this specification.

すべてのモノマー(および本明細書で示されたポリマーに由来するモノマー単位)の組み合わせは、本発明の範囲内であることを理解すべきである。   It should be understood that combinations of all monomers (and monomer units derived from the polymers shown herein) are within the scope of the present invention.

一つの側面においては、(例えばフォトレジスト組成物の)ポリマー樹脂はアルカリに不溶性または難溶性であるが、酸の作用によってアルカリに可溶性となる。本明細書の全体を通して開示されるように、上記のような一般式(1)を有するポリマー樹脂は、CTAの存在下、LFRPによって調製される。以下、このポリマー樹脂を「ポリマー樹脂(A)」と称する。   In one aspect, the polymer resin (eg, in a photoresist composition) is insoluble or sparingly soluble in alkali, but becomes soluble in alkali by the action of an acid. As disclosed throughout this specification, a polymer resin having the general formula (1) as described above is prepared by LFRP in the presence of CTA. Hereinafter, this polymer resin is referred to as “polymer resin (A)”.

本明細書で用いられる「アルカリに不溶性または難溶性」という用語とは、(例えばフォトレジスト組成物の)樹脂(A)を含む放射線感受性樹脂組成物から形成されるレジストフィルムを用いてレジストパターンを形成するときに採用されるアルカリ性の現像条件下で(例えばフォトレジスト組成物の)樹脂(A)のみからなるレジストフィルムを現像する場合、現像後に最初のフィルムの厚さの50%以上が残っている特性を意味する。   As used herein, the term “alkali insoluble or sparingly soluble” means that a resist pattern is formed using a resist film formed from a radiation sensitive resin composition containing a resin (A) (for example, a photoresist composition). When developing a resist film consisting only of the resin (A) (for example of a photoresist composition) under the alkaline development conditions employed when forming, 50% or more of the initial film thickness remains after development. Means the characteristic.

(例えばフォトレジスト組成物の)ポリマー樹脂(A)は、本明細書の全体を通して記載された一つ以上の追加的な繰り返しモノマー単位を含むことができる。例えば、これらの繰り返し単位には、上記のような式(2)を有するものなどの上記に列挙されたものが含まれる。   The polymer resin (A) (eg, of a photoresist composition) can include one or more additional repeating monomer units described throughout the specification. For example, these repeating units include those listed above, such as those having formula (2) as described above.

繰り返し単位(1)における−C(Rで示される基の具体例として、t−ブチル基および次の式: Specific examples of the group represented by —C (R 2 ) 3 in the repeating unit (1) include a t-butyl group and the following formula:

Figure 2007526351
の基またはそれらの置換された異形体である。上記に特定された−C(R基が、個々にまたは(例えばフォトレジスト組成物の)ポリマー樹脂(A)の範囲の一つ以上の追加的なモノマーと組み合わせた形のいずれかで存在し得ることを理解すべきである。
Figure 2007526351
Or a substituted variant thereof. The —C (R 2 ) 3 groups identified above are either individually or in combination with one or more additional monomers in the range of the polymer resin (A) (eg of a photoresist composition). It should be understood that it can exist.

式(2)におけるEは、非架橋または架橋された脂環式炭化水素に由来する基であり、より好ましくは、シクロヘキサン、ノルボルナン、トリシクロデカン、アダマンタン、またはこれらの基がメチル基に置換された一つ以上の水素を有する化合物に由来する基である。   E in Formula (2) is a group derived from a non-bridged or bridged alicyclic hydrocarbon, and more preferably, cyclohexane, norbornane, tricyclodecane, adamantane, or these groups are substituted with a methyl group. A group derived from a compound having one or more hydrogen atoms.

式(2)におけるE構造の好ましい例としては、ヒドロキシメチル基、1−ヒドロキシエチル基、2−ヒドロキシエチル基、1−ヒドロキシ−n−プロピル基、2−ヒドロキシ−n−プロピル基、3−ヒドロキシ−n−プロピル基、1−ヒドロキシ−n−ブチル基、2−ヒドロキシ−n−ブチル基、3−ヒドロキシ−n−ブチル基、4−ヒドロキシ−n−ブチル基、3−ヒドロキシシクロペンチル基、4−ヒドロキシシクロヘキシル基、5−ヒドロキシ−2−ノルボルニル基、8−ヒドロキシ−3−トリシクロデカニル基、8−ヒドロキシ−3−テトラシクロドデカニル基、3−ヒドロキシ−1−アダマンチル基、3−オキソシクロペンチル基、4−オキソシクロヘキシル基、5−オキソ−2−ノルボルニル基、8−オキソ−3−トリシクロデカニル基、8−オキソ−3−テトラシクロドデカニル基、4−オキソ−1−アダマンチル基、シアノメチル基、2−シアノエチル基、3−シアノ−n−プロピル基、4−シアノ−n−ブチル基、3−シアノシクロペンチル基、4−シアノシクロヘキシル基、5−シアノ−2−ノルボルニル基、8−シアノ−3−トリシクロデカニル基、8−シアノ−3−テトラシクロドデカニル基、3−シアノ−1−アダマンチル基、2−ヒドロキシ−2,2−ジ(トリフルオロメチル)エチル基、3−ヒドロキシ−3,3−ジ(トリフルオロメチル)−n−プロピル基、4−ヒドロキシ−4,4−ジ(トリフルオロメチル)−n−ブチル基、5−[2−ヒドロキシ−2,2−ジ(トリフルオロメチル)エチル]−2−ノルボルニル基、8−[2−ヒドロキシ−2,2−ジ(トリフルオロメチル)エチル]−3−トリシクロデカニル基、8−[2−ヒドロキシ−2,2−ジ(トリフルオロメチル)エチル]−3−テトラシクロドデカニル基および3−[2−ヒドロキシ−2,2−ジ(トリフルオロメチル)エチル]−1−アダマンチル基が挙げられる。   Preferred examples of the E structure in the formula (2) include hydroxymethyl group, 1-hydroxyethyl group, 2-hydroxyethyl group, 1-hydroxy-n-propyl group, 2-hydroxy-n-propyl group, 3-hydroxy -N-propyl group, 1-hydroxy-n-butyl group, 2-hydroxy-n-butyl group, 3-hydroxy-n-butyl group, 4-hydroxy-n-butyl group, 3-hydroxycyclopentyl group, 4- Hydroxycyclohexyl group, 5-hydroxy-2-norbornyl group, 8-hydroxy-3-tricyclodecanyl group, 8-hydroxy-3-tetracyclododecanyl group, 3-hydroxy-1-adamantyl group, 3-oxocyclopentyl Group, 4-oxocyclohexyl group, 5-oxo-2-norbornyl group, 8-oxo-3-tricyclo Canyl group, 8-oxo-3-tetracyclododecanyl group, 4-oxo-1-adamantyl group, cyanomethyl group, 2-cyanoethyl group, 3-cyano-n-propyl group, 4-cyano-n-butyl group, 3-cyanocyclopentyl group, 4-cyanocyclohexyl group, 5-cyano-2-norbornyl group, 8-cyano-3-tricyclodecanyl group, 8-cyano-3-tetracyclododecanyl group, 3-cyano-1 -Adamantyl group, 2-hydroxy-2,2-di (trifluoromethyl) ethyl group, 3-hydroxy-3,3-di (trifluoromethyl) -n-propyl group, 4-hydroxy-4,4-di (Trifluoromethyl) -n-butyl group, 5- [2-hydroxy-2,2-di (trifluoromethyl) ethyl] -2-norbornyl group, 8- [2-hydroxy group -2,2-di (trifluoromethyl) ethyl] -3-tricyclodecanyl group, 8- [2-hydroxy-2,2-di (trifluoromethyl) ethyl] -3-tetracyclododecanyl group and A 3- [2-hydroxy-2,2-di (trifluoromethyl) ethyl] -1-adamantyl group may be mentioned.

一つの態様においては、(例えばフォトレジスト組成物の)樹脂(A)における繰り返し単位(1)のパーセンテージは約10〜約80mol%であり、より好ましくは、約20〜約70mol%であり、さらにより具体的には、繰り返し単位の全含有量の約20〜約60mol%である。(例えばフォトレジスト組成物の)樹脂(A)における繰り返し単位(2)の全パーセンテージは約20〜約80mol%であり、より好ましくは約20〜約60mol%であり、さらにより具体的には、繰り返し単位の全含有量の約30〜約60mol%である。本明細書の全体を通して記載された、(例えばフォトレジスト組成物の)樹脂(A)に組み込むことができるその他の繰り返し単位の含有量は、一般的に繰り返し単位の全含有量の約50mol%以下であり、より好ましくは30mol%以下である。   In one embodiment, the percentage of repeat units (1) in resin (A) (eg, in the photoresist composition) is about 10 to about 80 mol%, more preferably about 20 to about 70 mol%, More specifically, it is about 20 to about 60 mol% of the total content of repeating units. The total percentage of repeat units (2) in resin (A) (eg, in the photoresist composition) is from about 20 to about 80 mol%, more preferably from about 20 to about 60 mol%, and even more specifically, About 30 to about 60 mol% of the total content of repeating units. The content of other repeating units described throughout the specification that can be incorporated into the resin (A) (eg, of a photoresist composition) is generally about 50 mol% or less of the total content of repeating units. More preferably, it is 30 mol% or less.

LFRPによって、(例えばフォトレジスト組成物の)樹脂(A)を調製することができる。連鎖移動剤(CTA)、およびヒドロペルオキシド、ジアルキルペルオキシド、ジアシルペルオキシドまたはアゾ化合物などの、本明細書の全体を通して記載されたラジカル重合開始剤の存在下で、適切な溶媒内で不飽和モノマーの重合を行う。   Resin (A) (eg of a photoresist composition) can be prepared by LFRP. Polymerization of unsaturated monomers in a suitable solvent in the presence of a chain transfer agent (CTA) and a radical polymerization initiator as described throughout this specification, such as hydroperoxides, dialkyl peroxides, diacyl peroxides or azo compounds. I do.

ゲル浸透クロマトグラフィー(GPC)によって測定される樹脂(A)の、ポリスチレンを減らした重量平均分子量(以下、「Mw」と称する)は一般的に、約1,000〜約100,000であり、より好ましくは約1,000〜約50,000であり、さらにより具体的には約2,000〜30,000であり、さらにより具体的には約4,000〜約12,000である。   The weight average molecular weight (hereinafter referred to as “Mw”) of the resin (A) measured by gel permeation chromatography (GPC) with a reduced polystyrene is generally about 1,000 to about 100,000, More preferably from about 1,000 to about 50,000, even more specifically from about 2,000 to 30,000, and even more specifically from about 4,000 to about 12,000.

ゲル浸透クロマトグラフィー(GPC)によって測定される、(例えばフォトレジスト組成物の)ポリマー樹脂(A)の、ポリスチレンを減らした数平均分子量(以下、「Mn」と称する)に対するMwの比率(Mw/Mn)は一般的に、約1〜約1.8であり、より好ましくは約1〜約1.5であり、例えば約1.6である。   Ratio of Mw to number average molecular weight (hereinafter referred to as “Mn”) of polystyrene-reduced polymer resin (A) (for example, in a photoresist composition) as measured by gel permeation chromatography (GPC) (Mw / Mn) is generally from about 1 to about 1.8, more preferably from about 1 to about 1.5, for example about 1.6.

(例えばフォトレジスト組成物の)樹脂(A)がハロゲンまたは金属などの不純物をほとんど含まないことが好ましい。このような不純物の量が少ないほど、例えばフォトレジストなどのコーティングに利用した場合のポリマー樹脂の感度、解像度、加工安定性、パターンの形状などが向上する。再沈殿、水洗、液抽出または化学的な精製プロセスの組み合わせなどの化学的な精製プロセス、および限外ろ過または遠心分離などの物理的な精製プロセスを用いることによって、(例えばフォトレジスト組成物の)樹脂(A)を精製することができる。   It is preferred that the resin (A) (for example of a photoresist composition) contains little impurities such as halogen or metal. As the amount of such impurities decreases, the sensitivity, resolution, processing stability, pattern shape, and the like of the polymer resin when used for coating such as a photoresist are improved. By using chemical purification processes such as reprecipitation, water washing, liquid extraction or a combination of chemical purification processes, and physical purification processes such as ultrafiltration or centrifugation (eg of photoresist compositions) Resin (A) can be purified.

本発明は、少なくとも部分的には、光酸発生剤と、立体的に大きいエステル基を含む、フォトレジスト組成物のアクリル酸またはメタクリル酸ベースのポリマー樹脂とを組み合わせることによって、248nm未満の波長、すなわち193nmまたは157nmで用いるための感光性組成物を製剤化することができるという発見に基づく。一つの側面においては、このエステルの部分は、5以上の炭素原子を有する単環式、二環式、三環式または四環式の非芳香環ならびにこれらの組み合わせであり、その環構造内にラクトンをさらに含み得る。フォトレジスト組成物内で光分解によって酸を生じさせることには、ポリマー樹脂におけるエステル基の切断が含まれる。このことは、高分子カルボン酸を塩基による処理によって除去することができるという結果に終わる。   The present invention, at least in part, combines a photoacid generator with an acrylic acid or methacrylic acid based polymer resin of a photoresist composition that contains a sterically large ester group, a wavelength of less than 248 nm, That is, based on the discovery that a photosensitive composition for use at 193 nm or 157 nm can be formulated. In one aspect, the ester moiety is a monocyclic, bicyclic, tricyclic or tetracyclic non-aromatic ring having 5 or more carbon atoms, as well as combinations thereof, within the ring structure A lactone may further be included. Generating the acid by photolysis within the photoresist composition includes cleavage of ester groups in the polymer resin. This results in the result that the polymeric carboxylic acid can be removed by treatment with a base.

立体的に大きなエステル基の適切なものとしては、本明細書の全体を通して記載されたものが挙げられ、例えば、シクロペンタン、シクロヘキサン、アダマンタンおよびノルボルナンが挙げられる。例えば、対応するヒドロキシルアダマンタンまたはノルボルナンを、塩化アシルまたは無水酢酸などのメタクリル酸またはアクリル酸のアクリル酸誘導体のいずれかと反応させることによって、(例えばフォトレジスト組成物の)本発明のポリマー樹脂の合成に有用なモノマーを生産することができる。   Suitable sterically large ester groups include those described throughout the specification, for example, cyclopentane, cyclohexane, adamantane and norbornane. For example, by reacting the corresponding hydroxyl adamantane or norbornane with either methacrylic acid or acrylic acid derivatives of acrylic acid, such as acyl chloride or acetic anhydride, for the synthesis of the polymer resins of the invention (for example in photoresist compositions). Useful monomers can be produced.

典型的には、バッチプロセス、連続的または半連続的供給プロセスのいずれかで、上記に特定された二以上のモノマーを重合させる。   Typically, the two or more monomers identified above are polymerized in either a batch process, a continuous or semi-continuous feed process.

一般的に、本発明のポリマー樹脂の重量平均分子量(M)は約2,000〜約30,000の間である。本発明の特定の側面においては、ポリマー樹脂の分子量は、約2,000〜約20,000の間、約3,000〜約12,000の間、さらには約3,000〜約8,000の間である。 Generally, the polymer resin of the present invention has a weight average molecular weight (M w ) between about 2,000 and about 30,000. In certain aspects of the invention, the molecular weight of the polymer resin is between about 2,000 and about 20,000, between about 3,000 and about 12,000, and even between about 3,000 and about 8,000. Between.

本発明に包含される新規ポリマーの別の重要な特徴は、それらの多分散度が小さいということである。「多分散度」および「多分散度指数」(PDI)という用語は本技術分野において認識されており、数平均分子量に対する重量平均分子量の比率を意味する。フォトレジスト組成物のポリマー樹脂は典型的には、約2未満のPDI値を有し、一般的には約1.7未満であり、とりわけ約1.2〜約1.4の間である。ある場合では、PDI値は約1.1〜約1.2以下の間である。   Another important feature of the novel polymers encompassed by the present invention is their low polydispersity. The terms “polydispersity” and “polydispersity index” (PDI) are recognized in the art and refer to the ratio of the weight average molecular weight to the number average molecular weight. The polymeric resin of the photoresist composition typically has a PDI value of less than about 2, generally less than about 1.7, especially between about 1.2 and about 1.4. In some cases, the PDI value is between about 1.1 and about 1.2 or less.

アクリル酸エステルを含むアクリル樹脂、メタクリル酸エステルを含むメタクリル樹脂および立体的に大きなエステル基を有するその混合物は本発明に包含され、例えばフォトレジスト材料などのコーティングの応用に有用である。   Acrylic resins containing acrylic esters, methacrylic resins containing methacrylic esters and mixtures thereof having sterically large ester groups are encompassed by the present invention and are useful in coating applications such as photoresist materials.

本発明は、化学増幅型の感光性ポリマー樹脂を提供する。ArFレーザーリソグラフィー、KrFレーザーリソグラフィーなどのエキシマレーザーリソグラフィーを利用するフォトレジスト系にポリマー樹脂を用いることが適している。(例えばフォトレジスト組成物の)本発明のポリマー樹脂は、フォトレジストに用いる場合に、解像度、特徴、感度、ドライエッチング耐性、密着性などの優れた性質を提供する。   The present invention provides a chemically amplified photosensitive polymer resin. It is suitable to use a polymer resin for a photoresist system using excimer laser lithography such as ArF laser lithography or KrF laser lithography. The polymer resin of the present invention (eg, of a photoresist composition) provides excellent properties such as resolution, features, sensitivity, dry etching resistance, adhesion, etc. when used in a photoresist.

バルク重合などの従来の方法に従って、または半連続的な重合によって、モノマーの重合を行うことができる。例えば、有機溶媒で必要なモノマーを溶解し、次いで、アゾ化合物などの重合開始剤の存在下で重合反応を行うことによってポリマー樹脂を得ることができる。重合プロセスの間に連鎖移動剤(CTA)を用いることは有利となり得る。   The monomers can be polymerized according to conventional methods such as bulk polymerization or by semi-continuous polymerization. For example, a polymer resin can be obtained by dissolving a necessary monomer in an organic solvent and then performing a polymerization reaction in the presence of a polymerization initiator such as an azo compound. It may be advantageous to use a chain transfer agent (CTA) during the polymerization process.

本発明の重合反応に適した有機溶媒としては、例えば、ケトン、エーテル、極性非プロトン性溶媒、エステル、芳香族溶媒および直鎖および環状の両者の脂肪族炭化水素が挙げられる。典型的なケトンとしては、メチルエチルケトン(2−ブタノン)(MEK)、アセトンなどが挙げられる。典型的なエーテルとしては、メトキシメチルエーテルまたはエチルエーテル、テトラヒドロフラン、1,4−ジオキサンなどのアルコキシアルキルエーテルが挙げられる。極性非プロトン性溶媒としては、ジメチルホルムアミド、ジメチルスルホキシドなどが挙げられる。適切なエステルとしては、酢酸エチル、酢酸メチルなどの酢酸アルキルが挙げられる。芳香族溶媒としては、トルエン、キシレンなどのアルキルアリール溶媒、およびクロロベンゼンなどのハロゲン化芳香族化合物が挙げられる。炭化水素型の溶媒としては、例えば、ヘキサン、シクロヘキサンなどが挙げられる。   Examples of the organic solvent suitable for the polymerization reaction of the present invention include ketones, ethers, polar aprotic solvents, esters, aromatic solvents, and both linear and cyclic aliphatic hydrocarbons. Typical ketones include methyl ethyl ketone (2-butanone) (MEK), acetone and the like. Typical ethers include alkoxyalkyl ethers such as methoxymethyl ether or ethyl ether, tetrahydrofuran, 1,4-dioxane. Examples of the polar aprotic solvent include dimethylformamide and dimethyl sulfoxide. Suitable esters include alkyl acetates such as ethyl acetate and methyl acetate. Examples of the aromatic solvent include alkylaryl solvents such as toluene and xylene, and halogenated aromatic compounds such as chlorobenzene. Examples of the hydrocarbon type solvent include hexane and cyclohexane.

用いることができる重合条件としては、重合のための温度として通常、約20℃〜約110℃の範囲が挙げられ、より具体的には約50℃〜約90℃の範囲であり、さらにより具体的には約60℃〜約80℃の範囲である。窒素またはアルゴンなどの有利な不活性雰囲気を用いて、雰囲気をコントロールすることができる。CTAに対するモノマーの比率を調整することによって、ポリマーの分子量をコントロールする。一般的に、CTAに対するモノマーのモル比は、約5:1〜約200:1の範囲であり、より具体的には約10:1〜約100:1の範囲であり、最も好ましくは10:1〜約50:1である。   As polymerization conditions that can be used, the temperature for polymerization is usually in the range of about 20 ° C. to about 110 ° C., more specifically in the range of about 50 ° C. to about 90 ° C., and even more specific. Specifically, it is in the range of about 60 ° C to about 80 ° C. An advantageous inert atmosphere such as nitrogen or argon can be used to control the atmosphere. The molecular weight of the polymer is controlled by adjusting the ratio of monomer to CTA. In general, the molar ratio of monomer to CTA ranges from about 5: 1 to about 200: 1, more specifically from about 10: 1 to about 100: 1, and most preferably 10: 1 to about 50: 1.

重合混合物中にフリーラジカル源を供給する。加熱時の自然発生的なフリーラジカルから、または一つの側面においてはフリーラジカル開始剤(ラジカル源発生剤)から、この源を生じさせることができる。後者の場合では、十分に許容できる重合速度(例えば、下記に列挙されるような特定の時間内に工業的に十分に転換する)となる濃度のその開始剤を重合混合物に添加する。逆に言えば、CTAに対するフリーラジカル開始剤の比率が高過ぎると、コントロールできない性質を有するポリマー材料に導くラジカル−ラジカルカップリング反応を経て、不必要な意味のないポリマーの形成に有利に働くだろう。重合のためのCTAに対するフリーラジカル開始剤のモル比は典型的には約0.5:1〜約0.02:1の範囲であり、例えば0.2:1である。   A free radical source is fed into the polymerization mixture. This source can be generated from naturally occurring free radicals upon heating, or in one aspect from a free radical initiator (radical source generator). In the latter case, the initiator is added to the polymerization mixture at a concentration that results in a sufficiently acceptable polymerization rate (eg, industrially fully converted within a specific time as listed below). Conversely, if the ratio of free radical initiator to CTA is too high, it will favor the formation of unnecessary, meaningless polymers via radical-radical coupling reactions that lead to polymer materials with uncontrollable properties. Let's go. The molar ratio of free radical initiator to CTA for the polymerization is typically in the range of about 0.5: 1 to about 0.02: 1, for example 0.2: 1.

本発明の文脈の範囲内において、「フリーラジカル源」という表現は、大まかに言えば、適切な操作条件下(熱活性化、照射、酸化還元条件など)で、ラジカル種の形成を導くことができるありとあらゆる化合物または化合物の混合物を意味する。   Within the context of the present invention, the expression “free radical source” can, roughly speaking, lead to the formation of radical species under appropriate operating conditions (thermal activation, irradiation, redox conditions, etc.). Any and all possible compounds or mixture of compounds.

反応に要する時間も重合条件に挙げられ、この時間は約0.5時間〜約72時間であり得、より好ましくは約1時間〜約24時間の範囲であり、さらにより好ましくは約2時間〜約12時間の範囲である。モノマーのポリマーへの変換は、少なくとも約50%であり、より好ましくは少なくとも約75%であり、さらにより好ましくは少なくとも約90%以上である。   The time required for the reaction is also listed in the polymerization conditions, which can be from about 0.5 hours to about 72 hours, more preferably in the range of about 1 hour to about 24 hours, and even more preferably from about 2 hours to The range is about 12 hours. Conversion of the monomer to polymer is at least about 50%, more preferably at least about 75%, and even more preferably at least about 90% or more.

本発明で採用される開始剤は、市販のフリーラジカル開始剤であり得る。しかしながら、一般的には、とりわけ重合温度で短い半減期を有する開始剤を利用する。開始プロセスの速度は得られるポリマーの多分散度指数に影響をあたえる可能性があるので、このような開始剤を利用する。すなわち、すべての鎖が実質的に同時に開始する場合、コントロールされたリビング重合の動力学は、多分散系のポリマーのサンプルがより生じないようになるというものである。より具体的には、適切なフリーラジカル開始剤としては、熱による、酸化還元によるまたは光による任意の開始剤が挙げられ、具体的には、アルキルペルオキシド、置換されたアルキルペルオキシド、アリールペルオキシド、置換されたアリールペルオキシド、アシルペルオキシド、アルキルヒドロペルオキシド、置換されたアルキルヒドロペルオキシド、アリールヒドロペルオキシド、置換されたアリールヒドロペルオキシド、ヘテロアルキルペルオキシド、置換されたヘテロアルキルペルオキシド、ヘテロアルキルヒドロペルオキシド、置換されたヘテロアルキルヒドロペルオキシド、ヘテロアリールペルオキシド、置換されたヘテロアリールペルオキシド、ヘテロアリールヒドロペルオキシド、置換されたヘテロアリールヒドロペルオキシド、アルキルペルエステル、置換されたアルキルペルエステル、アリールペルエステル、置換されたアリールペルエステル、アゾ化合物およびハリド化合物が挙げられる。具体的な開始剤としては、クメンヒドロペルオキシド(CHP)、t−ブチルヒドロペルオキシド(TBHP)、t−ブチルペルベンゾアート(TBPB)、炭酸ナトリウムペルオキシド、ベンゾイルペルオキシド(BPO)、ラウロイルペルオキシド(LPO)、45%メチルエチルケトンペルオキシド、過硫酸カリウム、過硫酸アンモニウム、2,2−アゾビス(2,4−ジメチルバレロニトリル)(VAZO(登録商標)−65)、1,1−アゾビス(シクロヘキサンカルボニトリル)(VAZO(登録商標)−40)、2,2−アゾビス(N,N’−ジメチレンイソブチルアミジン)ジヒドロクロリド(VAZO(登録商標)−044)、2,2−アゾビス(2−アミジノプロパン)ジヒドロクロリド(VAZO(登録商標)−50)および2,2−アゾビス(2−アミドプロパン)ジヒドロクロリドが挙げられる。過硫酸塩/亜硫酸塩およびFe(2)/過酸化物などの酸化還元のペアも有用である。本技術分野において知られているように、実施される態様に応じて、熱またはUV光によって開始を行うこともできる(例えば、本明細書で検討された、改変された開始剤またはRAFT技術もしくはMADIX技術のために、UV光を使ってもよい)。当業者であれば、本発明の範囲内の適切な開始剤を選択することができる。 The initiator employed in the present invention can be a commercially available free radical initiator. In general, however, an initiator is used which has a short half-life, especially at the polymerization temperature. Such initiators are utilized because the speed of the initiation process can affect the polydispersity index of the resulting polymer. That is, if all chains start substantially simultaneously, the controlled living polymerization kinetics are such that less polydisperse polymer samples are generated. More specifically, suitable free radical initiators include any initiator by heat, redox or by light, specifically alkyl peroxides, substituted alkyl peroxides, aryl peroxides, substituted Aryl peroxide, acyl peroxide, alkyl hydroperoxide, substituted alkyl hydroperoxide, aryl hydroperoxide, substituted aryl hydroperoxide, heteroalkyl peroxide, substituted heteroalkyl peroxide, heteroalkyl hydroperoxide, substituted hetero Alkyl hydroperoxide, heteroaryl peroxide, substituted heteroaryl peroxide, heteroaryl hydroperoxide, substituted heteroaryl hydroperoxide Sid, alkyl peresters, substituted alkyl peresters, aryl peresters, substituted aryl peresters, azo compounds and halide compounds. Specific initiators include cumene hydroperoxide (CHP), t-butyl hydroperoxide (TBHP), t-butyl perbenzoate (TBPB), sodium carbonate peroxide, benzoyl peroxide (BPO), lauroyl peroxide (LPO), 45% methyl ethyl ketone peroxide, potassium persulfate, ammonium persulfate, 2,2-azobis (2,4-dimethylvaleronitrile) (VAZO®-65), 1,1-azobis (cyclohexanecarbonitrile) (VAZO®) Trademark) -40), 2,2-azobis (N, N′-dimethyleneisobutylamidine) dihydrochloride (VAZO®-044), 2,2-azobis (2-amidinopropane) dihydrochloride (VAZO ( Registered trademark) -50) And 2,2-azobis (2-amidopropane) dihydrochloride. Redox pairs such as persulfate / sulfite and Fe (2 + ) / peroxide are also useful. As is known in the art, depending on the mode of implementation, initiation can also be performed by heat or UV light (eg, modified initiators or RAFT techniques discussed herein or UV light may be used for MIXIX technology). One skilled in the art can select a suitable initiator within the scope of the present invention.

連鎖移動剤(CTAs)は本技術分野において公知であり、フリーラジカル重合のコントロールを補助するために用いられる。下記にさらに説明されるように、最終的に、多数の異なるタイプのCTAsをポリマーの末端に導入することができる。本発明において有用なCTAsの適切な例としては、米国特許第6,512,021号、WO98/01478号、WO99/35177号、WO99/31144号、WO99/05099号およびWO98/58974号に記載されたものが挙げられ、これらのそれぞれは引用によって本明細書に取り込まれる。   Chain transfer agents (CTAs) are known in the art and are used to help control free radical polymerization. Eventually, many different types of CTAs can be introduced at the ends of the polymer, as further described below. Suitable examples of CTAs useful in the present invention are described in US Pat. No. 6,512,021, WO 98/01478, WO 99/35177, WO 99/31144, WO 99/05099 and WO 98/58974. Each of which is incorporated herein by reference.

さらなる例としては、米国特許第6,395,850号、第6,518,364号、2003年4月3日に出願された、「Cleaving and Replacing Thio Control Agent Moieties from Polymers
made by Living−Type Free Radical Polymerization」という題名の米国特許出願第10/407,405号(代理人整理番号2000−089CIP3)および2002年3月22日に出願された、米国特許出願第10/104,740号に記載されたCTAsが挙げられ、これらの教示の全体は引用によって本明細書に取り込まれる。
As a further example, US Pat. Nos. 6,395,850, 6,518,364, filed Apr. 3, 2003, “Cleaving and Replacing Thio Control Agents From Polymers Polymers
US patent application Ser. No. 10 / 407,405 (Attorney Docket No. 2000-089CIP3) entitled “made by Living-Type Free Radical Polymerization” and US Patent Application No. 10/104 filed on March 22, 2002. , 740, the entire teachings of which are incorporated herein by reference.

フリーラジカル重合用の可逆的にコントロールする物質の使用およびメカニズムは、現在では一般的に知られており、RAFT(リバーシブル・アディション・フラグメンテーション・トランスファー)として新しく作られている。例えば、米国特許第6,153,705号、WO98/01478号、WO99/35177号、WO99/31144号およびWO98/58974号を参照すること。ここで、これらのそれぞれは引用によって本明細書に取り込まれる。最近になって、工業的に許容される条件下で、所望のモノマーを容易に重合できるような新たな物質が開示された。このものとしては、考えられる反応回数のうちの最も少なくかつより低い温度で、高い効率で変換することが含まれ、例えば、米国特許第6,380,335号、第6,395,850号および第6,518,364号を参照すること。ここで、これらのそれぞれは引用によって本明細書に取り込まれる。   The use and mechanism of reversibly controlling substances for free radical polymerization is now generally known and newly created as RAFT (Reversible Addition Fragmentation Transfer). See, for example, US Pat. No. 6,153,705, WO 98/01478, WO 99/35177, WO 99/31144 and WO 98/58974. Here each of these is incorporated herein by reference. Recently, new materials have been disclosed that allow the desired monomers to be readily polymerized under industrially acceptable conditions. This includes converting with high efficiency at the lowest of the possible number of reactions and at a lower temperature, eg, US Pat. Nos. 6,380,335, 6,395,850 and See 6,518,364. Here each of these is incorporated herein by reference.

一般的に、本発明に有用なCTAsは、一般式:   In general, CTAs useful in the present invention have the general formula:

Figure 2007526351
ここで、Rはそのフリーラジカル型として放出されるほど十分に不安定な一般的な基であり、Tは炭素またはリンであり、Zは可逆的なフリーラジカル付加分解反応に関するC=S二重結合を活性化させる任意の基であって、アミノおよびアルコキシからなる基より選択してもよい;を有する。その他の態様においては、Zは、炭素原子(ジチオエステル)、窒素原子(ジチオカルバマート)、イオウ原子(トリチオカルボナート)または酸素原子(ジチオカルボナート)を介してC=Sと接触している。Zについての具体例は、WO98/01478号、WO99/35177号、WO99/31144号およびWO98/58974号に見られ、これらのそれぞれは引用によって本明細書に取り込まれる。いくつかの態様においては、Zは、ヒドロカルビル、置換されたヒドロカルビル、ヘテロ原子含有ヒドロカルビル、置換されたヘテロ原子含有ヒドロカルビルおよびそれらの組み合わせからなる群より選択される。より具体的には、Zは、水素、必要に応じて置換されるアルキル、必要に応じて置換されるアリール、必要に応じて置換されるアルケニル、必要に応じて置換されるアシル、必要に応じて置換されるアロイル、必要に応じて置換されるアルコキシ、必要に応じて置換されるヘテロアリール、必要に応じて置換されるヘテロシクリル、必要に応じて置換されるアルキルスルホニル、必要に応じて置換されるアルキルスルフィニル、必要に応じて置換されるアルキルホスホニル、必要に応じて置換されるアリールスルフィニルおよび必要に応じて置換されるアリールホスホニルからなる群より選択されてもよい。
Figure 2007526351
Where R x is a general group that is sufficiently unstable to be released as its free radical form, T is carbon or phosphorus, and Z is C = S 2 for a reversible free radical addition decomposition reaction. Any group that activates the heavy bond, which may be selected from the group consisting of amino and alkoxy. In other embodiments, Z is in contact with C = S via a carbon atom (dithioester), nitrogen atom (dithiocarbamate), sulfur atom (trithiocarbonate) or oxygen atom (dithiocarbonate). Yes. Specific examples for Z can be found in WO 98/01478, WO 99/35177, WO 99/31144 and WO 98/58974, each of which is incorporated herein by reference. In some embodiments, Z is selected from the group consisting of hydrocarbyl, substituted hydrocarbyl, heteroatom-containing hydrocarbyl, substituted heteroatom-containing hydrocarbyl, and combinations thereof. More specifically, Z is hydrogen, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkenyl, optionally substituted acyl, optionally. Substituted aroyl, optionally substituted alkoxy, optionally substituted heteroaryl, optionally substituted heterocyclyl, optionally substituted alkylsulfonyl, optionally substituted May be selected from the group consisting of optionally substituted alkylphosphonyl, optionally substituted arylsulfinyl and optionally substituted arylphosphonyl.

とりわけ、本発明において有用な適切なCTAsとしては、米国特許第6,380,335号に特定されたものが挙げられ、その内容は引用によって取り込まれる。より具体的には、本明細書の全体を通して利用されるモノマーと組み合わせられる、特定の対象のCTAsは、一般式:   In particular, suitable CTAs useful in the present invention include those specified in US Pat. No. 6,380,335, the contents of which are incorporated by reference. More specifically, the particular subject CTAs, combined with the monomers utilized throughout this specification, have the general formula:

Figure 2007526351
という特徴を有し、
ここで、DはS、TeまたはSeである。一つの側面においては、Dはイオウである。下記の(DをSとして見る)スキームA:
Figure 2007526351
It has the characteristics that
Here, D is S, Te, or Se. In one aspect, D is sulfur. Scheme A below (see D as S):

Figure 2007526351
で表現されるように、Rは一般的に、付加分解反応時にそのフリーラジカル型(R・)の下で容易に放出される任意の基である。
Figure 2007526351
R 4 is generally any group that is readily released under its free radical form (R 4. ) During the addition-decomposition reaction.

スキームAにおいて、P・はフリーラジカルであり、典型的にはポリマー鎖などのマクロラジカルである。より具体的には、Rは、ヒドロカルビル、置換されたヒドロカルビル、ヘテロ原子含有ヒドロカルビルおよび置換されたヘテロ原子含有ヒドロカルビルならびにそれらの組み合わせからなる群より選択される。さらにより具体的には、Rは、必要に応じて置換されるアルキル、必要に応じて置換されるアリール、必要に応じて置換されるアルケニル、必要に応じて置換されるアルコキシ、必要に応じて置換されるヘテロシクリル、必要に応じて置換されるアルキルチオ、必要に応じて置換されるアミノおよび必要に応じて置換されるポリマー鎖からなる群より選択される。さらにより具体的には、Rは、−CHPh、−CH(CH)COCHCH、−CH(COCHCH、−C(CHCN、−CH(Ph)CN、−C(CHCOR(アルキル、アリールなど)および−C(CHPhからなる群より選択される。 In Scheme A, P. is a free radical, typically a macro radical such as a polymer chain. More specifically, R 4 is selected from the group consisting of hydrocarbyl, substituted hydrocarbyl, heteroatom-containing hydrocarbyl and substituted heteroatom-containing hydrocarbyl and combinations thereof. Even more specifically, R 4 is optionally substituted alkyl, optionally substituted aryl, optionally substituted alkenyl, optionally substituted alkoxy, optionally. And optionally substituted heterocyclyl, optionally substituted alkylthio, optionally substituted amino, and optionally substituted polymer chains. Even more specifically, R 4 is —CH 2 Ph, —CH (CH 3 ) CO 2 CH 2 CH 3 , —CH (CO 2 CH 2 CH 3 ) 2 , —C (CH 3 ) 2 CN, Selected from the group consisting of —CH (Ph) CN, —C (CH 3 ) 2 CO 2 R (alkyl, aryl, etc.) and —C (CH 3 ) 2 Ph.

さらに、CTAのRならびにRはそれぞれ、水素、ヒドロカルビル、置換されたヒドロカルビル、ヘテロ原子含有ヒドロカルビルおよび置換されたヘテロ原子含有ヒドロカルビルならびにそれらの組み合わせからなる群より独立して選択される。より具体的には、RおよびRはそれぞれ、水素、必要に応じて置換されるアルキル、必要に応じて置換されるアリール、必要に応じて置換されるアルケニル、必要に応じて置換されるアシル、必要に応じて置換されるアロイル、必要に応じて置換されるアルコキシ、必要に応じて置換されるヘテロアリール、必要に応じて置換されるヘテロシクリル、必要に応じて置換されるアルキルスルホニル、必要に応じて置換されるアルキルスルフィニル、必要に応じて置換されるアルキルホスホニル、必要に応じて置換されるアリールスルフィニルおよび必要に応じて置換されるアリールホスホニルからなる群より独立して選択され得る。Rおよび/またはRの具体的な態様は、ペルフルオロフェニルなどのペルフルオロ化された芳香環に加えて、上記の記述に列挙されたものが挙げられる。さらに、RおよびRが互いに必要に応じて置換されるアルケニルの部分である場合、必要に応じて、RおよびRは一緒になって、窒素原子から離れた二重結合のアルケニルの部分を形成してもよい。 Further, R 5 and R 6 of CTA are each independently selected from the group consisting of hydrogen, hydrocarbyl, substituted hydrocarbyl, heteroatom-containing hydrocarbyl and substituted heteroatom-containing hydrocarbyl, and combinations thereof. More specifically, R 2 and R 3 are each hydrogen, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkenyl, optionally substituted, respectively. Acyl, optionally substituted aroyl, optionally substituted alkoxy, optionally substituted heteroaryl, optionally substituted heterocyclyl, optionally substituted alkylsulfonyl, required May be independently selected from the group consisting of optionally substituted alkylsulfinyl, optionally substituted alkylphosphonyl, optionally substituted arylsulfinyl and optionally substituted arylphosphonyl. . Specific embodiments of R 5 and / or R 6 include those listed above in addition to perfluorinated aromatic rings such as perfluorophenyl. In addition, when R 5 and R 6 are optionally substituted alkenyl moieties, optionally, R 5 and R 6 are taken together to form a double bond alkenyl group separated from the nitrogen atom. A portion may be formed.

最後に、CTAのRは、水素、ヒドロカルビル、置換されたヒドロカルビル、ヘテロ原子含有ヒドロカルビルおよび置換されたヘテロ原子含有ヒドロカルビルならびにそれらの組み合わせからなる群より選択され;必要に応じて、RがRおよび/またはRと結合して環構造を形成してもよく、当該環は3〜50の非水素原子を有するものである。とりわけ、Rは、水素、必要に応じて置換されるアルキル、必要に応じて置換されるアリール、必要に応じて置換されるアルケニル、必要に応じて置換されるアシル、必要に応じて置換されるアリール、アミノ、チオ、必要に応じて置換されるアリールオキシおよび必要に応じて置換されるアルコキシからなる群より選択される。具体的なR基としては、メチルおよびフェニルが挙げられる。 Finally, R 7 of CTA is selected from the group consisting of hydrogen, hydrocarbyl, substituted hydrocarbyl, heteroatom-containing hydrocarbyl and substituted heteroatom-containing hydrocarbyl and combinations thereof; optionally, R 7 is R It may combine with 5 and / or R 6 to form a ring structure, the ring having from 3 to 50 non-hydrogen atoms. In particular, R 7 is hydrogen, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkenyl, optionally substituted acyl, optionally substituted Selected from the group consisting of aryl, amino, thio, optionally substituted aryloxy and optionally substituted alkoxy. Specific R 4 groups include methyl and phenyl.

本明細書で用いられる「構造を有する」という表現は、制限を意図するものではなく、広く使われている「含む」という用語と同様に用いられる。「からなる群より独立して選択される」という用語は本明細書で用いられ、列挙された要素、例えばR基など、が同一または異なることがあり得ることを表す(例えば、式(1)の構造におけるRおよびRがすべて置換されたアルキル基でもよく、またはRがヒドリドであってもよく、Rがメチルであってもよい、など)。 As used herein, the phrase “having a structure” is not intended to be limiting and is used similarly to the widely used term “including”. The term “independently selected from the group consisting of” is used herein to indicate that the listed elements, such as R groups, may be the same or different (eg, formula (1) R 5 and R 6 in the structure may be all substituted alkyl groups, or R 5 may be hydride, R 6 may be methyl, etc.).

「任意の」または「必要に応じて」とは、次に記載される事象または状況が起こっても起こらなくてもよく、記述が当該事象または状況が生じる場合の例およびそれが生じない場合の例を含むという意味である。例えば、「必要に応じて置換されるヒドロカルビル」という表現は、ヒドロカルビルの部分が置換されていてもされていなくてもよく、その記述が非置換のヒドロカルビルおよび置換があるヒドロカルビルの両方を含むことを意味する。   “Any” or “as required” means that the event or situation described below may or may not occur and the description is an example of when the event or situation occurs and when it does not occur It means to include an example. For example, the phrase “optionally substituted hydrocarbyl” means that the hydrocarbyl moiety may or may not be substituted, and that the description includes both unsubstituted hydrocarbyl and substituted hydrocarbyl. means.

本明細書で用いられる「アルキル」という用語は、典型的には、必ずしも1〜約24の炭素原子を含む必要はないが、分岐または非分岐の飽和炭化水素基を意味し、例えば、メチル、エチル、n−プロピル、イソプロピル、n−ブチル、イソブチル、t−ブチル、オクチル、デシルなど、ならびにシクロペンチル、シクロヘキシルなどのシクロアルキル基がある。さらには、一般的には必ずしも含む必要はないが、本明細書のアルキル基は1〜約12の炭素原子を含む。「低級アルキル」という用語は、1〜6の炭素原子の、好ましくは1〜4の炭素原子のアルキル基を意図する。「置換されたアルキル」とは、一つ以上の置換基で置換されたアルキルを意味し、「ヘテロ原子含有アルキル」および「ヘテロアルキル」という用語は、少なくとも一つの炭素原子がヘテロ原子で置換されたアルキルを意味する。   The term “alkyl” as used herein typically does not necessarily contain from 1 to about 24 carbon atoms, but means a branched or unbranched saturated hydrocarbon group such as methyl, There are ethyl, n-propyl, isopropyl, n-butyl, isobutyl, t-butyl, octyl, decyl and the like, as well as cycloalkyl groups such as cyclopentyl, cyclohexyl and the like. Further, although generally not necessarily, alkyl groups herein contain from 1 to about 12 carbon atoms. The term “lower alkyl” intends an alkyl group of 1 to 6 carbon atoms, preferably 1 to 4 carbon atoms. “Substituted alkyl” refers to alkyl substituted with one or more substituents, and the terms “heteroatom-containing alkyl” and “heteroalkyl” refer to substitution of at least one carbon atom with a heteroatom. Means alkyl.

本明細書で用いられる「アルケニル」という用語は、典型的には、必ずしも2〜約24の炭素原子と少なくとも一つの二重結合とを含む必要はないが、分岐または非分岐の炭化水素基を意味し、例えば、エテニル、n−プロペニル、イソプロペニル、n−ブテニル、イソブテニル、オクテニル、デセニルなどがある。さらには、一般的には必ずしも含む必要はないが、本明細書のアルケニル基は2〜約12の炭素原子を含む。「低級アルケニル」という用語は、2〜6の炭素原子の、好ましくは2〜4の炭素原子のアルケニル基を意図する。「置換されたアルケニル」とは、一つ以上の置換基で置換されたアルケニルを意味し、「ヘテロ原子含有アルケニル」および「ヘテロアルケニル」という用語は、少なくとも一つの炭素原子がヘテロ原子で置換されたアルケニルを意味する。   The term “alkenyl” as used herein typically does not necessarily include from 2 to about 24 carbon atoms and at least one double bond, but includes branched or unbranched hydrocarbon groups. Meaning, for example, ethenyl, n-propenyl, isopropenyl, n-butenyl, isobutenyl, octenyl, decenyl and the like. Further, although generally not necessarily, an alkenyl group herein contains from 2 to about 12 carbon atoms. The term “lower alkenyl” intends an alkenyl group of 2 to 6 carbon atoms, preferably 2 to 4 carbon atoms. “Substituted alkenyl” means alkenyl substituted with one or more substituents, and the terms “heteroatom-containing alkenyl” and “heteroalkenyl” refer to substitution of at least one carbon atom with a heteroatom. Means alkenyl.

本明細書で用いられる「アルキニル」という用語は、典型的には、2〜約24の炭素原子と少なくとも一つの三重結合とを必ずしも含む必要はないが、分岐または非分岐の炭化水素基を意味し、例えば、エチニル、n−プロピニル、イソプロピニル、n−ブチニル、イソブチニル、オクチニル、デシニルなどがある。さらには、一般的には必ずしも含む必要はないが、本明細書のアルキニル基は2〜約12の炭素原子を含む。「低級アルキニル」という用語は、2〜6の炭素原子の、好ましくは3または4の炭素原子のアルキニル基を意図する。「置換されたアルキニル」とは、一つ以上の置換基で置換されたアルキニルを意味し、「ヘテロ原子含有アルキニル」および「ヘテロアルキニル」という用語は、少なくとも一つの炭素原子がヘテロ原子で置換されたアルキニルを意味する。   The term “alkynyl” as used herein typically means a branched or unbranched hydrocarbon group, although it need not necessarily contain from 2 to about 24 carbon atoms and at least one triple bond. Examples thereof include ethynyl, n-propynyl, isopropynyl, n-butynyl, isobutynyl, octynyl, decynyl and the like. Further, although generally not necessarily, an alkynyl group herein contains 2 to about 12 carbon atoms. The term “lower alkynyl” intends an alkynyl group of 2 to 6 carbon atoms, preferably 3 or 4 carbon atoms. “Substituted alkynyl” refers to alkynyl substituted with one or more substituents, and the terms “heteroatom-containing alkynyl” and “heteroalkynyl” are those in which at least one carbon atom is replaced with a heteroatom. Means alkynyl.

本明細書で用いられる「アルコキシ」という用語は、一つの末端のエーテル結合を介して結合したアルキル基を意図する;すなわち、「アルコキシ」基は−O−アルキルとして表されてもよく、ここでアルキルは上記に定義されたとおりである。「低級アルコキシ」基とは、1〜6の、より好ましくは1〜4の炭素原子を含むアルコキシ基を意図する。同様に、「アリールオキシ」という用語は、下記に定義されるアリールと共に用いられる。   The term “alkoxy” as used herein intends an alkyl group attached through one terminal ether linkage; that is, an “alkoxy” group may be represented as —O-alkyl, where Alkyl is as defined above. By “lower alkoxy” group is intended an alkoxy group containing from 1 to 6, more preferably from 1 to 4 carbon atoms. Similarly, the term “aryloxy” is used with aryl as defined below.

同様に、本明細書で用いられる「アルキルチオ」という用語は、一つの末端のチオエーテル結合を介して結合したアルキル基を意図する;すなわち、「アルキルチオ」基は−S−アルキルとして表されてもよく、ここで、アルキルは上記に定義されたとおりである。「低級アルキルチオ」基とは、1〜6の、より好ましくは1〜4の炭素原子を含むアルキルチオ基を意図する。   Similarly, the term “alkylthio” as used herein intends an alkyl group attached through a single terminal thioether bond; that is, an “alkylthio” group may be represented as —S-alkyl. Where alkyl is as defined above. By “lower alkylthio” group is intended an alkylthio group containing 1 to 6, more preferably 1 to 4 carbon atoms.

「アレニル」という用語は、本明細書では従来の意味において用いられ、−CH=C=CH2構造を有する分子の一部を意味する。「アレニル」基は非置換でもよく、または一つ以上の非水素置換基で置換されてもよい。   The term “allenyl” is used herein in the conventional sense to mean a portion of a molecule having the structure —CH═C═CH 2. An “allenyl” group can be unsubstituted or optionally substituted with one or more non-hydrogen substituents.

他に特に規定がない限り、本明細書で用いられる「アリール」という用語は、一つの芳香環を含む芳香族置換基、または互いに融合して共有的に結合したかもしくはメチレンの部分またはエチレンの部分などの共通の基に結合した複数の芳香環を含む芳香族置換基を意味する。この共通の結合基は、ベンゾフェノンにおいて見られるカルボニル、ジフェニルエーテルにおいて見られる酸素原子、またはジフェニルアミンにおいて窒素原子でもよい。好ましいアリール基は、一つの芳香環または二つが融合もしくは結合した芳香環を含むものであり、例えば、フェニル、ナフチル、ビフェニル、ジフェニルエーテル、ジフェニルアミン、ベンゾフェノンなどがある。特定の態様においては、アリール置換基は1〜約200の炭素原子を有し、典型的には1〜約50の炭素原子を、好ましくは1〜約20の炭素原子を有する。「置換されたアリール」とは、一つ以上の置換基で置換されたアリールの部分(例えば、トリル、メシチルおよびペルフルオロフェニル)を意味し、「ヘテロ原子含有アリール」および「ヘテロアリール」という用語は、少なくとも一つの炭素原子がヘテロ原子で置換されたアリールを意味する。   Unless otherwise specified, the term “aryl” as used herein refers to an aromatic substituent containing one aromatic ring, or a covalently bonded or methylene moiety or ethylene moiety. By an aromatic substituent comprising a plurality of aromatic rings attached to a common group such as a moiety. This common linking group may be a carbonyl found in benzophenone, an oxygen atom found in diphenyl ether, or a nitrogen atom in diphenylamine. Preferred aryl groups include one aromatic ring or an aromatic ring in which two are fused or bonded, and examples thereof include phenyl, naphthyl, biphenyl, diphenyl ether, diphenylamine, and benzophenone. In certain embodiments, aryl substituents have 1 to about 200 carbon atoms, typically 1 to about 50 carbon atoms, preferably 1 to about 20 carbon atoms. “Substituted aryl” means an aryl moiety substituted with one or more substituents (eg, tolyl, mesityl and perfluorophenyl), and the terms “heteroatom-containing aryl” and “heteroaryl” are , Means aryl in which at least one carbon atom is replaced by a heteroatom.

「アラルキル」という用語は、アリール置換基を伴うアルキル基を意味し、「アラルキレン」という用語は、アリール置換基を伴うアルキレン基を意味する;「アルカリル」という用語は、アルキル置換基を有するアリール基を意味し、「アルカリレン」という用語は、アルキル置換基を伴うアリーレン基を意味する。   The term “aralkyl” refers to an alkyl group with an aryl substituent, the term “aralkylene” refers to an alkylene group with an aryl substituent; the term “alkaryl” refers to an aryl group with an alkyl substituent. And the term “alkalylene” means an arylene group with an alkyl substituent.

「ハロ」および「ハロゲン」という用語は従来の意味において用いられ、クロロ、ブロモ、フルオロまたはヨード置換基を意味する。「ハロアルキル」、「ハロアルケニル」または「ハロアルキニル」(または「ハロゲン化アルキル」、「ハロゲン化アルケニル」もしくは「ハロゲン化アルキニル」)という用語は、それぞれ、その基における水素原子の少なくとも一つがハロゲン原子で置換されているアルキル、アルケニルまたはアルキニル基を意味する。   The terms “halo” and “halogen” are used in the conventional sense and refer to a chloro, bromo, fluoro or iodo substituent. The term “haloalkyl”, “haloalkenyl” or “haloalkynyl” (or “halogenated alkyl”, “halogenated alkenyl” or “halogenated alkynyl”) means that at least one of the hydrogen atoms in the group is a halogen atom, respectively. Means an alkyl, alkenyl or alkynyl group substituted with

「ヘテロ原子含有ヒドロカルビル基」において見られる「ヘテロ原子含有」という用語は、その中の一つ以上の炭素原子が炭素以外の原子、例えば窒素、酸素、イオウ、リンまたはケイ素で置換されている分子または分子の断片を意味する。同様に、「ヘテロアルキル」という用語は、ヘテロ原子を含有するアルキル置換基を意味し、「ヘテロ環状」という用語は、ヘテロ原子を含有する環状置換基を意味し、「ヘテロアリール」という用語は、ヘテロ原子などを含有するアリール置換基を意味する。考えられるヘテロ原子含有基のリストより前に「ヘテロ原子含有」という用語が現れた場合、その群のすべての構成物にその用語を付加することを意図する。すなわち、「ヘテロ原子含有アルキル、アルケニルおよびアルキニル」という表現は、「ヘテロ原子含有アルキル、ヘテロ原子含有アルケニルおよびヘテロ原子含有アルキニル」と解釈されるべきである。   The term “heteroatom-containing” as found in a “heteroatom-containing hydrocarbyl group” refers to a molecule in which one or more carbon atoms are replaced by atoms other than carbon, such as nitrogen, oxygen, sulfur, phosphorus or silicon. Or a fragment of a molecule. Similarly, the term “heteroalkyl” means an alkyl substituent containing a heteroatom, the term “heterocyclic” means a cyclic substituent containing a heteroatom, and the term “heteroaryl” is , Means an aryl substituent containing a heteroatom and the like. When the term “heteroatom-containing” appears before the list of possible heteroatom-containing groups, it is intended to add the term to all members of the group. That is, the expression “heteroatom-containing alkyl, alkenyl and alkynyl” should be interpreted as “heteroatom-containing alkyl, heteroatom-containing alkenyl and heteroatom-containing alkynyl”.

「ヒドロカルビル」とは、1〜約30の炭素原子、好ましくは1〜約24の炭素原子、最も好ましくは1〜約12の炭素原子を含む一価のヒドロカルビルラジカルという意味であり、分岐または非分岐の、飽和または不飽和の種が含まれ、具体的にはアルキル基、アルケニル基、アリール基などである。「低級ヒドロカルビル」という用語は、1〜6の炭素原子、好ましくは1〜4の炭素原子のヒドロカルビル基を意図する。「置換されたヒドロカルビル」とは、一つ以上の置換基で置換されたヒドロカルビルを意味し、「ヘテロ原子含有ヒドロカルビル」および「ヘテロヒドロカルビル」という用語は、少なくとも一つの炭素原子がヘテロ原子で置換されたヒドロカルビルを意味する。   "Hydrocarbyl" means a monovalent hydrocarbyl radical containing 1 to about 30 carbon atoms, preferably 1 to about 24 carbon atoms, most preferably 1 to about 12 carbon atoms, branched or unbranched Of saturated or unsaturated species, specifically alkyl groups, alkenyl groups, aryl groups, and the like. The term “lower hydrocarbyl” intends a hydrocarbyl group of 1 to 6 carbon atoms, preferably 1 to 4 carbon atoms. “Substituted hydrocarbyl” means a hydrocarbyl substituted with one or more substituents, and the terms “heteroatom-containing hydrocarbyl” and “heterohydrocarbyl” are those in which at least one carbon atom is replaced with a heteroatom. Means hydrocarbyl.

上記の定義のいくつかにおいて暗示されているような、「置換されたヒドロカルビル」、「置換されたアリール」、「置換されたアルキル」、「置換されたアルケニル」などにおいて見られる「置換された」とは、ヒドロカルビル、ヒドロカルビレン、アルキル、アルケニルまたはその他の部分において、炭素原子に結合した少なくとも一つの水素原子が、ヒドロキシル、アルコキシ、チオ、ホスフィノ、アミノ、ハロ、シリルなどの一つ以上の置換基で置換されているという意味である。考えられる置換基のリストより前に「置換された」という用語が現れた場合、その群のすべての構成物にその用語を付加することを意図する。すなわち、「置換されたアルキル、アルケニルおよびアルキニル」という表現は、「置換されたアルキル、置換されたアルケニルおよび置換されたアルキニル」と解釈されるべきである。同様に、「必要に応じて置換されるアルキル、アルケニルおよびアルキニル」は、「必要に応じて置換されるアルキル、必要に応じて置換されるアルケニルおよび必要に応じて置換されるアルキニル」と解釈されるべきである。   “Substituted” found in “substituted hydrocarbyl”, “substituted aryl”, “substituted alkyl”, “substituted alkenyl”, etc., as implied in some of the above definitions. Is a hydrocarbyl, hydrocarbylene, alkyl, alkenyl or other moiety wherein at least one hydrogen atom bonded to a carbon atom is substituted with one or more of hydroxyl, alkoxy, thio, phosphino, amino, halo, silyl, etc. It means that it is substituted with a group. When the term “substituted” appears before the list of possible substituents, it is intended to add the term to all members of the group. That is, the expression “substituted alkyl, alkenyl and alkynyl” should be interpreted as “substituted alkyl, substituted alkenyl and substituted alkynyl”. Similarly, “optionally substituted alkyl, alkenyl and alkynyl” is to be interpreted as “optionally substituted alkyl, optionally substituted alkenyl and optionally substituted alkynyl”. Should be.

本明細書で用いられる「シリル」という用語は、−SiZ1Z2Z3ラジカルを意味し、ここで、Z1、Z2およびZ3のそれぞれは、ヒドリドならびに必要に応じて置換されるアルキル、アルケニル、アルキニル、アリール、アラルキル、アルカリル、ヘテロ環状、アルコキシ、アリールオキシおよびアミノからなる群より独立して選択される。   The term “silyl” as used herein, means a —SiZ1Z2Z3 radical, wherein each of Z1, Z2 and Z3 is hydride and optionally substituted alkyl, alkenyl, alkynyl, aryl, aralkyl. Independently selected from the group consisting of alkaryl, heterocyclic, alkoxy, aryloxy and amino.

本明細書で用いられる「ホスフィノ」という用語は、基−PZ1Z2を意味し、ここで、Z1およびZ2のそれぞれは、ヒドリドならびに必要に応じて置換されるアルキル、アルケニル、アルキニル、アリール、アラルキル、アルカリル、ヘテロ環状およびアミノからなる群より独立して選択される。   The term “phosphino” as used herein refers to the group —PZ1Z2, where each of Z1 and Z2 is hydride and optionally substituted alkyl, alkenyl, alkynyl, aryl, aralkyl, alkaryl. Independently selected from the group consisting of heterocyclic and amino.

「アミノ」という用語は、本明細書では、基−NZ1Z2を意味するものとして用いられ、ここで、Z1およびZ2のそれぞれは、ヒドリドならびに必要に応じて置換されるアルキル、アルケニル、アルキニル、アリール、アラルキル、アルカリルおよびヘテロ環状からなる群より独立して選択される。   The term “amino” is used herein to mean the group —NZ1Z2, where each of Z1 and Z2 is hydride and optionally substituted alkyl, alkenyl, alkynyl, aryl, Independently selected from the group consisting of aralkyl, alkaryl and heterocyclic.

「チオ」という用語は、本明細書では、基−SZ1を意味するものとして用いられ、ここでZ1は、ヒドリドならびに必要に応じて置換されるアルキル、アルケニル、アルキニル、アリール、アラルキル、アルカリルおよびヘテロ環状からなる群より選択される。   The term “thio” is used herein to mean the group —SZ1, where Z1 is a hydride and optionally substituted alkyl, alkenyl, alkynyl, aryl, aralkyl, alkaryl and heteroaryl. Selected from the group consisting of rings.

本明細書で用いられるように、元素周期表の元素および基への言及のすべては、Handbook of Chemistry and Physics, CRC Press,1995年によって出版された周期表の版に向けてのものであり、ここでは番号が付けられた基の新しいIUPAC系が示されている。   As used herein, all references to elements and groups of the Periodic Table of Elements are for the periodic table edition published by Handbook of Chemistry and Physics, CRC Press, 1995; Here, a new IUPAC system of numbered groups is shown.

特定の態様においては、RはRまたはRのいずれかと結合して、置換されたまたは非置換のピラゾールの部分を形成する。 In certain embodiments, R 7 is combined with either R 5 or R 6 to form a substituted or unsubstituted pyrazole moiety.

典型的なCTAsとしては、例えば、次のものが挙げられる。   Examples of typical CTAs include the following.

Figure 2007526351
いくつかの態様においては、得られる(例えばフォトレジスト組成物の)上記のポリマーは、例えば(CTAからの)チオ基を有する一つ以上の末端を持つことになる。ポリマーを対象とする応用例に依存して、チオ基は望ましくないかもしれない。従って、本発明は、ポリマー樹脂の末端から脱離したCTAを有するポリマー樹脂も提供する。
Figure 2007526351
In some embodiments, the resulting polymer (eg, of a photoresist composition) will have one or more ends having, for example, thio groups (from CTA). Depending on the application intended for the polymer, thio groups may not be desirable. Accordingly, the present invention also provides a polymer resin having CTA detached from the end of the polymer resin.

本明細書の全体にわたって記載された特定の態様においては、得られるポリマーは、末端が骨格、スターアーム、コームエンド、ブランチエンドまたはグラフトの末端であろうとも、その末端でCTAの部分(ジチオカルボニル部などのCTAの一部)を含む。下記のいくつかの方法によって、CTAの除去を達成することができる。機構的に、フリーラジカル連鎖移動反応は、外部のラジカル源の添加によって、ポリマー末端からジチオCTAの部分などの残基を切り離すと考えられている。   In the specific embodiments described throughout this specification, the resulting polymer has a CTA moiety (dithiocarbonyl) at the end, whether the end is the backbone, star arm, comb end, branch end or graft end. Part of CTA). CTA removal can be achieved by several methods described below. Mechanistically, free radical chain transfer reactions are believed to cleave residues such as dithioCTA moieties from polymer ends by the addition of an external radical source.

一つの態様においては、ある場合では、ポリマー末端からCTAの部分(例えば、チオカルボニルチオの部分、チオ基)を切断することによって、(もし存在するなら)ポリマーの末端の位置からCTAの少なくともイオウを含む部分を除去することは好都合である。一つの態様においては、フリーラジカル開始剤と、不安定な水素原子を有する化合物とを用いることによってジチオカルボニルまたはジチオホスホリル基のラジカルを減少させて、このことを達成することができる。この方法は本質的に、ポリマー鎖の末端から不必要な基を除去し、水素原子でそれを置換する。例えば、WO02/090397号を参照すること。ここで、このものの全体は引用によって本明細書に取り込まれる。   In one embodiment, in some cases, the CTA moiety (eg, thiocarbonylthio moiety, thio group) is cleaved from the polymer end to remove at least the sulfur of the CTA from the polymer terminal position (if present). It is convenient to remove the part containing. In one embodiment, this can be accomplished by reducing the radical of the dithiocarbonyl or dithiophosphoryl group by using a free radical initiator and a compound having an unstable hydrogen atom. This method essentially removes unwanted groups from the ends of the polymer chain and replaces them with hydrogen atoms. See, for example, WO 02/090397. Here, the entirety of this is incorporated herein by reference.

別の側面においては、2003年4月3日に出願された、「Cleaving and
Replacing Thio Control Agent Moieties from Polymers made by Living−Type Free Radical Polymerization」という題名の、米国特許出願第10/407,405号(代理人整理番号2000−089CIP3)に記載されたように、過剰の開始剤を用いてCTAを取り替えることができ、それによって、開始剤の断片産物がポリマーの末端のCTAに取って代わるものであり、この教示の全体は引用によって本明細書に取り込まれる。
In another aspect, “Cleaving and” filed on Apr. 3, 2003.
Reprinting Thio Control Agent Moieties from Polymers made by Living-Type Free Radical Polymerization ”, as described in US Patent Application No. 10 / 407,405 (representative number 2000-089 CIP) Can be used to replace the CTA, whereby the fragment product of the initiator replaces the CTA at the end of the polymer, the entire teachings of which are incorporated herein by reference.

さらに別の側面においては、2003年4月3日に出願された、「Cleaving and Replacing Thio Control Agent Moieties from Polymers made by Living−Type Free
Radical Polymerization」という題名の、米国特許出願第10/407,405号(代理人整理番号2000−089CIP3)に記載されたように、開始剤をRAFT剤と組み合わせて用いることによって、CTAを取り替えることができ、この教示の全体は引用によって本明細書に取り込まれる。
In yet another aspect, “Cleaving and Reprinting Thio Control Agents from Polymers made by Live-Type Free” filed on April 3, 2003.
It is possible to replace CTA by using an initiator in combination with a RAFT agent as described in US patent application Ser. No. 10 / 407,405 (Attorney Docket No. 2000-089 CIP3) entitled “Radical Polymerization”. The entire teachings of which are incorporated herein by reference.

さらに別の側面においては、2003年6月26日に出願された、「Removal of the Thiocarbonythio or Thiophosphorylthio End Group of Polymers and Further Functionalization Thereof」という題名の、米国特許出願第10/609,225号(代理人整理番号2003−042)に記載されたように、ラジカル源が導入された単独重合できないモノマーによって、CTAを取り替えることができ、この教示の全体は引用によって本明細書に取り込まれる。   In yet another aspect, “Removal of the Thiocarbonythio or Thiophosphorythio End Group of Polymers and Furters of the United States No. 25, filed on June 26, 2003” As described in Personnel Number 2003-042), CTA can be replaced by a monomer that cannot be homopolymerized with a radical source introduced, the entire teaching of which is incorporated herein by reference.

任意の特定の理論に拘束されることを望むのでなければ、ポリマーからチオ基を切断することは、下記のスキーム1および2:
→2I・
(スキーム1)
P−S−T(=S)−Z+I・→P・+IS−T(=S)−Z
(スキーム2)
に記載の一組の反応に従って進行するものと考えられ、
ここで、Pはポリマーを表し、Tは炭素またはリンであり、Sはイオウであり、Iはフリーラジカル源であり、I・はIの分解から生じるフリーラジカルであり、そしてZは上記に定義されるとおりである。スキーム1は、ラジカルI・を生じさせるフリーラジカル開始剤の活性化を表す;そしてスキーム2は、ポリマーラジカルP・を生じさせる、ジチオ末端化ポリマー上でのI・の付加分解を表す。
Unless wishing to be bound by any particular theory, cleaving the thio group from the polymer is described below in Schemes 1 and 2:
I 2 → 2I ・
(Scheme 1)
P-S-T (= S) -Z + I. → P. + IS-T (= S) -Z
(Scheme 2)
Considered to proceed according to a set of reactions described in
Where P represents a polymer, T is carbon or phosphorus, S is sulfur, I 2 is a free radical source, I · is a free radical resulting from decomposition of I 2 , and Z is the above As defined in Scheme 1 represents the activation of a free radical initiator to generate the radical I .; and Scheme 2 represents the addition decomposition of I. on the dithio-terminated polymer to generate the polymer radical P.

いくつかの態様においては、外部のラジカル源は一般的なラジカル開始剤であり、例えば、上記に列挙した任意の開始剤である。その正確な性質には関係なく、本発明に従った方法で実施されるフリーラジカル源を、フリーラジカルの生成が可能となる切断反応の条件下で利用する。一つの態様においては、熱活性化によって、すなわち反応媒体の温度を、通常は室温程度(約20℃)〜約200℃の範囲の温度、特に約40℃〜約180℃の温度、そしてより具体的には約50℃〜約120℃の温度に上昇させることによって達成される。その他の態様においては、光による活性化によってフリーラジカルを生成させる。これには、UV光によって活性化され得るフリーラジカル源、例えばベンゾインエーテルおよびベンゾフェノンが含まれる。ガンマ線および電子線などの高エネルギーの放射は、ラジカルを生成することが知られている。   In some embodiments, the external radical source is a common radical initiator, such as any of the initiators listed above. Regardless of its exact nature, the free radical source carried out in the process according to the invention is utilized under the conditions of a cleavage reaction that allows the generation of free radicals. In one embodiment, by thermal activation, i.e., the temperature of the reaction medium is typically in the range of about room temperature (about 20 ° C) to about 200 ° C, in particular about 40 ° C to about 180 ° C, and more specifically. Specifically, it is achieved by raising the temperature to about 50 ° C to about 120 ° C. In other embodiments, free radicals are generated by activation with light. This includes free radical sources that can be activated by UV light, such as benzoin ethers and benzophenones. High energy radiation, such as gamma rays and electron rays, is known to generate radicals.

利用されるフリーラジカル源を、一増分ずつ反応媒体に導入することができる。しかしながら、部分にわけるかまたは連続的のいずれかで徐々に導入することもできる。   The free radical source utilized can be introduced into the reaction medium in increments. However, it can also be introduced gradually, either in parts or continuously.

用いることができる切断反応の条件としては、温度、圧力、雰囲気、反応回数および反応成分の比率などの条件が挙げられる。有用な温度は、室温程度(約20℃)〜約200℃の範囲の温度であり、具体的には約40℃〜約180℃であり、より具体的には約50℃〜約120℃である。いくつかの態様においては、窒素またはアルゴンなどの不活性雰囲気を利用して、雰囲気をコントロールすることができる。その他の態様においては、周囲の雰囲気が用いられる。切断反応条件としては、開かれたまたは閉じられた雰囲気および周囲の条件での圧力も挙げられる。閉じられた雰囲気内で切断反応が実施され、およびその温度は室温を超えている態様においては、加熱された任意の溶媒の結果としてその圧力は上昇するだろう。いくつかの態様においては、光をコントロールすることも望ましい。具体的には、可視光線でまたはUV光の下で、この反応を実施することができる。   Conditions for the cleavage reaction that can be used include conditions such as temperature, pressure, atmosphere, number of reactions, and ratio of reaction components. Useful temperatures range from about room temperature (about 20 ° C.) to about 200 ° C., specifically about 40 ° C. to about 180 ° C., more specifically about 50 ° C. to about 120 ° C. is there. In some embodiments, an inert atmosphere such as nitrogen or argon can be utilized to control the atmosphere. In other embodiments, an ambient atmosphere is used. Cleavage reaction conditions also include open or closed atmospheres and pressures at ambient conditions. In embodiments where the cleavage reaction is carried out in a closed atmosphere and the temperature is above room temperature, the pressure will increase as a result of any heated solvent. In some embodiments, it is also desirable to control the light. Specifically, this reaction can be carried out with visible light or under UV light.

フリーラジカル源の量は、その有効性、源が導入される方法、および所望の最終産物に左右される。その源が放出することができるフリーラジカルの量が、切断が望まれるポリマーにおける基の全モル量に対して、約1%〜約800%(モル濃度)の間となるような量で、具体的には約50%〜約400%(モル濃度)の間となるような量で、そしてより具体的には約100%〜約300%(モル濃度)の間となるような量で、より具体的には約200%〜約300%の間となるような量で、利用されるフリーラジカル源を導入することができる。いくつかの態様においては、完全な除去かまたは可能な限り完全に近い除去が望まれ、そしてこれらの態様においては、過剰量のフリーラジカル源が導入される。   The amount of free radical source depends on its effectiveness, the manner in which the source is introduced, and the desired end product. In an amount such that the amount of free radicals that the source can release is between about 1% and about 800% (molar concentration) relative to the total molar amount of groups in the polymer where cleavage is desired. In an amount that is between about 50% and about 400% (molar concentration), and more specifically in an amount that is between about 100% and about 300% (molar concentration). Specifically, the free radical source utilized can be introduced in an amount that is between about 200% and about 300%. In some embodiments, complete removal or as nearly complete as possible is desired, and in these embodiments, an excess amount of free radical source is introduced.

過剰なフリーラジカル源は、かご効果により生じると予想されるフリーラジカルの喪失だけではなく、下記に説明するもの(例えばスキーム5)などのフリーラジカルプロセスにおいて周知の副反応を明らかにすることを目的とする。利用できる場合、フリーラジカル源の効率因子fは、フリーラジカル源の分解時に生成する全ラジカルに対する活性ラジカルの比率と定義され、Iの濃度を調整するために用いることができる。 Excess free radical sources aim not only at the loss of free radicals expected to be caused by the cage effect, but also to reveal well-known side reactions in free radical processes such as those described below (eg, Scheme 5) And When available, the efficiency factor f of the free radical source is defined as the ratio of active radicals to total radicals generated during the decomposition of the free radical source and can be used to adjust the concentration of I 2 .

(フリーラジカル源の半分が破壊された後の時間として定義される)半減期の時間が約10分間〜20時間の間である限り、知られているほとんどのフリーラジカル源を用いることができる。   As long as the half-life time (defined as the time after half of the free radical source is destroyed) is between about 10 minutes and 20 hours, most known free radical sources can be used.

フリーラジカル源として用いることができる典型的な開始剤は、アルキルペルオキシド、置換されたアルキルペルオキシド、アリールペルオキシド、置換されたアリールペルオキシド、アシルペルオキシド、アルキルヒドロペルオキシド、置換されたアルキルヒドロペルオキシド、アリールヒドロペルオキシド、置換されたアリールヒドロペルオキシド、ヘテロアルキルペルオキシド、置換されたヘテロアルキルペルオキシド、ヘテロアルキルヒドロペルオキシド、置換されたヘテロアルキルヒドロペルオキシド、ヘテロアリールペルオキシド、置換されたヘテロアリールペルオキシド、ヘテロアリールヒドロペルオキシド、置換されたヘテロアリールヒドロペルオキシド、アルキルペルエステル、置換されたアルキルペルエステル、アリールペルエステル、置換されたアリールペルエステル、ジアルキルペルジカルボナート、無機ペルオキシド、次亜硝酸塩およびアゾ化合物の間から選択される。具体的な開始剤としては、ラウロイルおよびベンゾイルペルオキシド(BPO)ならびにAIBNが挙げられる。いくつかのアゾ化合物には、1,1’−アゾビス(シクロヘキサン−1−カルボニトリル)、2,2’−アゾビス(4−メトキシ−2,4−ジメチルバレロニトリル)、ジメチル−2,2’−アゾビス(2−メチルプロピオナート)、1−[(シアノ−1−メチルエチル)アゾ]ホルムアミド、2,2’−アゾビス(N−シクロヘキシル−2−メチルプロピオンアミド)、2,2’−アゾビス(2,4−ジメチルバレロニトリル)、2,2’−アゾビス(2−メチルブチロニトリル)、2,2’−アゾビス[N−(2−プロペニル)−2−メチルプロピオンアミド]、2,2’−アゾビス(N−ブチル−2−メチルプロピオンアミド)、2,2’−アゾビス[2−(5−メチル−2−イミダゾリン−2−イル)プロパン]ジヒドロクロリド、2,2’−アゾビス[2−(2−イミダゾリン−2−イル)プロパンジスルファート二水和物、2,2’−アゾビス[N−(2−カルボキシエチル)−2−メチルプロピオンアミジン]四水和物、2,2’−アゾビス{2−[1−(2−ヒドロキシエチル)−2−イミダゾリン−2−イル]プロパン}ジヒドロクロリド、2,2’−アゾビス{2−メチル−N−[1,1−ビス(ヒドロキシメチル)−2−ヒドロキシエチル]プロピオンアミド、2,2’−アゾビス[2−メチル−N−(2−ヒドロキシエチル)プロピオンアミド]、2,2’−アゾビス[2−(2−イミダゾリン−2−イル)プロパン]ジヒドロクロリド、2,2’−アゾビス(2−メチルプロピオンアミド)ジヒドロクロリド、2,2’−アゾビス[2−(3,4,5,6−テトラヒドロピリミジン−2−イル)プロパン]ジヒドロクロリド、2,2’−アゾビス[2−(2−イミダゾリン−2−イル)プロパン]および2,2’−アゾビス{2−メチル−N−[2−(1−ヒドロキシブチル)]プロピオンアミド}が含まれる。これには、ベンゾインエーテルおよびベンゾフェノンなどのUVによって活性化され得る開始剤が含まれる。高エネルギーによってその他の開始剤を活性化することができ、ガンマ線および電子線などがある。反応温度を必要な範囲に設定することによって、半減期の時間を調整することができる。供給業者の資料ファイルを介するか、または文献(例えば、「The Chemistry of Free Radical Polymerization, G. Moad, D. H. Salomon, Eds. Pergamon Pub. 1995)を利用して、開始剤の分解速度の温度依存性によって後者を定める。特に開始剤が過酸化物などの酸化性を有する場合、還元剤を添加することによって、分解速度、従ってラジカル生成も調整される:例えば、メタ重亜硫酸塩、アスコルビン酸、亜硫酸塩−ホルムアルデヒド付加物、アミンおよび低酸化状態の金属などを過酸化物型の開始剤と一緒に用いて、ラジカルフラックス加速することができる。   Typical initiators that can be used as free radical sources are alkyl peroxides, substituted alkyl peroxides, aryl peroxides, substituted aryl peroxides, acyl peroxides, alkyl hydroperoxides, substituted alkyl hydroperoxides, aryl hydroperoxides Substituted aryl hydroperoxide, heteroalkyl peroxide, substituted heteroalkyl peroxide, heteroalkyl hydroperoxide, substituted heteroalkyl hydroperoxide, heteroaryl peroxide, substituted heteroaryl peroxide, heteroaryl hydroperoxide, substituted Heteroaryl hydroperoxide, alkyl perester, substituted alkyl perester, aryl Peresters, substituted aryl peresters, dialkyl Peruji carbonate, inorganic peroxides, are selected from between hypophosphite nitrate and azo compounds. Specific initiators include lauroyl and benzoyl peroxide (BPO) and AIBN. Some azo compounds include 1,1′-azobis (cyclohexane-1-carbonitrile), 2,2′-azobis (4-methoxy-2,4-dimethylvaleronitrile), dimethyl-2,2′-. Azobis (2-methylpropionate), 1-[(cyano-1-methylethyl) azo] formamide, 2,2′-azobis (N-cyclohexyl-2-methylpropionamide), 2,2′-azobis ( 2,4-dimethylvaleronitrile), 2,2′-azobis (2-methylbutyronitrile), 2,2′-azobis [N- (2-propenyl) -2-methylpropionamide], 2,2 ′ -Azobis (N-butyl-2-methylpropionamide), 2,2'-azobis [2- (5-methyl-2-imidazolin-2-yl) propane] dihydrochloride, , 2′-Azobis [2- (2-imidazolin-2-yl) propanedisulfate dihydrate, 2,2′-azobis [N- (2-carboxyethyl) -2-methylpropionamidine] tetrahydrate Japanese, 2,2′-azobis {2- [1- (2-hydroxyethyl) -2-imidazolin-2-yl] propane} dihydrochloride, 2,2′-azobis {2-methyl-N- [1 , 1-bis (hydroxymethyl) -2-hydroxyethyl] propionamide, 2,2′-azobis [2-methyl-N- (2-hydroxyethyl) propionamide], 2,2′-azobis [2- ( 2-Imidazolin-2-yl) propane] dihydrochloride, 2,2'-azobis (2-methylpropionamido) dihydrochloride, 2,2'-azobis [2- (3,4,5, -Tetrahydropyrimidin-2-yl) propane] dihydrochloride, 2,2'-azobis [2- (2-imidazolin-2-yl) propane] and 2,2'-azobis {2-methyl-N- [2- (1-hydroxybutyl)] propionamide}. This includes initiators that can be activated by UV, such as benzoin ethers and benzophenones. High energy can activate other initiators, such as gamma rays and electron beams. The half-life time can be adjusted by setting the reaction temperature within the required range. Initiator decomposition rate via the supplier's documentation file or using literature (eg, “The Chemistry of Free Radical Polymerization, G. Mod, D. H. Salomon, Eds. Pergamon Pub. 1995). The latter is determined by the temperature dependence, especially when the initiator is oxidizing such as peroxides, the addition of a reducing agent also adjusts the decomposition rate and thus radical generation: eg metabisulfite, ascorbine Acids, sulfite-formaldehyde adducts, amines and low oxidation state metals can be used in conjunction with peroxide type initiators to accelerate radical flux.

切断反応の条件には反応時間も含まれ、この時間は約0.5時間〜約72時間であり得、より好ましくは約1時間〜約24時間の範囲内であり、さらにより好ましくは約2時間〜約12時間の範囲内である。例えばポリマーからチオ基を切断することは、少なくとも約50%であり、より具体的には、少なくとも約75%であり、より具体的には、少なくとも約85%であり、さらにより具体的には、少なくとも約95%である。チオ基の置換は少なくとも約50%であり、より具体的には、少なくとも約75%であり、より具体的には、少なくとも約85%であり、さらにより具体的には、少なくとも約95%である。   The conditions for the cleavage reaction also include the reaction time, which can be from about 0.5 hours to about 72 hours, more preferably in the range of about 1 hour to about 24 hours, and even more preferably about 2 hours. Within the range of time to about 12 hours. For example, cleaving thio groups from a polymer is at least about 50%, more specifically at least about 75%, more specifically at least about 85%, and even more specifically , At least about 95%. Thio group substitution is at least about 50%, more specifically at least about 75%, more specifically at least about 85%, and even more specifically at least about 95%. is there.

種々のRAFT剤などによって、上記に詳述したような種々の異なる部分とチオ基を置換することができる。一つの態様においては、(Rhodia Chimie社に委譲された)WO02/090397号に記載されているように、CTAのチオの部分を水素原子と置換することができる。別の態様においては、チオ基を単独重合できないモノマー単位と置換することができる。さらに別の態様においては、フリーラジカル源のみを導入してポリマーの末端をキャップする。   Various RAFT agents and the like can replace various different moieties and thio groups as detailed above. In one embodiment, the thio moiety of CTA can be replaced with a hydrogen atom, as described in WO 02/090397 (delegated to Rhodia Chimie). In another embodiment, the thio group can be replaced with a monomer unit that cannot be homopolymerized. In yet another embodiment, only the free radical source is introduced to cap the polymer ends.

切断反応混合物は、典型的には溶媒である反応媒体を用いることができる。切断反応の条件には、反応媒体の攪拌または還流も含まれる。次いで、得られるポリマーラジカルのP・を、下記のスキーム3、4および5に示される三つの方法のうちの一つでキャップすることができる:
P・+I・→P−I
(スキーム3)
P・+I→P−I+I・
(スキーム4)
P・+P・→カップリング産物
(スキーム5)。
The cleavage reaction mixture can use a reaction medium, typically a solvent. The conditions for the cleavage reaction include stirring or refluxing of the reaction medium. The resulting polymer radical P · can then be capped in one of three ways as shown in Schemes 3, 4 and 5 below:
P ・ + I ・ → PI
(Scheme 3)
P ・ + I 2 → P−I + I ・
(Scheme 4)
P · + P · → coupling product (Scheme 5).

スキーム3は、スキーム2で生じるポリマーラジカルおよびスキーム1で生じるフリーラジカルのラジカルカップリングを表し、これにより、得られるキャップされたポリマーP−Iが形成される。スキーム4は、スキーム2で生じるポリマーラジカルと、切断されたポリマーならびに新たなフリーラジカル源を生じさせるフリーラジカル開始剤との間の移行反応を表す。スキーム5は、二つのポリマーラジカル間のカップリング反応を表す。   Scheme 3 represents the radical coupling of the polymer radicals generated in Scheme 2 and the free radicals generated in Scheme 1, thereby forming the resulting capped polymer PI. Scheme 4 represents a transfer reaction between the polymer radical generated in Scheme 2 and the cleaved polymer as well as a free radical initiator that generates a new source of free radicals. Scheme 5 represents a coupling reaction between two polymer radicals.

一つの態様においては、スキーム3および4は所望の反応である。スキーム5は、大部分のポリマーサンプルの分子量を増加させ、分子量分布を広げることに寄与する副反応である。記載された切断反応の条件によって、例えば分子量の特性(Mwおよび多分散度指数)がほとんど〜全く変化することなく、ジチオ化合物の定量的な切断を引き起こすことが分かった。   In one embodiment, Schemes 3 and 4 are the desired reactions. Scheme 5 is a side reaction that contributes to increasing the molecular weight of most polymer samples and broadening the molecular weight distribution. It has been found that the cleavage reaction conditions described, for example, cause quantitative cleavage of the dithio compounds with little to no change in molecular weight properties (Mw and polydispersity index).

一つの態様においては、反応3および4に有利に働くような切断反応の条件下で、ポリマーを開始剤などのフリーラジカル源で処理する。これらの条件には、源によって放出され得るフリーラジカルの量が、切断が望まれるポリマーにおける基の全モル量に対して約200%〜約500%(モル濃度)の間となるような量で、具体的には約200%〜約300%(モル濃度)の間となるような量で、ラジカル源を導入することが含まれる。   In one embodiment, the polymer is treated with a free radical source, such as an initiator, under conditions of a cleavage reaction that favors reactions 3 and 4. These conditions include an amount such that the amount of free radicals that can be released by the source is between about 200% and about 500% (molar concentration) relative to the total molar amount of groups in the polymer where cleavage is desired. In particular, it includes introducing the radical source in an amount of between about 200% and about 300% (molar concentration).

得られるポリマーは、ポリマーが特定の応用例に対してより望ましくなるような新たな基を、その末端に有する。例えば、上記のポリマーは、改変前のポリマーに存在する量のイオウの存在を許容することができない応用例、例えば、臭いの存在が問題となり得る家庭用および個人用の手入れ製品に対して、より望ましいものとなるだろう。   The resulting polymer has new groups at its ends that make the polymer more desirable for a particular application. For example, the above polymers are more suitable for applications that cannot tolerate the amount of sulfur present in the unmodified polymer, such as household and personal care products where the presence of odor can be a problem. Would be desirable.

CTAの末端基を伴うかまたは伴わない反応生成物を、重合反応の終了後に再沈殿などによって精製することは好都合である。典型的な沈殿剤としては、イソプロピルアルコール、メチルアルコール、エチルアルコールおよびブチルアルコールなどの低分子量のアルコールが挙げられる。   It is advantageous to purify the reaction product with or without CTA end groups, such as by reprecipitation after completion of the polymerization reaction. Typical precipitating agents include low molecular weight alcohols such as isopropyl alcohol, methyl alcohol, ethyl alcohol and butyl alcohol.

一つの側面においては、本発明は、エネルギー源に露光した時に酸を生成する光酸発生剤(以下、「光酸発生剤(B)」と称する)を含むフォトレジストポリマー組成物である。   In one aspect, the present invention is a photoresist polymer composition comprising a photoacid generator (hereinafter referred to as “photoacid generator (B)”) that generates an acid when exposed to an energy source.

この光酸発生剤(B)によって、樹脂(A)における酸解離性基の、露光時に生成する酸の作用による解離が引き起こされる。結果として、レジストフィルムの露光された領域はアルカリ性の現像液に溶解しやすくなり、それによって、ポジティブトーンのレジストパターンが形成される。   The photoacid generator (B) causes dissociation of the acid dissociable group in the resin (A) by the action of an acid generated during exposure. As a result, the exposed areas of the resist film are more easily dissolved in an alkaline developer, thereby forming a positive tone resist pattern.

本発明の有用な光酸発生剤(B)としては、次の式(5):   As a useful photoacid generator (B) of the present invention, the following formula (5):

Figure 2007526351
で示されるこれらの化合物が挙げられる。
Figure 2007526351
These compounds shown by these are mentioned.

ここで、R13は、水素原子、ヒドロキシル基、1〜10の炭素原子を有する直鎖もしくは分枝鎖のアルキル基、1〜10の炭素原子を有する直鎖もしくは分枝鎖のアルコキシル基、または2〜11の炭素原子を有する直鎖もしくは分枝鎖のアルコキシカルボニル基を表し、R14は、水素原子または1〜10の炭素原子を有する直鎖もしくは分枝鎖のアルキル基を表し、pは0〜3の整数であり、R15はそれぞれ個々に、1〜10の炭素原子を有する直鎖もしくは分枝鎖のアルキル基、フェニル基または一つ以上の置換基を有してもよいナフチル基を表すか、または二つのR15基が一緒になって、2〜10の炭素原子を有する置換されたもしくは非置換の二価基を形成してもよく、qは0〜2の整数であり、そしてZは、例えばC2a+1SO−の構造を有するアニオンを示す。ここで、aは1〜10の整数である。 Here, R 13 is a hydrogen atom, a hydroxyl group, a linear or branched alkyl group having 1 to 10 carbon atoms, a linear or branched alkoxyl group having 1 to 10 carbon atoms, or Represents a linear or branched alkoxycarbonyl group having 2 to 11 carbon atoms, R 14 represents a hydrogen atom or a linear or branched alkyl group having 1 to 10 carbon atoms, and p is An integer of 0 to 3, and each R 15 is a linear or branched alkyl group having 1 to 10 carbon atoms, a phenyl group or a naphthyl group optionally having one or more substituents. Or two R 15 groups together may form a substituted or unsubstituted divalent group having 2 to 10 carbon atoms, q is an integer from 0 to 2 and Z is, for example C An anion having the structure of - F 2a + 1 SO 3. Here, a is an integer of 1-10.

式(5)においてR13、R14またはR15によって表される、約1〜約10の炭素原子を有する直鎖または分枝鎖のアルキル基の具体例は、メチル基、エチル基、n−プロピル基、i−プロピル基、n−ブチル基、2−メチルプロピル基、1−メチルプロピル基、t−ブチル基、n−ペンチル基、ネオペンチル基、n−ヘキシル基、n−ヘプチル基、n−オクチル基、2−エチルヘキシル基、n−ノニル基およびn−デシル基が代表的なものである。 Specific examples of the linear or branched alkyl group having about 1 to about 10 carbon atoms represented by R 13 , R 14 or R 15 in the formula (5) include a methyl group, an ethyl group, n- Propyl group, i-propyl group, n-butyl group, 2-methylpropyl group, 1-methylpropyl group, t-butyl group, n-pentyl group, neopentyl group, n-hexyl group, n-heptyl group, n- Octyl, 2-ethylhexyl, n-nonyl and n-decyl groups are typical.

式(5)においてR13によって表される、約1〜約10の炭素原子を有する直鎖または分枝鎖のアルコキシル基の具体例としては、メトキシ基、エトキシ基、n−プロポキシ基、i−プロポキシ基、n−ブトキシ基、2−メチルプロポキシ基、1−メチルプロポキシ基、t−ブトキシ基、n−ペンチルオキシ基、ネオペンチルオキシ基、n−ヘキシルオキシ基、n−ヘプチルオキシ基、n−オクチルオキシ基、2−エチルヘキシルオキシ基、n−ノニルオキシ基およびn−デシルオキシ基が挙げられる。 Specific examples of the linear or branched alkoxyl group having about 1 to about 10 carbon atoms represented by R 13 in the formula (5) include a methoxy group, an ethoxy group, an n-propoxy group, i- Propoxy group, n-butoxy group, 2-methylpropoxy group, 1-methylpropoxy group, t-butoxy group, n-pentyloxy group, neopentyloxy group, n-hexyloxy group, n-heptyloxy group, n- Examples include octyloxy group, 2-ethylhexyloxy group, n-nonyloxy group and n-decyloxy group.

式(5)においてR13によって表される、約2〜約11の炭素原子を有する直鎖または分枝鎖のアルコキシカルボニル基の具体例としては、メトキシカルボニル基、エトキシカルボニル基、n−プロポキシカルボニル基、i−プロポキシカルボニル基、n−ブトキシカルボニル基、2−メチルプロポキシカルボニル基、1−メチルプロポキシカルボニル基、t−ブトキシカルボニル基、n−ペンチルオキシカルボニル基、ネオペンチルオキシカルボニル基、n−ヘキシルオキシカルボニル基、n−ヘプチルオキシカルボニル基、n−オクチルオキシカルボニル基、2−エチルヘキシルオキシカルボニル基、n−ノニルオキシカルボニル基およびn−デシルオキシカルボニル基が挙げられる。 Specific examples of the linear or branched alkoxycarbonyl group having about 2 to about 11 carbon atoms represented by R 13 in the formula (5) include methoxycarbonyl group, ethoxycarbonyl group, n-propoxycarbonyl Group, i-propoxycarbonyl group, n-butoxycarbonyl group, 2-methylpropoxycarbonyl group, 1-methylpropoxycarbonyl group, t-butoxycarbonyl group, n-pentyloxycarbonyl group, neopentyloxycarbonyl group, n-hexyl Examples thereof include an oxycarbonyl group, an n-heptyloxycarbonyl group, an n-octyloxycarbonyl group, a 2-ethylhexyloxycarbonyl group, an n-nonyloxycarbonyl group, and an n-decyloxycarbonyl group.

式(5)におけるR13の具体的な基としては、水素原子、ヒドロキシル基、メトキシ基、エトキシ基、n−ブトキシ基などが挙げられる。 Specific examples of R 13 in the formula (5) include a hydrogen atom, a hydroxyl group, a methoxy group, an ethoxy group, and an n-butoxy group.

式(5)におけるR14の基としては、水素原子およびメチル基が特に注目される。 As the group of R 14 in formula (5), a hydrogen atom and a methyl group are particularly noted.

特定の態様においては、pは0または1のいずれかである。   In certain embodiments, p is either 0 or 1.

式(5)においてR15で表される、置換されたまたは非置換のフェニル基の具体例としては、次の基が与えられる:
例えば、o−トリル基、m−トリル基、p−トリル基、2,3−ジメチルフェニル基、2,4−ジメチルフェニル基、2,5−ジメチルフェニル基、2,6−ジメチルフェニル基、3,4−ジメチルフェニル基、3,5−ジメチルフェニル基、2,4,6−トリメチルフェニル基および4−エチルフェニル基などの、フェニル基かまたは約1〜約10の炭素原子を有する一つ以上の直鎖、分枝鎖もしくは環状アルキル基で置換されてもよいアルキル置換されたフェニル基;およびフェニル基かまたはヒドロキシル基、カルボキシル基、シアノ基、ニトロ基、アルコキシル基、アルコキシアルキル基、アルコキシカルボニル基およびアルコキシカルボニルオキシ基などの一つ以上の基でアルキル置換されたフェニル基を置換することによって得られる基。
Specific examples of the substituted or unsubstituted phenyl group represented by R 15 in the formula (5) include the following groups:
For example, o-tolyl group, m-tolyl group, p-tolyl group, 2,3-dimethylphenyl group, 2,4-dimethylphenyl group, 2,5-dimethylphenyl group, 2,6-dimethylphenyl group, 3 , 4-dimethylphenyl group, 3,5-dimethylphenyl group, 2,4,6-trimethylphenyl group and 4-ethylphenyl group or the like, or one or more having about 1 to about 10 carbon atoms An alkyl-substituted phenyl group which may be substituted with a linear, branched or cyclic alkyl group of: and a phenyl group or a hydroxyl group, a carboxyl group, a cyano group, a nitro group, an alkoxyl group, an alkoxyalkyl group, an alkoxycarbonyl group Obtained by substituting an alkyl-substituted phenyl group with one or more groups such as a group and an alkoxycarbonyloxy group Group.

フェニル基またはアルキル置換されたフェニル基のための置換基として機能することができるアルコキシル基の具体例としては、約1〜約20の炭素原子を有する直鎖、分枝鎖または環状のアルコキシル基であり、例えば、メトキシ基、エトキシ基、n−プロポキシ基、i−プロポキシ基、n−ブトキシ基、2−メチルプロポキシ基、1−メチルプロポキシ基、t−ブトキシ基、シクロペンチルオキシ基およびシクロヘキシルオキシ基が代表的なものである。   Specific examples of alkoxyl groups that can function as substituents for phenyl groups or alkyl-substituted phenyl groups include straight, branched, or cyclic alkoxyl groups having from about 1 to about 20 carbon atoms. For example, methoxy group, ethoxy group, n-propoxy group, i-propoxy group, n-butoxy group, 2-methylpropoxy group, 1-methylpropoxy group, t-butoxy group, cyclopentyloxy group and cyclohexyloxy group It is representative.

アルコキシアルキル基の具体例としては、約2〜約21の炭素原子を有する直鎖、分枝鎖または環状のアルコキシアルキル基であり、例えば、メトキシメチル基、エトキシメチル基、1−メトキシエチル基、2−メトキシエチル基、1−エトキシエチル基および2−エトキシエチル基が代表的なものである。   Specific examples of the alkoxyalkyl group include linear, branched or cyclic alkoxyalkyl groups having about 2 to about 21 carbon atoms, such as a methoxymethyl group, an ethoxymethyl group, a 1-methoxyethyl group, The 2-methoxyethyl group, 1-ethoxyethyl group and 2-ethoxyethyl group are representative.

アルコキシカルボニル基の具体例としては、約2〜約21の炭素原子を有する直鎖、分枝鎖または環状のアルコキシカルボニル基であり、例えば、メトキシカルボニル基、エトキシカルボニル基、n−プロポキシカルボニル基、i−プロポキシカルボニル基、n−ブトキシカルボニル基、2−メチルプロポキシカルボニル基、1−メチルプロポキシカルボニル基、t−ブトキシカルボニル基、シクロペンチルオキシカルボニル基およびシクロヘキシルオキシカルボニル基である。   Specific examples of the alkoxycarbonyl group include linear, branched or cyclic alkoxycarbonyl groups having about 2 to about 21 carbon atoms, such as a methoxycarbonyl group, an ethoxycarbonyl group, an n-propoxycarbonyl group, i-propoxycarbonyl group, n-butoxycarbonyl group, 2-methylpropoxycarbonyl group, 1-methylpropoxycarbonyl group, t-butoxycarbonyl group, cyclopentyloxycarbonyl group and cyclohexyloxycarbonyl group.

アルコキシカルボニルオキシ基の具体例としては、約2〜約21の炭素原子を有する直鎖、分枝鎖または環状のアルコキシカルボニルオキシ基が挙げられ、例えば、メトキシカルボニルオキシ基、エトキシカルボニルオキシ基、n−プロポキシカルボニルオキシ基、i−プロポキシカルボニルオキシ基、n−ブトキシカルボニルオキシ基、t−ブトキシカルボニルオキシ基およびシクロペンチルオキシカルボニル基ならびにシクロヘキシルオキシカルボニル基である。   Specific examples of the alkoxycarbonyloxy group include linear, branched or cyclic alkoxycarbonyloxy groups having about 2 to about 21 carbon atoms, such as methoxycarbonyloxy group, ethoxycarbonyloxy group, n -Propoxycarbonyloxy group, i-propoxycarbonyloxy group, n-butoxycarbonyloxy group, t-butoxycarbonyloxy group, cyclopentyloxycarbonyl group, and cyclohexyloxycarbonyl group.

15で表される置換されたまたは非置換のナフチル基の具体例としては、ナフチル基およびナフチル基における約1〜約10の炭素原子を有する直鎖、分枝鎖または環状アルキル基による水素原子の置換によって得られるナフチル基誘導体が挙げられ、例えば、1−ナフチル基、2−メチル−1−ナフチル基、3−メチル−1−ナフチル基、4−メチル−1−ナフチル基、4−メチル−1−ナフチル基、5−メチル−1−ナフチル基、6−メチル−1−ナフチル基、7−メチル−1−ナフチル基、8−メチル−1−ナフチル基、2,3−ジメチル−1−ナフチル基、2,4−ジメチル−1−ナフチル基、2,5−ジメチル−1−ナフチル基、2,6−ジメチル−1−ナフチル基、2,7−ジメチル−1−ナフチル基、2,8−ジメチル−1−ナフチル基、3,4−ジメチル−1−ナフチル基、3,5−ジメチル−1−ナフチル基、3,6−ジメチル−1−ナフチル基、3,7−ジメチル−1−ナフチル基、3,8−ジメチル−1−ナフチル基、4,5−ジメチル−1−ナフチル基、5,8−ジメチル−1−ナフチル基、4−エチル−1−ナフチル基、2−ナフチル基、1−メチル−2−ナフチル基、3−メチル−2−ナフチル基および4−メチル−2−ナフチル基、ならびにナフチル基またはアルキル置換されたナフチル基における一つ以上の水素原子をヒドロキシル基、カルボキシル基、シアノ基、ニトロ基、アルコキシル基、アルコキシアルキル基、アルコキシカルボニル基またはアルコキシカルボニルオキシ基でさらに置換することによって得られる基がある。 Specific examples of the substituted or unsubstituted naphthyl group represented by R 15 include a hydrogen atom by a linear, branched or cyclic alkyl group having about 1 to about 10 carbon atoms in the naphthyl group and naphthyl group. Naphthyl group derivatives obtained by substitution of, for example, 1-naphthyl group, 2-methyl-1-naphthyl group, 3-methyl-1-naphthyl group, 4-methyl-1-naphthyl group, 4-methyl- 1-naphthyl group, 5-methyl-1-naphthyl group, 6-methyl-1-naphthyl group, 7-methyl-1-naphthyl group, 8-methyl-1-naphthyl group, 2,3-dimethyl-1-naphthyl Group, 2,4-dimethyl-1-naphthyl group, 2,5-dimethyl-1-naphthyl group, 2,6-dimethyl-1-naphthyl group, 2,7-dimethyl-1-naphthyl group, 2,8- Dimethyl-1 -Naphthyl group, 3,4-dimethyl-1-naphthyl group, 3,5-dimethyl-1-naphthyl group, 3,6-dimethyl-1-naphthyl group, 3,7-dimethyl-1-naphthyl group, 3, 8-dimethyl-1-naphthyl group, 4,5-dimethyl-1-naphthyl group, 5,8-dimethyl-1-naphthyl group, 4-ethyl-1-naphthyl group, 2-naphthyl group, 1-methyl-2 -One or more hydrogen atoms in the naphthyl group, 3-methyl-2-naphthyl group and 4-methyl-2-naphthyl group, and naphthyl group or alkyl-substituted naphthyl group are substituted with hydroxyl group, carboxyl group, cyano group, nitro group There are groups obtained by further substitution with groups, alkoxyl groups, alkoxyalkyl groups, alkoxycarbonyl groups or alkoxycarbonyloxy groups.

ナフチル基またはアルキル置換されたナフチル基についての置換基であるところのアルコキシル基、アルコキシアルキル基、アルコキシカルボニル基およびアルコキシカルボニルオキシ基の具体例は、フェニル基およびアルキル置換されたフェニル基について説明された基として与えられ得る。   Specific examples of alkoxyl groups, alkoxyalkyl groups, alkoxycarbonyl groups and alkoxycarbonyloxy groups, which are substituents for naphthyl groups or alkyl-substituted naphthyl groups, have been described for phenyl groups and alkyl-substituted phenyl groups It can be given as a group.

約2〜約10の炭素原子を有する二価基は二つのR15基によって形成され、式中のイオウ原子と一緒になって5員または6員の環状構造を形成する基であり得る。そしてとりわけ、5員環構造を形成する(具体的にはテトラヒドロチオフェン環構造)基であり得る。 A divalent group having from about 2 to about 10 carbon atoms can be a group formed by two R 15 groups that together with a sulfur atom in the formula forms a 5- or 6-membered cyclic structure. In particular, it may be a group that forms a 5-membered ring structure (specifically, a tetrahydrothiophene ring structure).

上記に特定された二価基についての適切な置換基の具体例としては、フェニル基およびアルキル置換されたフェニル基についての適切な置換基として説明された基であり、例えば、ヒドロキシル基、カルボキシル基、シアノ基、ニトロ基、アルコキシル基、アルコキシアルキル基、アルコキシカルボニル基およびアルコキシカルボニルオキシ基が代表的である。   Specific examples of suitable substituents for the divalent groups specified above are those described as suitable substituents for phenyl groups and alkyl-substituted phenyl groups, such as hydroxyl groups, carboxyl groups, and the like. And cyano group, nitro group, alkoxyl group, alkoxyalkyl group, alkoxycarbonyl group and alkoxycarbonyloxy group are representative.

とりわけ、式(5)におけるR15は、メチル基、エチル基またはフェニル基であり得る。二つのR15基から形成されるテトラヒドロチオフェン環構造を有する二価基としては、イオウ原子が挙げられる。 In particular, R 15 in formula (5) can be a methyl group, an ethyl group or a phenyl group. Examples of the divalent group having a tetrahydrothiophene ring structure formed from two R 15 groups include a sulfur atom.

式(5)における「q」は、0または1のいずれかであり得る。   “Q” in formula (5) can be either 0 or 1.

式(5)においてZによって表されるF2a+1SO におけるC2a+1基は、「a」の数の炭素原子を有するペルフルオロアルキル基であり、このものは直鎖または分枝鎖のいずれでもよい。 The C a F 2a + 1 group in F 2a + 1 SO 3 represented by Z in the formula (5) is a perfluoroalkyl group having “a” number of carbon atoms, which is either a straight chain or a branched chain But you can.

「a」は約4〜約8であり得る。   “A” can be about 4 to about 8.

当該発生剤(5)の具体例としては、次のものが挙げられる:
トリフェニルスルホニウム=トリフルオロメタンスルホナート、トリフェニルスルホニウム=ノナフルオロ−n−ブタンスルホナート、トリフェニルスルホニウム=ペルフルオロ−n−オクタンスルホナート、1−ナフチルジメチルスルホニウム=トリフルオロメタンスルホナート、1−ナフチルジメチルスルホニウム=ノナフルオロ−n−ブタンスルホナート、1−ナフチルジメチルスルホニウム=ペルフルオロ−n−オクタンスルホナート、1−ナフチルジエチルスルホニウム=トリフルオロメタンスルホナート、1−ナフチルジエチルスルホニウム=ノナフルオロ−n−ブタンスルホナート、1−ナフチルジエチルスルホニウム=ペルフルオロ−n−オクタンスルホナート、4−ヒドロキシ−1−ナフチルジメチルスルホニウム=トリフルオロメタンスルホナート、4−ヒドロキシ−1−ナフチルジメチルスルホニウム=ノナフルオロ−n−ブタンスルホナート、4−ヒドロキシ−1−ナフチルジメチルスルホニウム=ペルフルオロ−n−オクタンスルホナート、4−ヒドロキシ−1−ナフチルジエチルスルホニウム=トリフルオロメタンスルホナート、4−ヒドロキシ−1−ナフチルジエチルスルホニウム=ノナフルオロ−n−ブタンスルホナート、4−ヒドロキシ−1−ナフチルジエチルスルホニウム=ペルフルオロ−n−オクタンスルホナート、4−シアノ−1−ナフチルジメチルスルホニウム=トリフルオロメタンスルホナート、4−シアノ−1−ナフチルジメチルスルホニウム=ノナフルオロ−n−ブタンスルホナート、4−シアノ−1−ナフチルジメチルスルホニウム=ペルフルオロ−n−オクタンスルホナート、4−シアノ−1−ナフチルジエチルスルホニウム=トリフルオロメタンスルホナート、4−シアノ−1−ナフチルジエチルスルホニウム=ノナフルオロ−n−ブタンスルホナート、4−シアノ−1−ナフチルジエチルスルホニウム=ペルフルオロ−n−オクタンスルホナート、4−ニトロ−1−ナフチルジメチルスルホニウム=トリフルオロメタンスルホナート、4−ニトロ−1−ナフチルジメチルスルホニウム=ノナフルオロ−n−ブタンスルホナート、4−ニトロ−1−ナフチルジメチルスルホニウム=ペルフルオロ−n−オクタンスルホナート、4−ニトロ−1−ナフチルジエチルスルホニウム=トリフルオロメタンスルホナート、4−ニトロ−1−ナフチルジエチルスルホニウム=ノナフルオロ−n−ブタンスルホナート、4−ニトロ−1−ナフチルジエチルスルホニウム=ペルフルオロ−n−オクタンスルホナート、4−メチル−1−ナフチルジメチルスルホニウム=トリフルオロメタンスルホナート、4−メチル−1−ナフチルジメチルスルホニウム=ノナフルオロ−n−ブタンスルホナート、4−メチル−1−ナフチルジメチルスルホニウム=ペルフルオロ−n−オクタンスルホナート、4−メチル−1−ナフチルジエチルスルホニウム=トリフルオロメタンスルホナート、4−メチル−1−ナフチルジエチルスルホニウム=ノナフルオロ−n−ブタンスルホナート、4−メチル−1−ナフチルジエチルスルホニウム=ペルフルオロ−n−オクタンスルホナート、1−(3,5−ジメチル−4−ヒドロキシフェニル)テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(3,5−ジメチル−4−ヒドロキシフェニル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(3,5−ジメチル−4−ヒドロキシフェニル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−n−ブトキシフェニル)テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−n−ブトキシフェニル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−n−ブトキシフェニル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−ヒドロキシナフタレン−1−イル)テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−ヒドロキシナフタレン−1−イル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−ヒドロキシナフタレン−1−イル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−メトキシナフタレン−1−イル)テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−メトキシナフタレン−1−イル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−メトキシナフタレン−1−イル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−エトキシナフタレン−1−イル)テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−エトキシナフタレン−1−イル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−エトキシナフタレン−1−イル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−n−ブトキシナフタレン−1−イル)テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−n−ブトキシナフタレン−1−イル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−n−ブトキシナフタレン−1−イル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−メトキシメトキシナフタレン−1−イル)テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−メトキシメトキシナフタレン−1−イル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、
1−(4−メトキシメトキシナフタレン−1−イル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−エトキシメトキシナフタレン−1−イル)テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−エトキシメトキシナフタレン−1−イル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−エトキシメトキシナフタレン−1−イル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−[4−(1□メトキシエトキシ)ナフタレン−1−イル]−テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−[4−(1−メトキシエトキシ)ナフタレン−1−イル]テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−[4−(1−メトキシエトキシ)ナフタレン−1−イル]テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−[4−(2□メトキシエトキシ)ナフタレン−1−イル]−テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−[4−(2−メトキシエトキシ)ナフタレン−1−イル]テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−[4−(2−メトキシエトキシ)ナフタレン−1−イル]テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−メトキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−メトキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=ノナフルオロ−n−/ブタンスルホナート、1−(4−メトキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−エトキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−エトキシカルボニルオキシナフタレン−1−イル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−エトキシカルボニルオキシナフタレン−1−イル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−n−プロポキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、−(4−n−プロポキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−n−プロポキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−i−プロポキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−i−プロポキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−i−プロポキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−n−ブトキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−n−ブトキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−n−ブトキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−t−ブトキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−t−ブトキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−t−ブトキシカルボニルオキシナフタレン−1−イル)−テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−ベンジルオキシナフタレン−1−イル)テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(4−ベンジルオキシナフタレン−1−イル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−ベンジルオキシナフタレン−1−イル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(2−ナフタレン−1−イル−2−オキソエチル)テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−(2−ナフタレン−1−イル−2−オキソエチル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナートおよび1−(2−ナフタレン−1−イル−2−オキソエチル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−[4−(2−テトラヒドロフラニルオキシ)ナフタレン−1−イル]−テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−[4−(1−テトラヒドロフラニルオキシ)ナフタレン−1−イル]−テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−[4−(2−テトラヒドロフラニルオキシ)ナフタレン−1−イル]−テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−[4−(2−テトラヒドロピラニルオキシ)ナフタレン−1−イル]テトラヒドロチオフェニウム=トリフルオロメタンスルホナート、1−[4−(2−テトラヒドロピラニルオキシ)ナフタレン−1−イル]−テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナートおよび1−[4−(2−テトラヒドロピラニルオキシ)ナフタレン−1−イル]−テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート。
Specific examples of the generator (5) include the following:
Triphenylsulfonium = trifluoromethanesulfonate, triphenylsulfonium = nonafluoro-n-butanesulfonate, triphenylsulfonium = perfluoro-n-octanesulfonate, 1-naphthyldimethylsulfonium = trifluoromethanesulfonate, 1-naphthyldimethylsulfonium = Nonafluoro-n-butanesulfonate, 1-naphthyldimethylsulfonium = perfluoro-n-octanesulfonate, 1-naphthyldiethylsulfonium = trifluoromethanesulfonate, 1-naphthyldiethylsulfonium = nonafluoro-n-butanesulfonate, 1-naphthyl Diethylsulfonium = perfluoro-n-octanesulfonate, 4-hydroxy-1-naphthyldimethylsulfonium = tri Fluoromethanesulfonate, 4-hydroxy-1-naphthyldimethylsulfonium = nonafluoro-n-butanesulfonate, 4-hydroxy-1-naphthyldimethylsulfonium = perfluoro-n-octanesulfonate, 4-hydroxy-1-naphthyldiethylsulfonium = Trifluoromethanesulfonate, 4-hydroxy-1-naphthyldiethylsulfonium = nonafluoro-n-butanesulfonate, 4-hydroxy-1-naphthyldiethylsulfonium = perfluoro-n-octanesulfonate, 4-cyano-1-naphthyldimethyl Sulfonium = trifluoromethanesulfonate, 4-cyano-1-naphthyldimethylsulfonium = nonafluoro-n-butanesulfonate, 4-cyano-1-naphthyldimethylsulfone Um = perfluoro-n-octanesulfonate, 4-cyano-1-naphthyldiethylsulfonium = trifluoromethanesulfonate, 4-cyano-1-naphthyldiethylsulfonium = nonafluoro-n-butanesulfonate, 4-cyano-1-naphthyl Diethylsulfonium = perfluoro-n-octanesulfonate, 4-nitro-1-naphthyldimethylsulfonium = trifluoromethanesulfonate, 4-nitro-1-naphthyldimethylsulfonium = nonafluoro-n-butanesulfonate, 4-nitro-1- Naphthyldimethylsulfonium = perfluoro-n-octanesulfonate, 4-nitro-1-naphthyldiethylsulfonium = trifluoromethanesulfonate, 4-nitro-1-naphthyldiethylsulfonium = Nonafluoro-n-butanesulfonate, 4-nitro-1-naphthyldiethylsulfonium = perfluoro-n-octanesulfonate, 4-methyl-1-naphthyldimethylsulfonium = trifluoromethanesulfonate, 4-methyl-1-naphthyldimethylsulfonium = Nonafluoro-n-butanesulfonate, 4-methyl-1-naphthyldimethylsulfonium = perfluoro-n-octanesulfonate, 4-methyl-1-naphthyldiethylsulfonium = trifluoromethanesulfonate, 4-methyl-1-naphthyldiethyl Sulfonium = nonafluoro-n-butanesulfonate, 4-methyl-1-naphthyldiethylsulfonium = perfluoro-n-octanesulfonate, 1- (3,5-dimethyl-4-hydroxyphenyl Tetrahydrothiophenium = trifluoromethanesulfonate, 1- (3,5-dimethyl-4-hydroxyphenyl) tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- (3,5-dimethyl-4-hydroxyphenyl) ) Tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-n-butoxyphenyl) tetrahydrothiophenium = trifluoromethanesulfonate, 1- (4-n-butoxyphenyl) tetrahydrothiophenium = nonafluoro -N-butanesulfonate, 1- (4-n-butoxyphenyl) tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-hydroxynaphthalen-1-yl) tetrahydrothiophenium = trifluoromethyl 1- (4-hydroxynaphthalen-1-yl) tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- (4-hydroxynaphthalen-1-yl) tetrahydrothiophenium = perfluoro-n-octanesulfone Narate, 1- (4-methoxynaphthalen-1-yl) tetrahydrothiophenium = trifluoromethanesulfonate, 1- (4-methoxynaphthalen-1-yl) tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1 -(4-Methoxynaphthalen-1-yl) tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-ethoxynaphthalen-1-yl) tetrahydrothiophenium = trifluoromethanesulfonate, 1- (4 -Ethoxy Naphthalen-1-yl) tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- (4-ethoxynaphthalen-1-yl) tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-n -Butoxynaphthalen-1-yl) tetrahydrothiophenium = trifluoromethanesulfonate, 1- (4-n-butoxynaphthalen-1-yl) tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- (4- n-butoxynaphthalen-1-yl) tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-methoxymethoxynaphthalen-1-yl) tetrahydrothiophenium = trifluoromethanesulfonate, 1- (4- Methoxymethoxynaphthalene 1-yl) tetrahydrothiophenium = nonafluoro -n- butane sulfonate,
1- (4-methoxymethoxynaphthalen-1-yl) tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-ethoxymethoxynaphthalen-1-yl) tetrahydrothiophenium = trifluoromethanesulfonate, 1 -(4-Ethoxymethoxynaphthalen-1-yl) tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- (4-ethoxymethoxynaphthalen-1-yl) tetrahydrothiophenium = perfluoro-n-octanesulfonate 1- [4- (1 □ methoxyethoxy) naphthalen-1-yl] -tetrahydrothiophenium = trifluoromethanesulfonate, 1- [4- (1-methoxyethoxy) naphthalen-1-yl] tetrahydrothiophenium = Nonafluo -N-butanesulfonate, 1- [4- (1-methoxyethoxy) naphthalen-1-yl] tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- [4- (2 □ methoxyethoxy) naphthalene- 1-yl] -tetrahydrothiophenium = trifluoromethanesulfonate, 1- [4- (2-methoxyethoxy) naphthalen-1-yl] tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- [4- (2-methoxyethoxy) naphthalen-1-yl] tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-methoxycarbonyloxynaphthalen-1-yl) -tetrahydrothiophenium = trifluoromethanesulfonate, 1- (4-methoxycarbonyloxy) Naphthalen-1-yl) -tetrahydrothiophenium = nonafluoro-n− / butanesulfonate, 1- (4-methoxycarbonyloxynaphthalen-1-yl) -tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1 -(4-Ethoxycarbonyloxynaphthalen-1-yl) -tetrahydrothiophenium = trifluoromethanesulfonate, 1- (4-ethoxycarbonyloxynaphthalen-1-yl) tetrahydrothiophenium = nonafluoro-n-butanesulfonate 1- (4-ethoxycarbonyloxynaphthalen-1-yl) tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-n-propoxycarbonyloxynaphthalen-1-yl) -tetrahydrothiophene Um = trifluoromethanesulfonate, 1 - (4-n- propoxycarbonyloxy-1-yl) - tetrahydrothiophenium = nonafluoro -n- butanesulfonate, 1- (4-n- propoxycarbonyloxy-l -Yl) -tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-i-propoxycarbonyloxynaphthalen-1-yl) -tetrahydrothiophenium = trifluoromethanesulfonate, 1- (4-i -Propoxycarbonyloxynaphthalen-1-yl) -tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- (4-i-propoxycarbonyloxynaphthalen-1-yl) -tetrahydrothiophenium = perfluoro-n Octanesulfonate, 1- (4-n-butoxycarbonyloxynaphthalen-1-yl) -tetrahydrothiophenium = trifluoromethanesulfonate, 1- (4-n-butoxycarbonyloxynaphthalen-1-yl) -tetrahydrothio Phenium = nonafluoro-n-butanesulfonate, 1- (4-n-butoxycarbonyloxynaphthalen-1-yl) -tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-t-butoxycarbonyl Oxynaphthalen-1-yl) -tetrahydrothiophenium = trifluoromethanesulfonate, 1- (4-t-butoxycarbonyloxynaphthalen-1-yl) -tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- (4-t- Butoxycarbonyloxynaphthalen-1-yl) -tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-benzyloxynaphthalen-1-yl) tetrahydrothiophenium = trifluoromethanesulfonate, 1- (4 -Benzyloxynaphthalen-1-yl) tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- (4-benzyloxynaphthalen-1-yl) tetrahydrothiophenium = perfluoro-n-octanesulfonate, (2-Naphthalen-1-yl-2-oxoethyl) tetrahydrothiophenium = trifluoromethanesulfonate, 1- (2-naphthalen-1-yl-2-oxoethyl) tetrahydrothiophenium = nonafluoro-n-butanesulfo And 1- (2-naphthalen-1-yl-2-oxoethyl) tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- [4- (2-tetrahydrofuranyloxy) naphthalen-1-yl]- Tetrahydrothiophenium = trifluoromethanesulfonate, 1- [4- (1-tetrahydrofuranyloxy) naphthalen-1-yl] -tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- [4- (2- Tetrahydrofuranyloxy) naphthalen-1-yl] -tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- [4- (2-tetrahydropyranyloxy) naphthalen-1-yl] tetrahydrothiophenium = trifluoromethane Sulfonate, 1- [4- ( -Tetrahydropyranyloxy) naphthalen-1-yl] -tetrahydrothiophenium = nonafluoro-n-butanesulfonate and 1- [4- (2-tetrahydropyranyloxy) naphthalen-1-yl] -tetrahydrothiophenium = Perfluoro-n-octanesulfonate.

とりわけ、光酸発生剤(5)としては、トリフェニルスルホニウム=ノナフルオロ−n−ブタンスルホナート、トリフェニルスルホニウム=ペルフルオロ−n−オクタンスルホナート、1−(3,5−ジメチル−4−ヒドロキシフェニル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(3,5−ジメチル−4−ヒドロキシフェニル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−ヒドロキシナフタレン−1−イル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−ヒドロキシナフタレン−1−イル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(4−n−ブトキシナフタレン−1−イル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(4−n−ブトキシナフタレン−1−イル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナート、1−(2−ナフタレン−1−イル−2−オキソエチル)テトラヒドロチオフェニウム=ノナフルオロ−n−ブタンスルホナート、1−(2−ナフタレン−1−イル−2−オキソエチル)テトラヒドロチオフェニウム=ペルフルオロ−n−オクタンスルホナートなどが挙げられる。   In particular, as the photoacid generator (5), triphenylsulfonium = nonafluoro-n-butanesulfonate, triphenylsulfonium = perfluoro-n-octanesulfonate, 1- (3,5-dimethyl-4-hydroxyphenyl) Tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- (3,5-dimethyl-4-hydroxyphenyl) tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-hydroxynaphthalene-1- Yl) tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- (4-hydroxynaphthalen-1-yl) tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (4-n-butoxynaphthalene-) 1-yl) tetrahi Rothiophenium = nonafluoro-n-butanesulfonate, 1- (4-n-butoxynaphthalen-1-yl) tetrahydrothiophenium = perfluoro-n-octanesulfonate, 1- (2-naphthalen-1-yl-2- Oxoethyl) tetrahydrothiophenium = nonafluoro-n-butanesulfonate, 1- (2-naphthalen-1-yl-2-oxoethyl) tetrahydrothiophenium = perfluoro-n-octanesulfonate, and the like.

式(5)を有する酸発生剤以外の酸発生剤(以下、「その他の酸発生剤」と称する)の具体例としては、オニウム塩化合物、ハロゲン含有化合物、ジアゾケトン化合物、スルホン化合物、スルホナート化合物などが挙げられる。   Specific examples of acid generators other than the acid generator having formula (5) (hereinafter referred to as “other acid generators”) include onium salt compounds, halogen-containing compounds, diazoketone compounds, sulfone compounds, sulfonate compounds, and the like. Is mentioned.

これらのその他の酸発生剤の具体例を下記に示す:
オニウム塩。
Specific examples of these other acid generators are shown below:
Onium salt.

オニウム塩の具体例としては、ヨードニウム塩、スルホニウム塩、ホスホニウム塩、ジアゾニウム塩およびピリジニウム塩が挙げられる。   Specific examples of onium salts include iodonium salts, sulfonium salts, phosphonium salts, diazonium salts, and pyridinium salts.

オニウム塩の具体例としては、次のものが挙げられる:ジフェニルヨードニウム=トリフルオロメタンスルホナート、ジフェニルヨードニウム=ノナフルオロ−n−ブタンスルホナート、ジフェニルヨードニウム=ペルフルオロ−n−オクタンスルホナート、ビス(4−t−ブチルフェニル)ヨードニウム=トリフルオロメタンスルホナート、ビス(4−t−ブチルフェニル)ヨードニウム=ノナフルオロ−n−ブタンスルホナート、ビス(4−t−ブチルフェニル)ヨードニウム=ペルフルオロ−n−オクタンスルホナート、シクロヘキシル−2−オキソシクロヘキシル−メチルスルホニウム=トリフルオロメタンスルホナート、ジシクロヘキシル−2−オキソシクロヘキシルスルホニウム=トリフルオロメタンスルホナートおよび2−オキソシクロヘキシルジメチルスルホニウム=トリフルオロメタンスルホナート。   Specific examples of onium salts include: diphenyliodonium = trifluoromethanesulfonate, diphenyliodonium = nonafluoro-n-butanesulfonate, diphenyliodonium = perfluoro-n-octanesulfonate, bis (4-t -Butylphenyl) iodonium = trifluoromethanesulfonate, bis (4-t-butylphenyl) iodonium = nonafluoro-n-butanesulfonate, bis (4-t-butylphenyl) iodonium = perfluoro-n-octanesulfonate, cyclohexyl 2-oxocyclohexyl-methylsulfonium trifluoromethanesulfonate, dicyclohexyl-2-oxocyclohexylsulfonium trifluoromethanesulfonate and 2-o Seo cyclohexyl dimethyl sulfonium = trifluoromethanesulfonate.

ハロゲン含有化合物:
ハロゲン含有化合物の具体例としては、ハロアルキル基含有炭化水素化合物およびハロアルキル基含有ヘテロ環状化合物が挙げられる。
Halogen-containing compounds:
Specific examples of the halogen-containing compound include haloalkyl group-containing hydrocarbon compounds and haloalkyl group-containing heterocyclic compounds.

ハロゲン含有化合物の具体例としては、フェニルビス(トリクロロメチル)−s−トリアジン、4−メトキシフェニルビス(トリクロロメチル)−s−トリアジンおよび1−ナフチルビス(トリクロロメチル)−s−トリアジンなどの(トリクロロメチル)−s−トリアジン誘導体ならびに1,1−ビス(4−クロロフェニル)−2,2,2−トリクロロエタンが挙げられる。   Specific examples of halogen-containing compounds include (trichloromethyl) such as phenylbis (trichloromethyl) -s-triazine, 4-methoxyphenylbis (trichloromethyl) -s-triazine and 1-naphthylbis (trichloromethyl) -s-triazine. ) -S-triazine derivatives and 1,1-bis (4-chlorophenyl) -2,2,2-trichloroethane.

ジアゾケトン化合物:
ジアゾケトン化合物の具体例としては、1,3−ジケト−2−ジアゾ化合物、ジアゾベンゾキノン化合物およびジアゾナフトキノン化合物が挙げられる。
Diazo ketone compounds:
Specific examples of the diazo ketone compound include a 1,3-diketo-2-diazo compound, a diazobenzoquinone compound, and a diazonaphthoquinone compound.

ジアゾケトン化合物の具体例としては、1,2−ナフトキノンジアジド−4−スルホニルクロリド、1,2−ナフトキノンジアジド−5−スルホニルクロリド、2,3,4,4’−テトラヒドロキシベンゾフェノンの1,2−ナフトキノンジアジド−4−スルホナートまたは1,2−ナフトキノンジアジド−5−スルホナート、ならびに1,1,1−トリス(4−ヒドロキシフェニル)エタンの1,2−ナフトキノンジアジド−4−スルホナートおよび1,2−ナフトキノンジアジド−5−スルホナートが挙げられる。   Specific examples of the diazoketone compound include 1,2-naphthoquinonediazide-4-sulfonyl chloride, 1,2-naphthoquinonediazide-5-sulfonyl chloride, and 1,2-naphtho of 2,3,4,4′-tetrahydroxybenzophenone. Quinonediazido-4-sulfonate or 1,2-naphthoquinonediazide-5-sulfonate, and 1,1, naphthoquinonediazide-4-sulfonate and 1,2-naphthoquinonediazide of 1,1,1-tris (4-hydroxyphenyl) ethane -5-sulfonate.

スルホン化合物:
スルホン化合物の具体例としては、ケトスルホン、スルホニルスルホンおよびこれらの化合物のジアゾ化合物が挙げられる。
Sulfone compounds:
Specific examples of the sulfone compound include ketosulfone, sulfonylsulfone, and diazo compounds of these compounds.

スルホン化合物の具体例としては、4−トリスフェナシルスルホン、メシチルフェナシルスルホン、ビス(フェニルスルホニル)メタンなどが挙げられる。   Specific examples of the sulfone compound include 4-trisphenacylsulfone, mesitylphenacylsulfone, bis (phenylsulfonyl) methane, and the like.

スルホナート化合物:
スルホナート化合物の具体例としては、アルキルスルホナート、アルキルイミド=スルホナート、ハロアルキルスルホナート、アリールスルホナートおよびイミノスルホナートが挙げられる。
Sulfonate compounds:
Specific examples of the sulfonate compound include alkyl sulfonate, alkylimide sulfonate, haloalkyl sulfonate, aryl sulfonate, and imino sulfonate.

スルホン化合物の具体例としては、ベンゾイントシラート、ピロガロールのトリス(トリフルオロメタンスルホナート)、ニトロベンジル−9,10−ジエトキシアントラセン−2−スルホナート、トリフルオロメタンスルホニルビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシイミド、ノナフルオロ−n−ブタンスルホニルビシクロ[2.2.1]−ヘプト−5−エン−2,3−ジカルボキシイミド、ペルフルオロ−n−オクタンスルホニルビシクロ[2.2.1]−ヘプト−5−エン−2,3−ジカルボキシイミド、N−ヒドロキシスクシンイミド=トリフルオロメタンスルホナート、N−ヒドロキシスクシンイミド=ノナフルオロ−n−ブタンスルホナート、N−ヒドロキシスクシンイミド=ペルフルオロ−n−オクタンスルホナート、1,8−ナフタレンジカルボン酸イミド=トリフルオロメタンスルホナート、1,8−ナフタレンジカルボン酸イミド=ノナフルオロ−n−ブタンスルホナートおよび1,8−ナフタレンジカルボン酸イミド=ペルフルオロ−n−オクタンスルホナートが挙げられる。   Specific examples of the sulfone compound include benzoin tosylate, pyrogallol tris (trifluoromethanesulfonate), nitrobenzyl-9,10-diethoxyanthracene-2-sulfonate, trifluoromethanesulfonylbicyclo [2.2.1] hept- 5-ene-2,3-dicarboximide, nonafluoro-n-butanesulfonylbicyclo [2.2.1] -hept-5-ene-2,3-dicarboximide, perfluoro-n-octanesulfonylbicyclo [2 2.1] -Hept-5-ene-2,3-dicarboximide, N-hydroxysuccinimide = trifluoromethanesulfonate, N-hydroxysuccinimide = nonafluoro-n-butanesulfonate, N-hydroxysuccinimide = perfluoro- n-oct 1,8-naphthalenedicarboxylic imide = trifluoromethanesulfonate, 1,8-naphthalenedicarboxylic imide = nonafluoro-n-butane sulfonate and 1,8-naphthalenedicarboxylic imide = perfluoro-n-octane sulfonate Can be mentioned.

これらの酸発生剤の中で、次の化合物が特に興味深い:ジフェニルヨードニウム=トリフルオロメタンスルホナート、ジフェニルヨードニウム=ノナフルオロ−n−ブタンスルホナート、ジフェニルヨードニウム=ペルフルオロ−n−オクタンスルホナート、ビス(4−t−ブチルフェニル)ヨードニウム=トリフルオロメタンスルホナート、ビス(4−t−ブチルフェニル)ヨードニウム=ノナフルオロ−n−ブタンスルホナート、ビス(4−t−ブチルフェニル)ヨードニウム=ペルフルオロ−n−オクタンスルホナート、シクロヘキシル−2−オキソシクロヘキシル−メチルスルホニウム=トリフルオロメタンスルホナート、ジシクロヘキシル−2−オキソシクロヘキシルスルホニウム=トリフルオロメタンスルホナート、2−オキソシクロヘキシルジメチルスルホニウム=トリフルオロメタンスルホナート、トリフルオロメタンスルホニルビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシイミド、ノナフルオロ−n−ブタンスルホニルビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシイミド、ペルフルオロ−n−オクタンスルホニルビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシイミド、N−ヒドロキシスクシンイミド=トリフルオロメタンスルホナート、N−ヒドロキシスクシンイミド=ノナフルオロ−n−ブタンスルホナート、N−ヒドロキシスクシンイミド=ペルフルオロ−n−オクタンスルホナートおよび1,8−ナフタレンジカルボン酸イミド=トリフルオロメタンスルホナート。   Among these acid generators, the following compounds are of particular interest: diphenyliodonium = trifluoromethanesulfonate, diphenyliodonium = nonafluoro-n-butanesulfonate, diphenyliodonium = perfluoro-n-octanesulfonate, bis (4- t-butylphenyl) iodonium = trifluoromethanesulfonate, bis (4-t-butylphenyl) iodonium = nonafluoro-n-butanesulfonate, bis (4-t-butylphenyl) iodonium = perfluoro-n-octanesulfonate, Cyclohexyl-2-oxocyclohexyl-methylsulfonium = trifluoromethanesulfonate, dicyclohexyl-2-oxocyclohexylsulfonium = trifluoromethanesulfonate, 2-oxo Chlohexyldimethylsulfonium = trifluoromethanesulfonate, trifluoromethanesulfonylbicyclo [2.2.1] hept-5-ene-2,3-dicarboximide, nonafluoro-n-butanesulfonylbicyclo [2.2.1] hept -5-ene-2,3-dicarboximide, perfluoro-n-octanesulfonylbicyclo [2.2.1] hept-5-ene-2,3-dicarboximide, N-hydroxysuccinimide = trifluoromethanesulfonate N-hydroxysuccinimide = nonafluoro-n-butanesulfonate, N-hydroxysuccinimide = perfluoro-n-octanesulfonate and 1,8-naphthalenedicarboxylic acidimide = trifluoromethanesulfonate.

フォトレジスト組成物において、酸発生剤(B)を、個々にまたは二以上の酸発生剤と組み合わせた形のいずれかで用いることができる。   In the photoresist composition, the acid generator (B) can be used either individually or in combination with two or more acid generators.

本発明のフォトレジスト組成物において、フォトレジストの感度と現像性を保証するために、酸発生剤(B)の量は通常、100重量部のポリマー樹脂(A)に対して、または100重量部の樹脂(A1)および樹脂(A2)の混合物に対して、約0.1〜約20重量部の間であり、より好ましくは約0.5〜約10重量部である。酸発生剤(B)の量が約0.1重量部未満である場合、得られるレジストの感度および現像性は低下するだろう。酸発生剤の量が20重量部を超える場合、フォトレジスト組成物の放射に対する透明性が低下するため、長方形のレジストパターンを得ることは難しいだろう。   In the photoresist composition of the present invention, in order to guarantee the sensitivity and developability of the photoresist, the amount of the acid generator (B) is usually based on 100 parts by weight of the polymer resin (A) or 100 parts by weight. Is about 0.1 to about 20 parts by weight, more preferably about 0.5 to about 10 parts by weight, based on the resin (A1) and resin (A2) mixture. If the amount of acid generator (B) is less than about 0.1 parts by weight, the sensitivity and developability of the resulting resist will be reduced. If the amount of acid generator exceeds 20 parts by weight, it will be difficult to obtain a rectangular resist pattern because the transparency of the photoresist composition to radiation is reduced.

(添加剤)
酸拡散制御剤、酸解離基を有する脂環式添加剤、界面活性剤および増感剤などの種々のタイプの添加剤を、本発明の放射線感受性ポリマー樹脂のフォトレジスト組成物に、必要に応じて添加することができる。
(Additive)
Various types of additives such as an acid diffusion controller, an alicyclic additive having an acid dissociation group, a surfactant, and a sensitizer are added to the photoresist composition of the radiation-sensitive polymer resin of the present invention as necessary. Can be added.

酸拡散制御剤は、露光時に酸発生剤(B)から生成する酸の、フォトレジストフィルム組成物における拡散現象をコントロールする。その結果、露光されなかった領域において望まれていない化学反応が妨害される。   The acid diffusion control agent controls the diffusion phenomenon in the photoresist film composition of the acid generated from the acid generator (B) during exposure. As a result, undesired chemical reactions are prevented in the unexposed areas.

酸拡散制御剤を添加することによって、得られる放射線感受性樹脂のフォトレジスト組成物の貯蔵安定性と、レジストの解像度とをさらに改善する。さらに、酸拡散制御剤を添加することは、露光と現像との間の露光後遅延(PED)の変化に起因するレジストパターンの線幅の変化を抑制することに役立つ。それによって、加工安定性が極めて優れた組成物を得ることができる。   The addition of an acid diffusion control agent further improves the storage stability of the resulting radiation sensitive resin photoresist composition and the resolution of the resist. Furthermore, the addition of an acid diffusion controller is useful for suppressing changes in the line width of the resist pattern due to changes in post-exposure delay (PED) between exposure and development. Thereby, a composition having extremely excellent processing stability can be obtained.

酸拡散制御剤として、露光の間またはレジストパターンを形成するための加熱の間にその化合物の塩基性が変化しないという窒素含有有機化合物が好ましい。   As the acid diffusion control agent, a nitrogen-containing organic compound that does not change the basicity of the compound during exposure or heating for forming a resist pattern is preferable.

このような窒素含有有機化合物の具体例としては、次の式(6):
N(R16
ここで、それぞれのR16は独立して、水素原子、置換されたもしくは非置換の、直鎖、分枝鎖もしくは環状のアルキル基、置換されたもしくは非置換のアリール基、または置換されたもしくは非置換のアラルキル基であり得る
の化合物(以下、「窒素含有化合物(a)」と呼ぶ)、分子内に二つの窒素原子を有する化合物(以下、「窒素含有化合物(b)」と称する);分子内に三つ以上の窒素原子を有するポリアミノ化合物およびポリマー(以下、集合的に「窒素含有化合物(c)」と称する);およびアミド基含有化合物、尿素化合物ならびにその他の窒素含有ヘテロ環状化合物が挙げられる。
Specific examples of such nitrogen-containing organic compounds include the following formula (6):
N (R 16 ) 3
Wherein each R 16 is independently a hydrogen atom, a substituted or unsubstituted, straight, branched or cyclic alkyl group, a substituted or unsubstituted aryl group, or substituted or A compound which may be an unsubstituted aralkyl group (hereinafter referred to as “nitrogen-containing compound (a)”), a compound having two nitrogen atoms in the molecule (hereinafter referred to as “nitrogen-containing compound (b)”); Polyamino compounds and polymers having three or more nitrogen atoms in the molecule (hereinafter collectively referred to as “nitrogen-containing compounds (c)”); and amide group-containing compounds, urea compounds and other nitrogen-containing heterocyclic compounds Can be mentioned.

窒素含有化合物(a)の具体例としては、n−ヘキシルアミン、n−ヘプチルアミン、n−オクチルアミン、n−ノニルアミン、n−デシルアミンおよびシクロヘキシルアミンなどのモノ(シクロ)アルキルアミン;ジ−n−ブチルアミン、ジ−n−ペンチルアミン、ジ−n−ヘキシルアミン、ジ−n−ヘプチルアミン、ジ−n−オクチルアミン、ジ−n−ノニルアミン、ジ−n−デシルアミン、シクロヘキシルメチルアミンおよびジシクロヘキシルアミンなどのジ(シクロ)アルキルアミン;トリエチルアミン、トリ−n−プロピルアミン、トリ−n−ブチルアミン、トリ−n−ペンチルアミン、トリ−n−ヘキシルアミン、トリ−n−ヘプチルアミン、トリ−n−オクチルアミン、トリ−n−ノニルアミン、トリ−n−デシルアミン、シクロヘキシルジメチルアミン、メチルジシクロヘキシルアミンおよびトリシクロヘキシルアミンなどのトリ(シクロ)アルキルアミン;ならびにアニリン、N−メチルアニリン、N,N−ジメチルアニリン、2−メチルアニリン、3−メチルアニリン、4−メチルアニリン、4−ニトロアニリン、ジフェニルアミン、トリフェニルアミンおよびナフチルアミンなどの芳香族アミンが挙げられる。   Specific examples of the nitrogen-containing compound (a) include mono- (cyclo) alkylamines such as n-hexylamine, n-heptylamine, n-octylamine, n-nonylamine, n-decylamine and cyclohexylamine; di-n- Such as butylamine, di-n-pentylamine, di-n-hexylamine, di-n-heptylamine, di-n-octylamine, di-n-nonylamine, di-n-decylamine, cyclohexylmethylamine and dicyclohexylamine Di (cyclo) alkylamine; triethylamine, tri-n-propylamine, tri-n-butylamine, tri-n-pentylamine, tri-n-hexylamine, tri-n-heptylamine, tri-n-octylamine, Tri-n-nonylamine, tri-n-decylamine, Tri (cyclo) alkylamines such as cyclohexyldimethylamine, methyldicyclohexylamine and tricyclohexylamine; and aniline, N-methylaniline, N, N-dimethylaniline, 2-methylaniline, 3-methylaniline, 4-methylaniline , Aromatic amines such as 4-nitroaniline, diphenylamine, triphenylamine and naphthylamine.

窒素含有化合物(b)の具体例としては、エチレンジアミン、N,N,N’,N’−テトラメチルエチレンジアミン、テトラメチレンジアミン、ヘキサメチレンジアミン、4,4’−ジアミノジフェニルメタン、4,4’−ジアミノジフェニルエーテル、4,4’−ジアミノベンゾフェノン、4,4’−ジアミノジフェニルアミン、2,2−ビス(4−アミノフェニル)プロパン、2−(3−アミノフェニル)−2−(4−アミノフェニル)プロパン、2−(4−アミノフェニル)−2−(3−ヒドロキシフェニル)プロパン、2−(4−アミノフェニル)−2−(4−ヒドロキシフェニル)プロパン、1,4−ビス[1−(4−アミノフェニル)−1−メチルエチル]ベンゼン、1,3−ビス[1−(4−アミノフェニル)−1−メチルエチル]ベンゼン、ビス(2−ジメチルアミノエチル)エーテルおよびビス(2−ジエチルアミノエチル)エーテルが挙げられる。   Specific examples of the nitrogen-containing compound (b) include ethylenediamine, N, N, N ′, N′-tetramethylethylenediamine, tetramethylenediamine, hexamethylenediamine, 4,4′-diaminodiphenylmethane, 4,4′-diamino. Diphenyl ether, 4,4′-diaminobenzophenone, 4,4′-diaminodiphenylamine, 2,2-bis (4-aminophenyl) propane, 2- (3-aminophenyl) -2- (4-aminophenyl) propane, 2- (4-aminophenyl) -2- (3-hydroxyphenyl) propane, 2- (4-aminophenyl) -2- (4-hydroxyphenyl) propane, 1,4-bis [1- (4-amino) Phenyl) -1-methylethyl] benzene, 1,3-bis [1- (4-aminophenyl) -1-methyl ester Le] benzene, bis (2-dimethylaminoethyl) ether and bis (2-diethylaminoethyl) ether.

窒素含有化合物(c)の具体例としては、ポリエチレンイミン、ポリアリルアミンおよび2−ジメチルアミノエチルアクリルアミドのポリマーが挙げられる。   Specific examples of the nitrogen-containing compound (c) include polymers of polyethyleneimine, polyallylamine and 2-dimethylaminoethylacrylamide.

アミド基含有化合物の具体例としては、N−t−ブトキシカルボニル−ジ−n−オクチルアミン、N−t−ブトキシカルボニル−ジ−n−ノニルアミン、N−t−ブトキシカルボニル−ジ−n−デシルアミン、N−t−ブトキシカルボニル−ジシクロヘキシルアミン、N−t−ブトキシカルボニル−1−アダマンチルアミン、N−t−ブトキシカルボニル−N−メチル−1−アダマンチルアミン、N,N−ジ−t−ブトキシカルボニル−1−アダマンチルアミン、N,N−ジ−t−ブトキシカルボニル−N−メチル−1−アダマンチルアミン、N−t−ブトキシカルボニル−4,4’−ジアミノジフェニルメタン、N,N’−ジ−t−ブトキシカルボニルヘキサメチレンジアミン、N,N,N’,N’−テトラ−t−ブトキシカルボニルヘキサメチレンジアミン、N,N’−ジ−t−ブトキシカルボニル−1,7−ジアミノヘプタン、N,N’−ジ−t−ブトキシカルボニル−1,8−ジアミノオクタン、N,N’−ジ−t−ブトキシカルボニル−1,9−ジアミノノナン、N,N’−ジ−t−ブトキシカルボニル−1,10−ジアミノデカン、N,N’−ジ−t−ブトキシカルボニル−1,12−ジアミノドデカン、N,N’−ジ−t−ブトキシカルボニル−4,4’−ジアミノジフェニルメタン、N−t−ブトキシカルボニルベンズイミダゾール、N−t−ブトキシカルボニル−2−メチルベンズイミダゾール、N−t−ブトキシカルボニル−2−フェニルベンズイミダゾールなどのN−t−ブトキシカルボニル基含有アミノ化合物、ホルムアミド、N−メチルホルムアミド、N,N−ジメチルホルムアミド、アセトアミド、N−メチルアセトアミド、N,N−ジメチルアセトアミド、プロピオンアミド、ベンズアミド、ピロリドンおよびN−メチルピロリドンが挙げられる。   Specific examples of the amide group-containing compound include Nt-butoxycarbonyl-di-n-octylamine, Nt-butoxycarbonyl-di-n-nonylamine, Nt-butoxycarbonyl-di-n-decylamine, Nt-butoxycarbonyl-dicyclohexylamine, Nt-butoxycarbonyl-1-adamantylamine, Nt-butoxycarbonyl-N-methyl-1-adamantylamine, N, N-di-t-butoxycarbonyl-1 -Adamantylamine, N, N-di-t-butoxycarbonyl-N-methyl-1-adamantylamine, Nt-butoxycarbonyl-4,4'-diaminodiphenylmethane, N, N'-di-t-butoxycarbonyl Hexamethylenediamine, N, N, N ′, N′-tetra-t-butoxycarbonyl hex Methylenediamine, N, N′-di-t-butoxycarbonyl-1,7-diaminoheptane, N, N′-di-t-butoxycarbonyl-1,8-diaminooctane, N, N′-di-t- Butoxycarbonyl-1,9-diaminononane, N, N′-di-t-butoxycarbonyl-1,10-diaminodecane, N, N′-di-t-butoxycarbonyl-1,12-diaminododecane, N, N '-Di-t-butoxycarbonyl-4,4'-diaminodiphenylmethane, Nt-butoxycarbonylbenzimidazole, Nt-butoxycarbonyl-2-methylbenzimidazole, Nt-butoxycarbonyl-2-phenylbenz Nt-butoxycarbonyl group-containing amino compounds such as imidazole, formamide, N-methylformamide, , N- dimethylformamide, acetamide, N- methylacetamide, N, N- dimethylacetamide, propionamide, benzamide, and a pyrrolidone and N- methylpyrrolidone.

尿素化合物の具体例としては、尿素、メチル尿素、1,1−ジメチル尿素、1,3−ジメチル尿素、1,1,3,3−テトラメチル尿素、1,3−ジフェニル尿素およびトリ−n−ブチルチオ尿素が挙げられる。   Specific examples of the urea compound include urea, methylurea, 1,1-dimethylurea, 1,3-dimethylurea, 1,1,3,3-tetramethylurea, 1,3-diphenylurea and tri-n-. Butyl thiourea is mentioned.

窒素含有ヘテロ環状化合物の具体例としては:イミダゾール、4−メチルイミダゾール、4−メチル−2−フェニルイミダゾール、ベンズイミダゾールおよび2−フェニルベンズイミダゾールなどのイミダゾール類;ピリジン、2−メチルピリジン、4−メチルピリジン、2−エチルピリジン、4−エチルピリジン、2−フェニルピリジン、4−フェニルピリジン、2−メチル−4−フェニルピリジン、ニコチン、ニコチン酸、ニコチンアミド、キノリン、4−ヒドロキシキノリン、8−オキシキノリンおよびアクリジンなどのピリジン類;ピペラジンおよび1−(2−ヒドロキシエチル)ピペラジンなどのピペラジン類;ピラジン、ピラゾール、ピリダジン、キノキサリン、プリン、ピロリジン、ピペリジン、3−ピペリジノン−1,2−プロパンジオール、モルホリン、4−メチルモルホリン、1,4−ジメチルピペラジンならびに1,4−ジアザビシクロ[2.2.2]オクタンが挙げられる。   Specific examples of nitrogen-containing heterocyclic compounds include: imidazoles such as imidazole, 4-methylimidazole, 4-methyl-2-phenylimidazole, benzimidazole and 2-phenylbenzimidazole; pyridine, 2-methylpyridine, 4-methyl Pyridine, 2-ethylpyridine, 4-ethylpyridine, 2-phenylpyridine, 4-phenylpyridine, 2-methyl-4-phenylpyridine, nicotine, nicotinic acid, nicotinamide, quinoline, 4-hydroxyquinoline, 8-oxyquinoline And pyridines such as acridine; piperazines such as piperazine and 1- (2-hydroxyethyl) piperazine; pyrazine, pyrazole, pyridazine, quinoxaline, purine, pyrrolidine, piperidine, 3-piperidinone-1,2- Propanediol, morpholine, 4-methylmorpholine, 1,4-dimethylpiperazine and 1,4-diazabicyclo [2.2.2] octane.

窒素含有有機化合物の中で、窒素含有化合物(a)、アミド基含有化合物、窒素含有ヘテロ環状化合物が特に興味深い。   Of the nitrogen-containing organic compounds, nitrogen-containing compounds (a), amide group-containing compounds, and nitrogen-containing heterocyclic compounds are particularly interesting.

酸拡散制御剤を、個々にまたは二以上の混合物としてのいずれかで用いることができる。   Acid diffusion control agents can be used either individually or as a mixture of two or more.

酸解離性基を有する脂環式添加剤によって、ドライエッチング耐性、パターン形状および基板への密着性が改善される。   The alicyclic additive having an acid dissociable group improves dry etching resistance, pattern shape, and adhesion to the substrate.

このような脂環式添加剤の具体例としては:
t−ブチル−1−アダマンタンカルボキシラート、t−ブトキシカルボニルメチル−1−アダマンタンカルボキシラート、ジ−t−ブチル−1,3−アダマンタンジカルボキシラート、t−ブチル−1−アダマンタンアセタート、t−ブトキシカルボニルメチル−1−アダマンタンアセタートおよびジ−t−ブチル−1,3−アダマンタンジアセタートなどのアダマンタン誘導体;t−ブチルデオキシコラート、t−ブトキシカルボニルメチルデオキシコラート、2−エトキシエチルデオキシコラート、2−シクロヘキシルオキシエチルデオキシコラート、3−オキソシクロヘキシルデオキシコラート、テトラヒドロピラニルデオキシコラートおよびメバロノラクトンデオキシコラートなどのデオキシコラート類;ならびにt−ブチルリトコラート、t−ブトキシカルボニルメチルリトコラート、2−エトキシエチルリトコラート、2−シクロヘキシルオキシエチルリトコラート、3−オキソシクロヘキシルリトコラート、テトラヒドロピラニルリトコラートおよびメバロノラクトンリトコラートなどのリトコラート類が挙げられる。
Specific examples of such alicyclic additives include:
t-butyl-1-adamantane carboxylate, t-butoxycarbonylmethyl-1-adamantane carboxylate, di-t-butyl-1,3-adamantane dicarboxylate, t-butyl-1-adamantane acetate, t-butoxy Adamantane derivatives such as carbonylmethyl-1-adamantane acetate and di-t-butyl-1,3-adamantane diacetate; t-butyldeoxycholate, t-butoxycarbonylmethyldeoxycholate, 2-ethoxyethyldeoxycholate, 2 Deoxycholates such as cyclohexyloxyethyl deoxycholate, 3-oxocyclohexyl deoxycholate, tetrahydropyranyl deoxycholate and mevalonolactone deoxycholate; and t-butyllitho And lithocholates such as t-butoxycarbonylmethyl litholate, 2-ethoxyethyl lithocholate, 2-cyclohexyloxyethyl lithocolat, 3-oxocyclohexyl lithocholate, tetrahydropyranyl lithocholate and mevalonolactone lithocholate It is done.

脂環式添加剤を、個々にまたは二以上の混合物としてのいずれかで用いることができる。   The alicyclic additives can be used either individually or as a mixture of two or more.

多くの場合、界面活性剤によって適用範囲、ストライエーション、現像性などが改善される。   In many cases, surfactants improve application range, striation, developability, and the like.

界面活性剤の適切な具体例としては、ポリオキシエチレンラウリルエーテル、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンオレイルエーテル、ポリオキシエチレンn−オクチルフェニルエーテル、ポリオキシエチレンn−ノニルフェニルエーテル、ポリエチレングリコールジラウラートおよびポリエチレングリコールジステアラートなどのノニオン界面活性剤;ならびにKP341(信越化学工業社製)、POLYFLOW No. 75、No. 95(共栄社化学社製)、FTOP EF301、EF303、EF352(Tohkem Products社製)、MEGAFAC F171、F173(大日本インキ化学工業社製)、Fluorad FC430、FC431(住友スリーエム社製)、Asahi Guard AG710およびSurflon S−382、SC−101、SC−102、SC−103、SC−104、SC−105、SC−106(旭硝子社製)などの市販の製品が挙げられる。   Suitable specific examples of the surfactant include polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene oleyl ether, polyoxyethylene n-octylphenyl ether, polyoxyethylene n-nonylphenyl ether, polyethylene glycol diester. Nonionic surfactants such as laurate and polyethylene glycol distearate; and KP341 (manufactured by Shin-Etsu Chemical Co., Ltd.), POLYFLOW No. 75, no. 95 (manufactured by Kyoeisha Chemical Co., Ltd.), FTOP EF301, EF303, EF352 (manufactured by Tohchem Products), MEGAFAC F171, F173 (manufactured by Dainippon Ink & Chemicals), Fluorad FC430, FC431 (manufactured by Sumitomo 3M), Asahi G7 Examples include commercially available products such as Surflon S-382, SC-101, SC-102, SC-103, SC-104, SC-105, and SC-106 (manufactured by Asahi Glass Co., Ltd.).

界面活性剤を、個々にまたは二以上の混合物としてのいずれかで用いることができる。   Surfactants can be used either individually or as a mixture of two or more.

増感剤は放射エネルギーを吸収し、エネルギーを酸発生剤(B)に移動させる。その結果、露光時に生じる酸の量が増加する。従って、増感剤によって、放射線感受性樹脂組成物の見かけの感度が改善される。   The sensitizer absorbs radiant energy and transfers energy to the acid generator (B). As a result, the amount of acid generated during exposure increases. Thus, the sensitizer improves the apparent sensitivity of the radiation sensitive resin composition.

増感剤の具体例としては、アセトフェノン、ベンゾフェノン、ナフタレン、ビアセチル、エオシン、ローズベンガル、ピレン、アントラセンおよびフェノチアジンなどが挙げられる。   Specific examples of the sensitizer include acetophenone, benzophenone, naphthalene, biacetyl, eosin, rose bengal, pyrene, anthracene and phenothiazine.

増感剤を、個々にまたは二以上の混合物としてのいずれかで用いることができる。   Sensitizers can be used either individually or as a mixture of two or more.

染料または顔料を添加することは、露光された領域における潜像の視覚化に役立ち、その結果、露光の間のハレーションの影響を低下させる。密着性改善剤の使用によって、基板への密着性が改善される。   The addition of dyes or pigments helps visualize the latent image in the exposed areas, thus reducing the halation effect during exposure. By using the adhesion improver, the adhesion to the substrate is improved.

その他の添加剤としては、下記のアルカリ可溶性樹脂、酸解離性保護基を含む低分子量のアルカリ可溶性制御剤、ハレーション阻害剤、保存安定剤、消泡剤などが本発明の範囲に含まれるものと考えられる。   As other additives, the following alkali-soluble resins, low-molecular weight alkali-soluble control agents containing acid-dissociable protecting groups, halation inhibitors, storage stabilizers, antifoaming agents, and the like are included in the scope of the present invention. Conceivable.

(組成物溶液の調製:)
全固体の含有量が通常は約5〜約50重量%の間、好ましくは約10〜約25重量%の間となるようにフォトレジスト組成物を溶媒で溶解し、そして孔の直径が例えば約0.2μmのフィルターを用いてこの溶液をろ過することによって、本発明の放射線感受性フォトレジスト樹脂組成物を組成物溶液に変える。
(Preparation of composition solution :)
The photoresist composition is dissolved in a solvent such that the total solids content is typically between about 5 and about 50% by weight, preferably between about 10 and about 25% by weight, and the pore diameter is, for example, about By filtering this solution using a 0.2 μm filter, the radiation sensitive photoresist resin composition of the present invention is converted into a composition solution.

フォトレジスト組成物溶液の調製に有用な溶媒の具体例としては、2−ブタノン、2−ペンタノン、3−メチル−2−ブタノン、2−ヘキサノン、4−メチル−2−ペンタノン、3−メチル−2−ペンタノン、3,3−ジメチル−2−ブタノン、2−ヘプタノンおよび2−オクタノンなどの直鎖または分枝鎖のケトン;シクロペンタノン、3−メチルシクロペンタノン、シクロヘキサノン、2−メチルシクロヘキサノン、2,6−ジメチルシクロヘキサノンおよびイソホロンなどの環状ケトン;プロピレングリコールモノメチルエーテルアセタート、プロピレングリコールモノエチルエーテルアセタート、プロピレングリコールモノ−n−プロピルエーテルアセタート、プロピレングリコールモノ−i−プロピルエーテルアセタート、プロピレングリコールモノ−n−ブチルエーテルアセタート、プロピレングリコールモノ−i−ブチルエーテルアセタート、プロピレングリコールモノ−sec−ブチルエーテルアセタートおよびプロピレングリコールモノ−t−ブチルエーテルアセタートなどのプロピレングリコールモノアルキルエーテルアセタート;メチル−2−ヒドロキシプロピオナート、エチル−2−ヒドロキシプロピオナート、n−プロピル−2−ヒドロキシプロピオナート、i−プロピル−2−ヒドロキシプロピオナート、n−ブチル−2−ヒドロキシプロピオナート、i−ブチル−2−ヒドロキシプロピオナート、sec−ブチル−2−ヒドロキシプロピオナートおよびt−ブチル−2−ヒドロキシプロピオナートなどのアルキル−2−ヒドロキシプロピオナート;メチル−3−メトキシプロピオナート、エチル−3−メトキシプロピオナート、メチル−3−エトキシプロピオナートおよびエチル−3−エトキシプロピオナートなどのアルキル−3−アルコキシプロピオナート;ならびにn−プロピルアルコール、i−プロピルアルコール、n−ブチルアルコール、t−ブチルアルコール、シクロヘキサノール、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノ−n−プロピルエーテル、エチレングリコールモノ−n−ブチルエーテル、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジ−n−プロピルエーテル、ジエチレングリコールジ−n−ブチルエーテル、エチレングリコールモノメチルエーテルアセタート、エチレングリコールモノエチルエーテルアセタート、エチレングリコールモノ−n−プロピルエーテルアセタート、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノ−n−プロピルエーテル、トルエン、キシレン、2−ヒドロキシ−2−メチルエチルプロピオナート、エチルエトキシアセタート、エチルヒドロキシアセタート、メチル−2−ヒドロキシ−3−メチルブチラート、3−メトキシブチルアセタート、3−メチル−3−メトキシブチルアセタート、3−メチル−3−メトキシブチルプロピオナート、3−メチル−3−メトキシブチルブチラート、酢酸エチル、酢酸n−プロピル、酢酸n−ブチル、メチルアセトアセタート、エチルアセトアセタート、ピルビン酸メチル、ピルビン酸エチル、N−メチルピロリドン、N,N−ジメチルホルムアミド、N,N−ジメチルアセトアミド、ベンジルエチルエーテル、ジ−n−ヘキシルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、カプロン酸、カプリル酸、1−オクタノール、1−ノナノール、ベンジルアルコール、酢酸ベンジル、安息香酸エチル、シュウ酸ジエチル、マレイン酸ジエチル、ガンマ−ブチロラクトン、エチレンカルボナートおよびプロピレンカルボナートなどのその他の溶媒が挙げられる。   Specific examples of solvents useful for preparing the photoresist composition solution include 2-butanone, 2-pentanone, 3-methyl-2-butanone, 2-hexanone, 4-methyl-2-pentanone, and 3-methyl-2. Linear or branched ketones such as pentanone, 3,3-dimethyl-2-butanone, 2-heptanone and 2-octanone; cyclopentanone, 3-methylcyclopentanone, cyclohexanone, 2-methylcyclohexanone, 2 Cyclic ketones such as 1,6-dimethylcyclohexanone and isophorone; propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, propylene glycol mono-n-propyl ether acetate, propylene glycol mono-i-propyl ether acetate, propylene Propylene glycol monoalkyl ether acetates such as ethylene glycol mono-n-butyl ether acetate, propylene glycol mono-i-butyl ether acetate, propylene glycol mono-sec-butyl ether acetate and propylene glycol mono-t-butyl ether acetate; methyl 2-hydroxypropionate, ethyl-2-hydroxypropionate, n-propyl-2-hydroxypropionate, i-propyl-2-hydroxypropionate, n-butyl-2-hydroxypropionate, alkyl-2-hydroxypropionates such as i-butyl-2-hydroxypropionate, sec-butyl-2-hydroxypropionate and t-butyl-2-hydroxypropionate; Alkyl-3-alkoxypropionates such as til-3-methoxypropionate, ethyl-3-methoxypropionate, methyl-3-ethoxypropionate and ethyl-3-ethoxypropionate; and n-propyl Alcohol, i-propyl alcohol, n-butyl alcohol, t-butyl alcohol, cyclohexanol, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol mono-n-propyl ether, ethylene glycol mono-n-butyl ether, diethylene glycol dimethyl ether , Diethylene glycol diethyl ether, diethylene glycol di-n-propyl ether, diethylene glycol di-n-butyl ether, ethylene glycol monomer Chill ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol mono-n-propyl ether acetate, propylene glycol monomethyl ether, propylene glycol monoethyl ether, propylene glycol mono-n-propyl ether, toluene, xylene, 2- Hydroxy-2-methylethyl propionate, ethyl ethoxy acetate, ethyl hydroxy acetate, methyl-2-hydroxy-3-methyl butyrate, 3-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate, 3-methyl-3-methoxybutyl propionate, 3-methyl-3-methoxybutyl butyrate, ethyl acetate, n-propyl acetate, n-butyl acetate, methyl acetoacetate, ethyl aceto Cetrate, methyl pyruvate, ethyl pyruvate, N-methylpyrrolidone, N, N-dimethylformamide, N, N-dimethylacetamide, benzyl ethyl ether, di-n-hexyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, capron Other solvents such as acid, caprylic acid, 1-octanol, 1-nonanol, benzyl alcohol, benzyl acetate, ethyl benzoate, diethyl oxalate, diethyl maleate, gamma-butyrolactone, ethylene carbonate and propylene carbonate .

溶媒を、個々にまたは二以上の混合物としてのいずれかで用いることができる。   The solvents can be used either individually or as a mixture of two or more.

直鎖または分枝鎖のケトン、環状ケトン、プロピレングリコールモノアルキルエーテルアセタート、アルキル−2−ヒドロキシプロピオナート、アルキル−3−アルコキシプロピオナート、ガンマ−ブチロラクトンなどを使用することは、特に適切である。   It is particularly suitable to use linear or branched ketones, cyclic ketones, propylene glycol monoalkyl ether acetates, alkyl-2-hydroxypropionates, alkyl-3-alkoxypropionates, gamma-butyrolactone, etc. It is.

(レジストパターンの形成)
本発明の放射線感受性フォトレジスト樹脂組成物は、化学増幅レジストとして有用である。
(Formation of resist pattern)
The radiation sensitive photoresist resin composition of the present invention is useful as a chemically amplified resist.

化学増幅レジストにおいては、樹脂(A)における酸解離性基は、エネルギーへの露光時に酸発生剤(B)から生成する酸の作用によって解離する。その結果、カルボキシル基が生じる。結果として、レジストの露光された部分のアルカリ性の現像液中での溶解性が向上し、それによって、露光された部分がアルカリ性の現像液で溶解して除去され、ポジティブトーンのレジストパターンが生じる。   In the chemically amplified resist, the acid dissociable group in the resin (A) is dissociated by the action of an acid generated from the acid generator (B) upon exposure to energy. As a result, a carboxyl group is generated. As a result, the solubility of the exposed portion of the resist in an alkaline developer is improved, whereby the exposed portion is dissolved and removed by the alkaline developer, resulting in a positive tone resist pattern.

例えばシリコンウエハー、または回転塗布、成型塗布およびロール塗布などのレジストフィルムを形成するための適切な適用方法を用いてアルミニウムでコーティングしたウエハーなどの基板に、フォトレジスト組成物溶液を添加することによって、本発明の放射線感受性フォトレジスト樹脂組成物からレジストパターンを形成する。次いで、レジストフィルムを必要に応じてプリベーク(以下、「PB」と呼ぶ)し、そして露光して所定のレジストパターンを形成させる。露光用に放射を用いるために、酸発生剤(B)のタイプに応じて可視光線、紫外線、遠紫外線、X線、電子線などを適切に選択する。ArFエキシマレーザー(波長:193nm)、KrFエキシマレーザー(波長:248nm)およびFエキシマレーザー(波長:157nm)などの遠紫外線を利用することが特に好ましい。 For example, by adding a photoresist composition solution to a substrate such as a silicon wafer or a wafer coated with aluminum using a suitable application method for forming a resist film such as spin coating, mold coating and roll coating. A resist pattern is formed from the radiation-sensitive photoresist resin composition of the present invention. Next, the resist film is pre-baked (hereinafter referred to as “PB”) as necessary and exposed to form a predetermined resist pattern. In order to use radiation for exposure, visible light, ultraviolet light, far ultraviolet light, X-rays, electron beams, and the like are appropriately selected according to the type of the acid generator (B). It is particularly preferable to use far ultraviolet rays such as ArF excimer laser (wavelength: 193 nm), KrF excimer laser (wavelength: 248 nm), and F 2 excimer laser (wavelength: 157 nm).

本発明においては、露光後ベーク(以下、「PEB」と呼ぶ)を実施することが好ましい。PEBによって、酸解離性基の速やかな解離が可能になる。PEBのための加熱温度は通常、約30〜約200℃の間であり、好ましくは約50〜約170℃の間であるが、放射線感受性樹脂組成物の組成物に応じて加熱条件を変えることができる。   In the present invention, post-exposure baking (hereinafter referred to as “PEB”) is preferably performed. PEB allows for rapid dissociation of acid dissociable groups. The heating temperature for PEB is usually between about 30 and about 200 ° C., preferably between about 50 and about 170 ° C., but the heating conditions can be varied depending on the composition of the radiation sensitive resin composition. Can do.

本発明の放射線感受性樹脂組成物の可能性を最大限に発揮させるために、例えば日本国特許公報1994−12452号に記載されているように、有機または無機の反射防止フィルムを基板上に形成してもよい。さらに、周囲の雰囲気にある塩基性の不純物などの影響を抑制するために、日本国特許公報1993−188598号などに記載されているように、レジストフィルム上に保護フィルムを形成してもよい。これらの技術を組み合わせて用いてもよい。   In order to maximize the possibilities of the radiation-sensitive resin composition of the present invention, an organic or inorganic antireflection film is formed on a substrate as described in, for example, Japanese Patent Publication No. 1994-12452. May be. Further, a protective film may be formed on the resist film as described in Japanese Patent Publication No. 1993-188598 and the like in order to suppress the influence of basic impurities and the like in the surrounding atmosphere. You may use combining these techniques.

次いで、所定のレジストパターンを形成させるために、露光されたレジストフィルムをアルカリ性の現像液を用いて現像する。   Next, in order to form a predetermined resist pattern, the exposed resist film is developed using an alkaline developer.

現像に用いられるアルカリ性の現像液の具体例としては、水酸化ナトリウム、水酸化カリウム、炭酸ナトリウム、ケイ酸ナトリウム、メタケイ酸ナトリウム、アンモニア水、エチルアミン、n−プロピルアミン、ジエチルアミン、ジ−n−プロピルアミン、トリエチルアミン、メチルジエチルアミン、エチルジメチルアミン、トリエタノールアミン、水酸化テトラメチルアンモニウム、ピロール、ピペリジン、コリン、1,8−ジアザビシクロ−[5.4.0]−7−ウンデセンまたは1,5−ジアザビシクロ−[4.3.0]−5−ノネンなどの少なくとも一つのアルカリ化合物を水に溶解させることによって調製されるアルカリ水溶液が挙げられる。   Specific examples of the alkaline developer used for development include sodium hydroxide, potassium hydroxide, sodium carbonate, sodium silicate, sodium metasilicate, aqueous ammonia, ethylamine, n-propylamine, diethylamine, and di-n-propyl. Amine, triethylamine, methyldiethylamine, ethyldimethylamine, triethanolamine, tetramethylammonium hydroxide, pyrrole, piperidine, choline, 1,8-diazabicyclo- [5.4.0] -7-undecene or 1,5-diazabicyclo -Alkaline aqueous solution prepared by dissolving at least one alkali compound such as [4.3.0] -5-nonene in water.

アルカリ水溶液の濃度は一般的に、約10重量%以下である。アルカリ水溶液の濃度が10重量%を超えると、露光されなかった部分が現像液に溶解するかもしれない。   The concentration of the alkaline aqueous solution is generally about 10% by weight or less. When the concentration of the alkaline aqueous solution exceeds 10% by weight, the unexposed part may be dissolved in the developer.

有機溶媒をアルカリ水溶液に添加することができる。   An organic solvent can be added to the aqueous alkaline solution.

現像液に有用な有機溶媒の適切な具体例としては、アセトン、メチルエチルケトン、メチルi−ブチルケトン、シクロペンタノン、シクロヘキサノン、3−メチルシクロペンタノンおよび2,6−ジメチルシクロヘキサノンなどのケトン;メチルアルコール、エチルアルコール、n−プロピルアルコール、i−プロピルアルコール、n−ブチルアルコール、t−ブチルアルコール、シクロペンタノール、シクロヘキサノール、1,4−ヘキサンジオールおよび1,4−ヘキサンジメチロールなどのアルコール;テトラヒドロフランおよびジオキサンなどのエーテル;酢酸エチル、酢酸n−ブチルおよび酢酸i−アミルなどのエステル;トルエンおよびキシレンなどの芳香族炭化水素;フェノール、アセトニルアセトンならびにジメチルホルムアミドが挙げられる。   Suitable specific examples of organic solvents useful in the developer include acetone, methyl ethyl ketone, methyl i-butyl ketone, ketones such as cyclopentanone, cyclohexanone, 3-methylcyclopentanone and 2,6-dimethylcyclohexanone; methyl alcohol, Alcohols such as ethyl alcohol, n-propyl alcohol, i-propyl alcohol, n-butyl alcohol, t-butyl alcohol, cyclopentanol, cyclohexanol, 1,4-hexanediol and 1,4-hexanedimethylol; tetrahydrofuran and Ethers such as dioxane; esters such as ethyl acetate, n-butyl acetate and i-amyl acetate; aromatic hydrocarbons such as toluene and xylene; phenol, acetonylacetone and dimethylform Bromide, and the like.

有機溶媒を、個々にまたは二以上の混合物としてのいずれかで用いることができる。   Organic solvents can be used either individually or as a mixture of two or more.

用いられる有機溶媒の量は、好ましくはアルカリ水溶液の100体積%以下である。有機溶媒の量が100体積%を超える場合、現像性が低下するために、露光された部分が現像されないままで残ってしまうかもしれない。   The amount of the organic solvent used is preferably 100% by volume or less of the alkaline aqueous solution. When the amount of the organic solvent exceeds 100% by volume, the developability is deteriorated, and thus the exposed portion may remain undeveloped.

界面活性剤をアルカリ水溶液に添加することができる。   A surfactant can be added to the alkaline aqueous solution.

一般的に、アルカリ水溶液を用いて現像した後、レジストフィルムを水で洗浄する。   In general, after developing with an alkaline aqueous solution, the resist film is washed with water.

好ましい態様を参照して本発明を記載したが、当業者であれば、本発明の精神および範囲から逸脱することなく、形式上および詳細な変更がなされてもよいことを認識するだろう。   Although the present invention has been described with reference to preferred embodiments, workers skilled in the art will recognize that changes may be made in form and detail without departing from the spirit and scope of the invention.

Claims (21)

アクリル酸またはメタクリル酸ベースの、式:
Figure 2007526351
ここで、Rは水素原子またはメチル基を表し、それぞれのRは独立して、直鎖もしくは分枝鎖で、非置換のもしくは置換された、1〜4の炭素原子を有するアルキル基を表すか、または、橋されたもしくは非架橋で、非置換のもしくは置換された、4〜20の炭素原子を有する一価の脂環式炭化水素基を表し、但し任意の二つのR基が互いに、その二つのR基が結合する炭素原子と一緒になって、架橋されたもしくは非架橋で、非置換のもしくは置換された、4〜20の炭素原子を有する二価の脂環式炭化水素基を形成し、残りのR基は直鎖もしくは分枝鎖で、非置換のもしくは置換された、1〜4の炭素原子を有するアルキル基であ
を含むモノマー単位を含むポリマーであって、式:
Figure 2007526351
ここで、Rはそのフリーラジカル型として放出されるほど十分に不安定な基であり、Tは炭素またはリンであり、Zは可逆的なフリーラジカル付加分解反応に関するC=S二重結合を活性化させる任意の基である;
を有する連鎖移動剤(CTA)の存在下でのリビングフリーラジカルプロセス(LFRP)によって調製される、ポリマー。
Acrylic acid or methacrylic acid based formula:
Figure 2007526351
Here, R 1 represents a hydrogen atom or a methyl group, and each R 2 independently represents a linear or branched, unsubstituted or substituted alkyl group having 1 to 4 carbon atoms. or represents, or, in cross-linking have been or noncrosslinked, were or substituted unsubstituted, and display the alicyclic monovalent hydrocarbon radical having from 4 to 20 carbon atoms, provided that any two R 2 A divalent alicyclic ring having 4 to 20 carbon atoms, which is bridged or unbridged, unsubstituted or substituted, together with the carbon atom to which the two R 2 groups are bonded to each other can form formula hydrocarbon group, the remaining R 2 groups in straight or branched chain, unsubstituted or substituted, Ru alkyl der having 1 to 4 carbon atoms;
A polymer comprising monomer units comprising the formula:
Figure 2007526351
Where R x is a sufficiently unstable group to be released as its free radical form, T is carbon or phosphorus, Z is a C = S double bond for a reversible free radical addition decomposition reaction. Any group to be activated;
A polymer prepared by a living free radical process (LFRP) in the presence of a chain transfer agent (CTA) having
アクリル酸またはメタクリル酸ベースのポリマー樹脂が立体的に大きなエステル基を含む、請求項1に記載のポリマー。 The polymer of claim 1, wherein the acrylic or methacrylic acid based polymer resin comprises sterically large ester groups. 立体的に大きなエステル基が、5以上の炭素原子を有する単環式、二環式、三環式および四環式の非芳香環ならびにこれらの組み合わせからなる群より選択される、請求項2に記載のポリマー。 The sterically large ester group is selected from the group consisting of monocyclic, bicyclic, tricyclic and tetracyclic non-aromatic rings having 5 or more carbon atoms and combinations thereof. The polymer described. 立体的に大きなエステル基がその環構造内にラクトンを含む、請求項3に記載のポリマー。 4. A polymer according to claim 3, wherein the sterically large ester group comprises a lactone in its ring structure. ポリマーが、式:
Figure 2007526351
ここで、Eは非架橋もしくは架橋された、非置換のもしくは置換された脂環式炭化水素に由来する基を表し、Rは水素原子、トリフルオロメチル基またはメチル基である
を有する少なくとも一つの追加的な繰り返し単位をさらに含む、請求項1に記載のポリマー。
The polymer has the formula:
Figure 2007526351
Here, E represents a non-bridged or bridged group derived from an unsubstituted or substituted alicyclic hydrocarbon, and R 3 is at least one having a hydrogen atom, a trifluoromethyl group or a methyl group. The polymer of claim 1 further comprising two additional repeat units.
ポリマーのMwが約2,000〜30,000の間である、請求項1に記載のポリマー。 The polymer of claim 1, wherein the Mw of the polymer is between about 2,000 and 30,000. ポリマーの多分散度が約1.5以下である、請求項1に記載のポリマー。 The polymer of claim 1, wherein the polydispersity of the polymer is about 1.5 or less. Zが、ヒドロカルビル、置換されたヒドロカルビル、ヘテロ原子含有ヒドロカルビル、置換されたヘテロ原子含有ヒドロカルビルおよびそれらの組み合わせからなる群より選択される、請求項1に記載のポリマー。 The polymer of claim 1, wherein Z is selected from the group consisting of hydrocarbyl, substituted hydrocarbyl, heteroatom-containing hydrocarbyl, substituted heteroatom-containing hydrocarbyl, and combinations thereof. Zが、水素、必要に応じて置換されるアルキル、必要に応じて置換されるアリール、必要に応じて置換されるアルケニル、必要に応じて置換されるアシル、必要に応じて置換されるアロイル、必要に応じて置換されるアルコキシ、必要に応じて置換されるヘテロアリール、必要に応じて置換されるヘテロシクリル、必要に応じて置換されるアルキルスルホニル、必要に応じて置換されるアルキルスルフィニル、必要に応じて置換されるアルキルホスホニル、必要に応じて置換されるアリールスルフィニルおよび必要に応じて置換されるアリールホスホニルからなる群より選択される、請求項1に記載のポリマー。 Z is hydrogen, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkenyl, optionally substituted acyl, optionally substituted aroyl, Optionally substituted alkoxy, optionally substituted heteroaryl, optionally substituted heterocyclyl, optionally substituted alkylsulfonyl, optionally substituted alkylsulfinyl, optionally 2. The polymer of claim 1 selected from the group consisting of optionally substituted alkyl phosphonyl, optionally substituted aryl sulfinyl and optionally substituted aryl phosphonyl. CTA断片を有するポリマーの末端基を、そのCTA断片が切断されるように処理する、請求項1に記載のポリマー。 The polymer of claim 1, wherein the end groups of the polymer having a CTA fragment are treated such that the CTA fragment is cleaved. 光酸発生剤およびアクリル酸またはメタクリル酸ベースのポリマー樹脂を含むフォトレジスト組成物であって、このポリマーが、式:
Figure 2007526351
ここで、Rはそのフリーラジカル型として放出されるほど十分に不安定な基であり、Tは炭素またはリンであり、Zは可逆的なフリーラジカル付加分解反応に関するC=S二重結合を活性化させる任意の基である;
を有する連鎖移動剤(CTA)の存在下でのリビングフリーラジカルプロセス(LFRP)によって調製される、フォトレジスト組成物。
A photoresist composition comprising a photoacid generator and an acrylic acid or methacrylic acid based polymer resin, wherein the polymer has the formula:
Figure 2007526351
Where R x is a sufficiently unstable group to be released as its free radical form, T is carbon or phosphorus, Z is a C = S double bond for a reversible free radical addition decomposition reaction. Any group to be activated;
A photoresist composition prepared by a living free radical process (LFRP) in the presence of a chain transfer agent (CTA) having
アクリル酸またはメタクリル酸ベースのポリマー樹脂が立体的に大きなエステル基を含む、請求項11に記載のフォトレジスト組成物。 The photoresist composition of claim 11, wherein the acrylic or methacrylic acid based polymer resin comprises a sterically large ester group. 立体的に大きなエステル基が、5以上の炭素原子を有する単環式、二環式、三環式および四環式の非芳香環ならびにこれらの組み合わせからなる群より選択される、請求項12に記載のフォトレジスト組成物。 The sterically large ester group is selected from the group consisting of monocyclic, bicyclic, tricyclic and tetracyclic non-aromatic rings having 5 or more carbon atoms and combinations thereof. The photoresist composition as described. 立体的に大きなエステル基がその環構造内にラクトンを含む、請求項13に記載のフォトレジスト組成物。 The photoresist composition of claim 13 wherein the sterically large ester group comprises a lactone in its ring structure. ポリマー樹脂が、式:
Figure 2007526351
ここで、Rは水素原子またはメチル基を表し、それぞれのRは独立して、直鎖もしくは分枝鎖で、非置換のもしくは置換された、1〜4の炭素原子を有するアルキル基を表すか、または、橋されたもしくは非架橋で、非置換のもしくは置換された、4〜20の炭素原子を有する一価の脂環式炭化水素基を表し、但し少なくとも一つのR基が直鎖もしくは分枝鎖の、1〜4の炭素原子を有するアルキル基である、または任意の二つのR基が互いに、その二つのR基が結合する炭素原子と一緒になって、架橋されたもしくは非架橋で、非置換のもしくは置換された、4〜20の炭素原子を有する二価の脂環式炭化水素基を形成し、残りのR基は直鎖もしくは分枝鎖で、非置換のもしくは置換された、1〜4の炭素原子を有するアルキル基であ;を含む、請求項11に記載のフォトレジスト組成物。
The polymer resin has the formula:
Figure 2007526351
Here, R 1 represents a hydrogen atom or a methyl group, and each R 2 independently represents a linear or branched, unsubstituted or substituted alkyl group having 1 to 4 carbon atoms. or represents, or, in cross-linking have been or unbridged, unsubstituted or substituted, and display the alicyclic monovalent hydrocarbon radical having from 4 to 20 carbon atoms, provided that at least one R 2 group of but a straight chain or branched chain, or an alkyl group having 1 to 4 carbon atoms, or any two R 2 groups together, together with the two carbon atoms to which R 2 groups are attached , crosslinked with or uncrosslinked, unsubstituted or substituted, can form a divalent alicyclic hydrocarbon group having 4 to 20 carbon atoms and the remaining R 2 groups linear or branched Chain having 1 to 4 carbon atoms, unsubstituted or substituted That alkyl groups der Ru; containing photoresist composition of claim 11.
ポリマーが、式:
Figure 2007526351
ここで、Eは非架橋もしくは架橋された、非置換のもしくは置換された脂環式炭化水素に由来する基を表し、Rは水素原子、トリフルオロメチル基またはメチル基である
を有する少なくとも一つの追加的な繰り返し単位をさらに含む、請求項15に記載のフォトレジスト組成物。
The polymer has the formula:
Figure 2007526351
Here, E represents a non-bridged or bridged group derived from an unsubstituted or substituted alicyclic hydrocarbon, and R 3 is at least one having a hydrogen atom, a trifluoromethyl group or a methyl group. The photoresist composition of claim 15 further comprising one additional repeat unit.
ポリマー樹脂のMwが約2,000〜30,000の間である、請求項11に記載のフォトレジスト組成物。 The photoresist composition of claim 11, wherein the Mw of the polymer resin is between about 2,000 and 30,000. ポリマー樹脂の多分散度が約1.5以下である、請求項11に記載のフォトレジスト組成物。 The photoresist composition of claim 11, wherein the polydispersity of the polymer resin is about 1.5 or less. Zが、ヒドロカルビル、置換されたヒドロカルビル、ヘテロ原子含有ヒドロカルビル、置換されたヘテロ原子含有ヒドロカルビルおよびそれらの組み合わせからなる群より選択される、請求項11に記載のフォトレジスト組成物。 The photoresist composition of claim 11, wherein Z is selected from the group consisting of hydrocarbyl, substituted hydrocarbyl, heteroatom-containing hydrocarbyl, substituted heteroatom-containing hydrocarbyl, and combinations thereof. Zが、水素、必要に応じて置換されるアルキル、必要に応じて置換されるアリール、必要に応じて置換されるアルケニル、必要に応じて置換されるアシル、必要に応じて置換されるアロイル、必要に応じて置換されるアルコキシ、必要に応じて置換されるヘテロアリール、必要に応じて置換されるヘテロシクリル、必要に応じて置換されるアルキルスルホニル、必要に応じて置換されるアルキルスルフィニル、必要に応じて置換されるアルキルホスホニル、必要に応じて置換されるアリールスルフィニルおよび必要に応じて置換されるアリールホスホニルからなる群より選択される、請求項11に記載のフォトレジスト組成物。 Z is hydrogen, optionally substituted alkyl, optionally substituted aryl, optionally substituted alkenyl, optionally substituted acyl, optionally substituted aroyl, Optionally substituted alkoxy, optionally substituted heteroaryl, optionally substituted heterocyclyl, optionally substituted alkylsulfonyl, optionally substituted alkylsulfinyl, optionally 12. The photoresist composition of claim 11 selected from the group consisting of optionally substituted alkyl phosphonyl, optionally substituted aryl sulfinyl and optionally substituted aryl phosphonyl. CTA断片を有するポリマーの末端基を、そのCTA断片が切断されるように処理する、請求項11に記載のフォトレジスト組成物。 The photoresist composition of claim 11, wherein the end groups of the polymer having a CTA fragment are treated such that the CTA fragment is cleaved.
JP2006517640A 2003-06-26 2004-06-25 Photoresist polymers and compositions with acrylic acid or methacrylic acid based polymer resins prepared by a living free radical process Pending JP2007526351A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US48339003P 2003-06-26 2003-06-26
US48331003P 2003-06-26 2003-06-26
PCT/US2004/020346 WO2005000923A1 (en) 2003-06-26 2004-06-25 Photoresist polymers and compositions having acrylic- or methacrylic-based polymeric resin prepared by a living free radical process

Publications (2)

Publication Number Publication Date
JP2007526351A JP2007526351A (en) 2007-09-13
JP2007526351A5 true JP2007526351A5 (en) 2008-05-08

Family

ID=33555604

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006517640A Pending JP2007526351A (en) 2003-06-26 2004-06-25 Photoresist polymers and compositions with acrylic acid or methacrylic acid based polymer resins prepared by a living free radical process

Country Status (4)

Country Link
EP (1) EP1641849A1 (en)
JP (1) JP2007526351A (en)
KR (1) KR20060088478A (en)
WO (1) WO2005000923A1 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7696292B2 (en) 2003-09-22 2010-04-13 Commonwealth Scientific And Industrial Research Organisation Low-polydispersity photoimageable acrylic polymers, photoresists and processes for microlithography
KR101010757B1 (en) * 2008-06-09 2011-01-25 주식회사 동부하이텍 Chain transfer agent and the method of producing polymer for anti-reflective coating layer
KR101579730B1 (en) * 2008-12-23 2015-12-24 주식회사 동진쎄미켐 Photosensitive polymer and photoresist composition including the same
US20130109816A1 (en) 2011-10-28 2013-05-02 E.I. Du Pont De Nemours And Company Processes for removing sulfur-containing end groups from polymers
US9932435B2 (en) 2012-01-18 2018-04-03 Iowa State University Research Foundation, Inc. Thermoplastic elastomers via atom transfer radical polymerization of plant oil
WO2014150700A1 (en) 2013-03-15 2014-09-25 E. I. Du Pont De Nemours And Company Polymerization process protection means
WO2014189939A2 (en) 2013-05-20 2014-11-27 Iowa State University Research Foundation, Inc. Thermoplastic elastomers via reversible addition-fragmentation chain transfer polymerization of triglycerides
KR102377565B1 (en) * 2018-12-13 2022-03-21 주식회사 엘지화학 Acryl based copolymer, method for preparing the same and acryl based copolymer composition comprising the acryl based copolymer

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ES2166092T3 (en) * 1996-07-10 2002-04-01 Du Pont POLYMERIZATION WITH LIVING CHARACTERISTICS.
AU1911399A (en) * 1997-12-18 1999-07-05 Commonwealth Scientific And Industrial Research Organisation Polymerization process with living characteristics and polymers made therefrom
US20030180662A1 (en) * 1998-05-25 2003-09-25 Daicel Chemical Industries, Ltd. Acid-sensitive compound and resin composition for photoresist
JP4282185B2 (en) * 1999-11-02 2009-06-17 株式会社東芝 Polymer compound for photoresist and resin composition for photoresist
JP2004220009A (en) * 2002-12-28 2004-08-05 Jsr Corp Radiation sensitive resin composition

Similar Documents

Publication Publication Date Title
JP4725739B2 (en) Photoresist polymer composition
JP4877306B2 (en) Radiation sensitive resin composition
TWI468856B (en) Sensitive radiation linear resin compositions and compounds
US7531286B2 (en) Radiation-sensitive resin composition
JP4345326B2 (en) Radiation sensitive resin composition
KR20100014831A (en) Resist pattern formation method, and resin composition capable of insolubilizing resist pattern
KR20120032024A (en) Radiation-sensitive resin composition
JP2009114381A (en) (meth)acrylic ester resin
JP5264654B2 (en) Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
EP1953595A1 (en) Radiation-sensitive resin composition
JP2007112898A (en) Copolymer and radiation-sensitive resin composition
JP2007526351A5 (en)
JP2007526351A (en) Photoresist polymers and compositions with acrylic acid or methacrylic acid based polymer resins prepared by a living free radical process
JP4232577B2 (en) Radiation sensitive resin composition
JP3975790B2 (en) Radiation sensitive resin composition
JP4036320B2 (en) Radiation sensitive resin composition
JP5444668B2 (en) Resist pattern forming method
JP4051963B2 (en) Lactone copolymer resin and radiation sensitive resin composition
JP2004118136A (en) Radiation sensitive resin composition
JP2003241384A (en) Radiation-sensitive resin composition
JP4114354B2 (en) Radiation sensitive resin composition
JP2010096992A (en) Method for forming resist pattern and positive radiation-sensitive resin composition used for method
JP4093402B2 (en) Radiation sensitive resin composition
JP2007052459A (en) Radiation sensitive resin composition
JP2004012545A (en) Radiation-sensitive resin composition