JP2007250985A - Plasma etching method - Google Patents

Plasma etching method Download PDF

Info

Publication number
JP2007250985A
JP2007250985A JP2006074633A JP2006074633A JP2007250985A JP 2007250985 A JP2007250985 A JP 2007250985A JP 2006074633 A JP2006074633 A JP 2006074633A JP 2006074633 A JP2006074633 A JP 2006074633A JP 2007250985 A JP2007250985 A JP 2007250985A
Authority
JP
Japan
Prior art keywords
plasma
substrate
etching
application
electric field
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006074633A
Other languages
Japanese (ja)
Inventor
Yasuyuki Hoshino
恭之 星野
Seiji Sagawa
誠二 寒川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tohoku University NUC
Resonac Holdings Corp
Original Assignee
Tohoku University NUC
Showa Denko KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tohoku University NUC, Showa Denko KK filed Critical Tohoku University NUC
Priority to JP2006074633A priority Critical patent/JP2007250985A/en
Publication of JP2007250985A publication Critical patent/JP2007250985A/en
Pending legal-status Critical Current

Links

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Abstract

<P>PROBLEM TO BE SOLVED: To provide a high-performance plasma etching method required for working etc. of MEMS devices, semiconductor devices, etc. <P>SOLUTION: The plasma etching method supplies treatment gas 1, containing fluorine gas (F<SB>2</SB>), to plasma generating chamber 2, alternately repeats application of high-frequency electric field and stopping of the application to generate a plasma 5, and irradiates a substrate 9 with the plasma 5 to perform substrate treatment. It is the characteristics of the method that (1) the time for applying high-frequency electric field is 20 to 70 μsec, and the time for stopping the application is 20 to 100 μsec, or that (2) the output of the high-frequency electric field to be applied to the substrate is 60 W or higher. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、高周波電界を利用して生成したプラズマを用いて基板の処理を行うプラズマエッチング方法に関する。より詳しくは、半導体素子やマイクロマシン(MEMS:Micro Electric Mechanical System)素子の製造等における微細加工に好適なプラズマエッチング方法に関する。   The present invention relates to a plasma etching method for processing a substrate using plasma generated using a high-frequency electric field. More specifically, the present invention relates to a plasma etching method suitable for microfabrication in the manufacture of semiconductor elements and micromachine (MEMS) elements.

半導体デバイス等の製造工程におけるドライエッチングに利用されるプラズマプロセスでは、フルオロカーボン系や無機フッ化物系のガス(例えば、四フッ化炭素ガス(CF4
)、六フッ化硫黄ガス(SF6)など)が大量に用いられている。しかし、フルオロカー
ボン系や無機フッ化物系のガスは、地球温暖化係数(GWP)が高い温室効果ガスであり、二酸化炭素と並んで地球温暖化を引き起こす大きな要因となっている。それゆえ、温室効果ガスの環境への放出量を削減するために、フルオロカーボン系や無機フッ化物系の代替ガスを利用した新しいプロセスの開発が急務となっている。
In a plasma process used for dry etching in a manufacturing process of a semiconductor device or the like, a fluorocarbon-based or inorganic fluoride-based gas (for example, carbon tetrafluoride gas (CF 4
), Sulfur hexafluoride gas (SF 6 ), etc.) are used in large quantities. However, fluorocarbon-based and inorganic fluoride-based gases are greenhouse gases having a high global warming potential (GWP), and are a major factor causing global warming along with carbon dioxide. Therefore, there is an urgent need to develop a new process using an alternative gas such as a fluorocarbon or inorganic fluoride in order to reduce the amount of greenhouse gas released to the environment.

一方で、近年急速に実用化検討が進められているマイクロマシン(MEMS:Micro Electric Mechanical System)デバイスの製造工程における微細加工では、Si基板に数10μm〜100μm以上の深さでメカニカル構造用の溝などを形成するエッチング加工が要求されている。また、スペース効率の高い半導体デバイスを実現するために、構造を三次元的にした三次元実装デバイスの開発が進められている。例えば、ロジックを形成した単結晶Si基板やメモリを形成した単結晶Si基板等を複数重ね、これら基板を配線で接続する構成等が提案されている。このような三次元実装デバイスは、100μm程度の厚さを有するSi基板にφ10〜70μm程度の配線用の孔を形成する必要があることから、極めて高速のエッチングが求められる。このようなプロセスでは、プラズマエッチング技術が適用されているが、エッチング特性に対する要求は主に以下の3項目である。
(1)高速のエッチレートが達成されること。
(2)エッチングプロファイルの垂直性が得られること。
(3)エッチング壁面の平滑さが優れていること。
On the other hand, in microfabrication in the manufacturing process of a micromachine (MEMS) device, which has been studied for practical use in recent years, a groove for a mechanical structure with a depth of several tens μm to 100 μm or more is formed in a Si substrate. An etching process for forming the film is required. In addition, in order to realize a space-efficient semiconductor device, development of a three-dimensional mounting device having a three-dimensional structure is in progress. For example, a configuration has been proposed in which a plurality of single crystal Si substrates on which logic is formed, single crystal Si substrates on which memories are formed, and the like are stacked and these substrates are connected by wiring. Such a three-dimensional mounting device requires extremely high-speed etching because it is necessary to form wiring holes of about φ10 to 70 μm in a Si substrate having a thickness of about 100 μm. In such a process, plasma etching technology is applied, but the requirements for etching characteristics are mainly the following three items.
(1) A high-speed etch rate is achieved.
(2) The perpendicularity of the etching profile is obtained.
(3) The etching wall has excellent smoothness.

しかしながら、(1)と(2)で要求される2つの特性は、本質的にトレードオフの関係にある。なぜなら、高速のエッチレートを達成させるためには、一般的にプラズマ中に高濃度のFラジカルを生成させる必要があるが、ラジカル主体による等方性エッチングではエッチングプロファイルの垂直性(異方性)が得られないためである。   However, the two characteristics required in (1) and (2) are essentially in a trade-off relationship. This is because, in order to achieve a high etching rate, it is generally necessary to generate high-concentration F radicals in the plasma, but in an isotropic etching mainly based on radicals, the perpendicularity (anisotropy) of the etching profile. This is because cannot be obtained.

この問題を解決するために、例えば、米国特許5,498,312号明細書(特許文献1)等に開示されているような等方性エッチング工程および側壁保護膜形成工程を1サイクルとして、それらを繰り返すBoschプロセスと呼ばれる方法が現在広く利用されている。しかしながら、このように等方性エッチング工程を利用するプロセスでは、原理的にエッチング壁面にスキャロップと呼ばれる段々形状が生じるため、上記の(3)で要求されるようなエッチング壁面の平滑さを得ることができないという問題がある。   In order to solve this problem, for example, an isotropic etching step and a side wall protective film forming step as disclosed in US Pat. No. 5,498,312 (Patent Document 1) are used as one cycle. Currently, a method called the Bosch process is repeatedly used. However, in such a process using an isotropic etching process, a stepped shape called a scallop is generated in principle on the etching wall surface, so that the etching wall surface smoothness required in the above (3) is obtained. There is a problem that can not be.

一方、フッ素ガス(F2)をプラズマ生成室に供給し、高周波電界(RF)の印加と印
加の停止とを交互に繰り返すことによりプラズマを生成し、該プラズマを基板に照射して基板処理を行うプラズマエッチング方法が提案されている。特開2006−49817号公報(特許文献2)に開示されているように、フッ素ガス(F2)をプラズマ生成室に供
給し、高周波電界の印加と印加の停止とを交互に繰り返すことによりプラズマ(=パルス時間変調プラズマ)を生成すると、プラズマ中には高濃度の正負イオン(F-およびF+
が生じ、それとは逆に、プラズマ中のラジカル(F)濃度は抑制されることが明らかにされている。この方法では、上記のBoschプロセスとは全く異なり、側壁保護膜形成工程を必要としないSiのエッチングが可能となる。この方法によって、上記の(1)、(2)および(3)で要求される3項目のエッチング特性を同時に達成することができる。
On the other hand, fluorine gas (F 2 ) is supplied to the plasma generation chamber, plasma is generated by alternately repeating the application of the high frequency electric field (RF) and the stop of the application, and the substrate is irradiated with the plasma to perform the substrate processing. A plasma etching method has been proposed. As disclosed in Japanese Patent Application Laid-Open No. 2006-49817 (Patent Document 2), fluorine gas (F 2 ) is supplied to the plasma generation chamber, and the application of the high-frequency electric field and the stop of the application are alternately repeated to generate plasma. (= Pulse time-modulated plasma) generates high concentrations of positive and negative ions (F and F + ) in the plasma.
On the contrary, it has been clarified that the radical (F) concentration in the plasma is suppressed. In this method, unlike the Bosch process described above, Si etching that does not require a sidewall protective film forming step can be performed. By this method, the etching characteristics of the three items required in the above (1), (2) and (3) can be achieved simultaneously.

しかしながら、特開2006−49817号公報(特許文献2)に開示されている方法では、Siを1μm/min程度のエッチレートで垂直エッチングすることは可能であるものの、MEMSデバイスや三次元実装デバイスの加工で現在要求されるようになってきている、数μm/min以上の超高速のSiエッチレートを達成することは困難であった。また、特に高いエッチレートが得られにくい石英ガラスや珪酸塩ガラスなどのシリコン化合物を高速でエッチングすることは極めて困難であった。そのため、より高いエッチレートを実現し、かつ、サイドエッチングの小さな実用性の高いエッチングプロセスの開発が強く求められていた。
米国特許5,498,312号明細書 特開2006−49817号公報
However, in the method disclosed in Japanese Patent Application Laid-Open No. 2006-49817 (Patent Document 2), although it is possible to vertically etch Si at an etch rate of about 1 μm / min, a MEMS device or a three-dimensional mounting device can be used. It has been difficult to achieve an ultra-high Si etch rate of several μm / min or more, which is now required for processing. In addition, it has been extremely difficult to etch silicon compounds such as quartz glass and silicate glass, which are difficult to obtain particularly high etching rates, at high speed. Therefore, there has been a strong demand for the development of an etching process that realizes a higher etching rate and has a small side etching and high practicality.
US Pat. No. 5,498,312 JP 2006-49817 A

本発明の課題は、MEMSデバイスや半導体デバイスの加工等に要求される高性能のプラズマエッチング方法を提供することにある。   An object of the present invention is to provide a high-performance plasma etching method required for processing MEMS devices and semiconductor devices.

本発明者らは、上記課題を解決すべく鋭意検討した結果、非温室効果ガスを利用した高精度のプラズマエッチング方法を初めて可能とすることに成功した。
すなわち本発明は、以下の事項に関する。
As a result of intensive studies to solve the above-mentioned problems, the present inventors have succeeded in making a high-precision plasma etching method using a non-greenhouse gas possible for the first time.
That is, the present invention relates to the following matters.

(1) フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、高周波電界の
印加と印加の停止とを交互に繰り返すことによりプラズマを生成し、該プラズマを基板に照射して基板処理を行うプラズマエッチング方法であって、前記高周波電界を印加する時間が20〜70μ秒であり、かつ、印加を停止する時間が20〜100μ秒であることを特徴とするプラズマエッチング方法。
(1) A processing gas containing fluorine gas (F 2 ) is supplied to the plasma generation chamber, and plasma is generated by alternately repeating the application of the high-frequency electric field and the stop of the application, and the substrate is irradiated with the plasma. A plasma etching method for performing processing, wherein the time for applying the high-frequency electric field is 20 to 70 μsec, and the time for stopping the application is 20 to 100 μsec.

(2) フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、高周波電界の
印加と印加の停止とを交互に繰り返すことによりプラズマを生成し、該プラズマを基板に照射して基板処理を行うプラズマエッチング方法であって、前記基板に印加する高周波電界の出力が60W以上であることを特徴とするプラズマエッチング方法。
(2) A process gas containing fluorine gas (F 2 ) is supplied to the plasma generation chamber, and plasma is generated by alternately repeating the application of the high-frequency electric field and the stop of the application, and the substrate is irradiated with the plasma. A plasma etching method for performing processing, wherein an output of a high-frequency electric field applied to the substrate is 60 W or more.

(3) 前記(1)または(2)に記載のプラズマエッチング方法を利用することを特徴とするシリコンまたはシリコン化合物のプラズマエッチング方法。
(4) 前記シリコン化合物が、酸化珪素、窒化珪素または珪酸塩であることを特徴とする(3)に記載のプラズマエッチング方法。
(3) A plasma etching method of silicon or a silicon compound using the plasma etching method according to (1) or (2).
(4) The plasma etching method according to (3), wherein the silicon compound is silicon oxide, silicon nitride, or silicate.

(5) 前記(1)〜(4)のいずれかに記載の方法により製作した半導体デバイス。
(6) 前記(1)〜(4)のいずれかに記載の方法により製作したマイクロマシン(MEMS:Micro Electric Mechanical System)デバイス。
(5) A semiconductor device manufactured by the method according to any one of (1) to (4).
(6) A micromachine (MEMS) device manufactured by the method according to any one of (1) to (4).

本発明に係る非温室効果ガスを用いたプラズマエッチング方法を用いれば、次世代のMEMSデバイスや半導体デバイス等の製造における微細加工が実現できる。   If the plasma etching method using a non-greenhouse gas according to the present invention is used, microfabrication in the production of next-generation MEMS devices and semiconductor devices can be realized.

以下、本発明に係るプラズマエッチング方法について詳細に説明する。
本発明に係るプラズマエッチング方法を実施可能なパルス時間変調プラズマエッチング装置の一例を図1に示す。図1のパルス時間変調プラズマエッチング装置の構成について、以下に説明する。
Hereinafter, the plasma etching method according to the present invention will be described in detail.
An example of a pulse time modulation plasma etching apparatus capable of performing the plasma etching method according to the present invention is shown in FIG. The configuration of the pulse time modulation plasma etching apparatus of FIG. 1 will be described below.

図1のパルス時間変調プラズマエッチング装置は、処理ガス1を供給するポートが設置されたプラズマ生成・基板処理室2の上面に、誘導結合プラズマ生成用アンテナ3が渦巻き状に巻かれており、該アンテナ3にはパルス時間変調可能なプラズマ生成用高周波電源4が接続されている。   In the pulse time modulation plasma etching apparatus of FIG. 1, an inductively coupled plasma generating antenna 3 is spirally wound on the upper surface of a plasma generating / substrate processing chamber 2 in which a port for supplying a processing gas 1 is installed. The antenna 3 is connected to a high frequency power source 4 for plasma generation that can be pulse time modulated.

処理ガス1を供給したプラズマ生成・基板処理室2の外部から高周波電界を印加すると、プラズマ生成・基板処理室2内にプラズマ5が生成する。高周波電界の印加を連続して行うことにより、連続プラズマを生成し、高周波電界の印加と印加の停止とを交互に繰り返すことにより、パルス時間変調プラズマを生成することができる。高周波電界の印加および印加の停止は、前記高周波電源4から前記アンテナ3に、例えば、放電周波数として13.56MHzの高周波(RF)バイアスをパルス状に印加することにより行うことができる。なお、高周波電界の印加(ON)と印加の停止(OFF)とを交互に繰り返す時間(パルス幅)は、任意に設定することができる。   When a high-frequency electric field is applied from the outside of the plasma generation / substrate processing chamber 2 supplied with the processing gas 1, plasma 5 is generated in the plasma generation / substrate processing chamber 2. By continuously applying the high-frequency electric field, continuous plasma can be generated, and by alternately repeating the application of the high-frequency electric field and the stop of the application, pulse time-modulated plasma can be generated. The application of the high frequency electric field and the stop of the application can be performed by applying a high frequency (RF) bias of 13.56 MHz as a discharge frequency to the antenna 3 from the high frequency power source 4 in a pulsed manner, for example. In addition, the time (pulse width) for alternately repeating the application (ON) of the high-frequency electric field and the stop (OFF) of the application can be arbitrarily set.

基板9は基板保持台8に静電チャックにより接着されている。基板保持台8の下部にはイオン加速用電極6が設置されており、電圧印加用電源7が接続されている。また、前記基板保持台8は冷却装置(図示せず)によって冷却可能であり、さらに、昇降装置(図示せず)によって基板9の高さ、すなわち基板とプラズマ生成部との距離を変更することができる。   The substrate 9 is bonded to the substrate holder 8 by an electrostatic chuck. An ion acceleration electrode 6 is installed under the substrate holding table 8, and a voltage application power source 7 is connected thereto. The substrate holding table 8 can be cooled by a cooling device (not shown), and the height of the substrate 9, that is, the distance between the substrate and the plasma generation unit is changed by an elevating device (not shown). Can do.

なお、前記プラズマ生成・基板処理室2内は、排気ポンプ(図示せず)によって排気されており、排気ガス10は排気ガス処理装置(図示せず)によって無害化処理されて系外に排出される。   The inside of the plasma generation / substrate processing chamber 2 is exhausted by an exhaust pump (not shown), and the exhaust gas 10 is detoxified by an exhaust gas processing device (not shown) and exhausted outside the system. The

本発明の第一の方法は、例えば、図1に示したようなパルス時間変調プラズマエッチング装置を用いて、フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、高周波
電界の印加と印加の停止とを交互に繰り返すことによりプラズマを生成し、該プラズマを基板に照射して基板処理を行うプラズマエッチング方法であって、前記高周波電界を印加する時間が20〜70μ秒であり、かつ、印加を停止する時間が20〜100μ秒であることを特徴とする。
In the first method of the present invention, for example, a processing gas containing fluorine gas (F 2 ) is supplied to a plasma generation chamber using a pulse time modulation plasma etching apparatus as shown in FIG. A plasma etching method in which plasma is generated by alternately repeating the stop of application and the substrate, and the substrate is processed by irradiating the plasma to the substrate, and the time for applying the high-frequency electric field is 20 to 70 μsec, And the time which stops an application is 20-100 microseconds, It is characterized by the above-mentioned.

フッ素ガス(F2)のパルス時間変調プラズマ(高周波電界の印加と印加の停止とを交
互に繰り返すことによって生成するプラズマ)では、高周波電界を印加する(ON)時間が20〜70μ秒であり、かつ、印加を停止する(OFF)時間が20〜100μ秒であるパルス時間変調条件(パルス幅)において、プラズマ中に生成されるイオン(F-およ
びF+)の総量が大となり、ラジカル(F)の生成は抑制される。
In a pulse time-modulated plasma of fluorine gas (F 2 ) (plasma generated by alternately repeating application of a high-frequency electric field and stopping of application), the time for applying a high-frequency electric field (ON) is 20 to 70 μsec, In addition, under the pulse time modulation condition (pulse width) in which the application is stopped (OFF) time is 20 to 100 μsec, the total amount of ions (F and F + ) generated in the plasma becomes large, and radicals (F ) Is suppressed.

高周波電界の印加(ON)と印加の停止(OFF)とを交互に繰り返すことにより、処理ガスをプラズマ化してパルス時間変調プラズマを生成する方法において、ON時間とOFF時間の組み合わせは、任意に設定することができ、数十μ秒のオーダーでONとOFFを繰り返す方法が一般的である。   In the method of generating pulsed time-modulated plasma by turning the processing gas into plasma by alternately applying high-frequency electric field application (ON) and application stop (OFF), the combination of ON time and OFF time is arbitrarily set It is generally possible to repeat ON and OFF in the order of several tens of microseconds.

本発明のように、処理ガスとしてフッ素ガス(F2)を含むガスを用いる場合には、O
FF時間を20〜100μ秒、より好ましくは20〜50μ秒とすることが望ましい。高
周波電界のON時間中に生成した高密度の電子が、続く高周波電解のOFF時間中にフッ素ガス(F2)に解離性付着することによって多量の負イオン(F-)を生成する。OFF時間が20μ秒以上の場合に、負イオン(F-)の生成量はほぼ飽和となるため、OFF
時間が上記範囲よりも短いと負イオンの生成が不十分となる。OFF時間が上記範囲を超えるとプラズマ中の電子密度が低下して、続くON時の放電が困難になる現象や、ON時に電子温度が急激に上昇して電子が増殖するなどの現象が生じ、パルス時間変調の効果が阻害されてしまう傾向にある。
When a gas containing fluorine gas (F 2 ) is used as the processing gas as in the present invention, O
It is desirable that the FF time is 20 to 100 μsec, more preferably 20 to 50 μsec. High-density electrons generated during the high-frequency electric field ON time dissociately adhere to fluorine gas (F 2 ) during the high-frequency electrolysis OFF time, thereby generating a large amount of negative ions (F ). When the OFF time is 20 μs or longer, the amount of negative ions (F ) generated is almost saturated, so
When the time is shorter than the above range, negative ions are not sufficiently generated. If the OFF time exceeds the above range, the density of electrons in the plasma will decrease, making it difficult to discharge at the time of subsequent ON, and the phenomenon that the electron temperature will rapidly increase at the time of ON will cause the electrons to multiply, The effect of pulse time modulation tends to be hindered.

また、本発明のように、処理ガスとしてフッ素ガス(F2)を含むガスを用いる場合に
は、ON時間を20〜70μ秒、より好ましくは25〜50μ秒とすることが望ましい。パルス時間変調プラズマにおける高周波電界のON時間中には、フッ素ガス(F2)に電
子が衝突してガス分子が解離し、多量の正イオン(F+)が生成するのと同時に、電子密
度が増加する。ON時間が上記範囲よりも短いとプラズマ自体の生成が不安定となる傾向があり、ON時間が上記範囲を超えるとプラズマ中のラジカル量が増加して、パルス時間変調の効果が阻害されてしまう傾向にある。
Further, when a gas containing fluorine gas (F 2 ) is used as the processing gas as in the present invention, the ON time is preferably 20 to 70 μsec, more preferably 25 to 50 μsec. During the ON time of the high-frequency electric field in the pulse time-modulated plasma, electrons collide with fluorine gas (F 2 ) to dissociate gas molecules, and a large amount of positive ions (F + ) are generated. To increase. If the ON time is shorter than the above range, the generation of the plasma itself tends to become unstable. If the ON time exceeds the above range, the amount of radicals in the plasma increases and the effect of pulse time modulation is hindered. There is a tendency.

本発明の第二の方法は、例えば、図1に示したようなパルス時間変調プラズマエッチング装置を用いて、フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、高周波
電界の印加と印加の停止とを交互に繰り返すことによりプラズマを生成し、該プラズマを基板に照射して基板処理を行うプラズマエッチング方法であって、前記基板に印加する高周波電界の出力が60W以上であることを特徴とする。
In the second method of the present invention, for example, a processing gas containing fluorine gas (F 2 ) is supplied to the plasma generation chamber using a pulse time modulation plasma etching apparatus as shown in FIG. A plasma etching method in which plasma is generated by alternately repeating application stop and irradiation, and the substrate is processed by irradiating the plasma to the substrate, and the output of the high-frequency electric field applied to the substrate is 60 W or more It is characterized by.

上述したように、フッ素ガス(F2)のパルス時間変調プラズマ(高周波電界の印加と
印加の停止とを交互に繰り返すことによって生成するプラズマ)では、高密度の正負イオン(F-およびF+)が生成する。本発明の方法は、生成したプラズマを基板に照射することによって基板処理を行うプラズマエッチング方法であるが、基板下部に設置したイオン加速用電極に高周波電界を印加して、基板に高周波電界が印加されることによって、プラズマ中の正負イオンは交互に、被処理基板方向に垂直に加速されて被処理基板の垂直エッチングを可能とするものである。この際、基板に印加する高周波電界(RF)を60W以上、好ましくは100W以上、特に好ましくは150W以上とすることによって、基板に照射されるイオンの運動エネルギーが大きくなり垂直加工性が著しく向上する。
As described above, in a pulse-time-modulated plasma of fluorine gas (F 2 ) (plasma generated by alternately repeating application of a high-frequency electric field and stopping of application), high-density positive and negative ions (F and F + ) Produces. The method of the present invention is a plasma etching method in which substrate processing is performed by irradiating a generated plasma to a substrate. A high frequency electric field is applied to an ion acceleration electrode placed under the substrate and a high frequency electric field is applied to the substrate. As a result, positive and negative ions in the plasma are alternately accelerated perpendicularly to the direction of the substrate to be processed, thereby enabling vertical etching of the substrate to be processed. At this time, by setting the high frequency electric field (RF) applied to the substrate to 60 W or more, preferably 100 W or more, particularly preferably 150 W or more, the kinetic energy of ions irradiated on the substrate is increased, and the vertical workability is remarkably improved. .

フッ素ガス(F2)のパルス時間変調プラズマでは、従来からSiのエッチングガスと
して用いられている六フッ化硫黄ガス(SF6)等のガスに比べて、プラズマ中に生成さ
れるイオン(荷電粒子)の密度が顕著に高いため、基板に印加する高周波電界の効果が顕著に表れるものと考えられる。基板に印加する高周波電界の出力が60W未満のプロセス条件では、マスクパターンに沿って基板の垂直方向に堀り進む垂直エッチングのエッチレートに対して、マスクの下部に水平方向に広がるサイドエッチング(アンダーカット)のエッチレートの割合が大きくなり、垂直加工性能が低下するため好ましくない。
In the pulse time-modulated plasma of fluorine gas (F 2 ), ions (charged particles) generated in the plasma are compared with a gas such as sulfur hexafluoride gas (SF 6 ) conventionally used as an etching gas for Si. It is considered that the effect of the high frequency electric field applied to the substrate appears remarkably. Under process conditions where the output of the high-frequency electric field applied to the substrate is less than 60 W, the side etching (under) spreads horizontally under the mask with respect to the etching rate of the vertical etching that digs in the vertical direction of the substrate along the mask pattern. The ratio of the etching rate of (cut) is increased, and the vertical processing performance is deteriorated.

サイドエッチングが進行する原因は、プラズマ中に共存するラジカル(F)が、方向性を持たないランダムな動きをするためである。被処理物質が珪素(Si)である場合には、Siとラジカルによる反応が比較的容易に進行するため、サイドエッチングが比較的顕著に出現することとなる。一方、被処理物質が石英ガラスや珪酸ガラスのような珪素化合物である場合には、運動エネルギーの小さなラジカルとの反応性が乏しいためにサイドエッチングはほとんど進行しない。   The cause of the progress of side etching is that radicals (F) coexisting in the plasma move randomly without directionality. When the material to be treated is silicon (Si), the reaction by Si and radicals proceeds relatively easily, so that side etching appears relatively remarkably. On the other hand, when the material to be treated is a silicon compound such as quartz glass or silicate glass, side etching hardly proceeds because of its poor reactivity with radicals having small kinetic energy.

処理ガスとしてフッ素ガス(F2)を含むガスを用いてパルス時間変調プラズマを生成
する方法において、処理ガス中のフッ素ガス(F2)の含有濃度は、プラズマ処理方法等
の目的に応じて任意に設定することができる。100容量%のフッ素ガス(F2)を用い
ることが好ましいが、ハロゲンガス(Cl2、Br2)または希ガス(He、Kr、Xe)などの不活性ガスなど、他種のガスとの混合ガスを用いてもよい。
In the method of generating pulse time-modulated plasma using a gas containing fluorine gas (F 2 ) as a processing gas, the content concentration of fluorine gas (F 2 ) in the processing gas is arbitrary depending on the purpose of the plasma processing method, etc. Can be set to It is preferable to use 100% by volume of fluorine gas (F 2 ), but mixing with other kinds of gas such as inert gas such as halogen gas (Cl 2 , Br 2 ) or rare gas (He, Kr, Xe) Gas may be used.

上記のような本発明のプラズマエッチング方法は、各種基板表面を低損傷で高精度(異方性・選択性・高速)に微細加工する技術として好適に利用することができる。さらに、MEMSデバイスや半導体デバイスの製造工程において重要なシリコンおよびシリコン化合物のプラズマエッチング技術として好適に利用可能である。特に、高いエネルギーを有するフッ素イオンを用いる本発明の方法では、ラジカルによるエッチングが困難な酸化珪素、窒化珪素、珪酸塩(例えば、ガラス状の珪酸ナトリウム等)などのシリコン化合物のプラズマエッチング技術として極めて有効である。   The plasma etching method of the present invention as described above can be suitably used as a technique for finely processing various substrate surfaces with low damage and high accuracy (anisotropy, selectivity, high speed). Furthermore, it can be suitably used as a plasma etching technique for silicon and silicon compounds which are important in the manufacturing process of MEMS devices and semiconductor devices. In particular, the method of the present invention using fluorine ions having high energy is extremely useful as a plasma etching technique for silicon compounds such as silicon oxide, silicon nitride, and silicates (for example, glassy sodium silicate) that are difficult to etch with radicals. It is valid.

本発明の微細加工技術を利用することにより、これまでにない超高性能半導体デバイスや新規のMEMSデバイスを製作することができる。さらに、処理ガスとして、非温室効果ガスであり、かつ、安価なフッ素ガス(F2)を用いることから、環境調和型で実用性
も高いプロセスであるため、その技術的な価値は極めて大きいものである。
By utilizing the microfabrication technology of the present invention, an unprecedented ultra-high performance semiconductor device and a novel MEMS device can be manufactured. Furthermore, since it is a non-greenhouse gas and inexpensive fluorine gas (F 2 ) as the processing gas, it is an environmentally conscious and highly practical process, so its technical value is extremely high. It is.

[実施例]
以下、実施例に基づいて本発明をより具体的に説明するが、本発明はこれら実施例に何ら限定されるものではない。
[Example]
EXAMPLES Hereinafter, although this invention is demonstrated more concretely based on an Example, this invention is not limited to these Examples at all.

〔実施例1〕
フッ素ガス(F2)のパルス時間変調プラズマによって基板のプラズマエッチングを行
い、シリコン(Si)および酸化珪素(SiO2)のエッチング速度を測定し、Siエッ
チング形状の観察を行った。
[Example 1]
Plasma etching of the substrate was performed with pulse time modulated plasma of fluorine gas (F 2 ), the etching rates of silicon (Si) and silicon oxide (SiO 2 ) were measured, and the Si etching shape was observed.

図1に示したパルス時間変調プラズマエッチング装置を用いて、プラズマ生成・基板処理室2に、処理ガス1としてフッ素ガス(F2)を30ml/minで導入し、高周波電
源4からアンテナ3に、放電周波数として13.56MHzのRFバイアスを印加してパルス時間変調プラズマ5を生成した。プラズマ出力は、ピーク値(ON時間中の出力)を1kWとした。プラズマ生成・基板処理室2の圧力は50mTorrとした。パルス時間変調条件(パルス幅)は、ON時間を50μ秒に固定して、OFF時間を種々変化させることにより、OFF時間依存性を調査した。
Using the pulse time modulation plasma etching apparatus shown in FIG. 1, fluorine gas (F 2 ) is introduced as a processing gas 1 into the plasma generation / substrate processing chamber 2 at 30 ml / min. An RF bias of 13.56 MHz was applied as a discharge frequency to generate pulse time modulated plasma 5. The plasma output has a peak value (output during ON time) of 1 kW. The pressure in the plasma generation / substrate processing chamber 2 was 50 mTorr. Regarding the pulse time modulation condition (pulse width), the ON time was fixed to 50 μsec, and the OFF time dependency was investigated by variously changing the OFF time.

イオン加速用電極6には、電圧印加用電源7より1MHzのRFバイアスを出力30Wで印加した。このようにイオン加速用電極6にRFバイアスを印加することによって、プラズマ5内に生成している正負イオンが基板保持台8の方向にほぼ垂直に加速され、基板9に照射される。   An RF bias of 1 MHz was applied to the ion acceleration electrode 6 from the voltage application power source 7 with an output of 30 W. Thus, by applying an RF bias to the ion acceleration electrode 6, positive and negative ions generated in the plasma 5 are accelerated substantially perpendicularly to the direction of the substrate holder 8 and are irradiated onto the substrate 9.

基板保持台8を、プラズマ生成部の下部50mmの位置に配置し、−20℃に冷却した。基板保持台8上には、シリコン(Si)基板、あるいは、表面に酸化珪素(SiO2
薄膜が堆積されたシリコン(Si)基板、あるいは、表面にエッチングマスクとして酸化珪素(SiO2)薄膜が堆積されたシリコン(Si)基板を設置して、前記プラズマ5に
よって基板のプラズマエッチングを行った。
The substrate holder 8 was placed at a position 50 mm below the plasma generation unit and cooled to −20 ° C. A silicon (Si) substrate or a silicon oxide (SiO 2 ) surface is provided on the substrate holder 8.
A silicon (Si) substrate on which a thin film was deposited or a silicon (Si) substrate on which a silicon oxide (SiO 2 ) thin film was deposited as an etching mask on the surface was placed, and plasma etching of the substrate was performed with the plasma 5. .

実験で得られたSiおよびSiO2のエッチレートを図2に示す。また、Siのエッチ
ング形状を観察したSEM(走査電子顕微鏡)像を図3に示す。
〔実施例2〕
パルス時間変調条件(パルス幅)として、OFF時間を25μ秒に固定して、ON時間を種々変化させることにより、ON時間依存性を調査したこと以外は、実施例1と同様の方法により、フッ素ガス(F2)のパルス時間変調プラズマによって基板のプラズマエッ
チングを行った。シリコン(Si)および酸化珪素(SiO2)のエッチング速度を測定
し、Siエッチング形状の観察を行った。実験で得られたSiおよびSiO2のエッチレ
ートを図4に示す。また、Siのエッチング形状を観察したSEM(走査電子顕微鏡)像を図5に示す。
FIG. 2 shows the Si and SiO 2 etch rates obtained in the experiment. FIG. 3 shows an SEM (scanning electron microscope) image obtained by observing the etching shape of Si.
[Example 2]
As a pulse time modulation condition (pulse width), the OFF time is fixed to 25 μs, and the ON time dependency is investigated by changing the ON time in various ways. Plasma etching of the substrate was performed by pulse time-modulated plasma of gas (F 2 ). The etching rate of silicon (Si) and silicon oxide (SiO 2 ) was measured, and the Si etching shape was observed. FIG. 4 shows the Si and SiO 2 etch rates obtained in the experiment. Further, an SEM (scanning electron microscope) image obtained by observing the etching shape of Si is shown in FIG.

図2〜5より、フッ素ガス(F2)のパルス時間変調プラズマ(高周波電界の印加と印
加の停止とを交互に繰り返すことによって生成するプラズマ)では、高周波電界を印加する(ON)時間が75μ秒まで長くなるとエッチング形状異常が生じることがわかった。また、印加を停止する(OFF)時間が25μ秒の場合にSiのエッチレートが最大で、エッチング形状の異方性も高くなる(サイド方向のエッチレート=yに対する垂直方向のエッチレート=xの比、すなわちy/xの値が最小となる)ことがわかった。これらの結
果から、パルス時間変調条件(パルス幅)を、ON時間=20〜70μ秒、OFF時間=20〜100μ秒とすることによって、プラズマ中に生成されるイオン(F-およびF+)の総量が大となり、ラジカル(F)の生成は抑制されることが明らかになった。
2 to 5, in the pulse time modulated plasma of fluorine gas (F 2 ) (plasma generated by alternately repeating the application of the high frequency electric field and the stop of the application), the time for applying the high frequency electric field (ON) is 75 μm. It was found that the etching shape abnormality occurred when the time was increased to 2 seconds. In addition, when the application stop (OFF) time is 25 μsec, the Si etch rate is maximum and the anisotropy of the etching shape is increased (the etch rate in the direction perpendicular to the side direction etch rate = y The ratio, i.e. the value of y / x, is found to be minimal). From these results, by setting the pulse time modulation condition (pulse width) to ON time = 20 to 70 μsec and OFF time = 20 to 100 μsec, the ions (F and F + ) generated in the plasma It became clear that the total amount became large and the generation of radical (F) was suppressed.

〔実施例3〕
パルス時間変調条件(パルス幅)を、ON時間を50μ秒、OFF時間を50μ秒として、電圧印加用電源7よりイオン加速用電極6に印可する1MHzのRFバイアスの出力(パワー)を種々変化させることにより、下部RFバイアスパワー依存性を調査したこと以外は、実施例1と同様の方法により、フッ素ガス(F2)のパルス時間変調プラズマに
よって基板のプラズマエッチングを行った。シリコン(Si)および酸化珪素(SiO2
)のエッチング速度を測定し、Siエッチング形状の観察を行った。実験で得られたSiおよびSiO2のエッチレートを図6に示す。また、Siのエッチング形状を観察したS
EM(走査電子顕微鏡)像を図7に示す。
Example 3
The pulse time modulation condition (pulse width) is set to 50 μsec for the ON time and 50 μsec for the OFF time, and the output (power) of the 1 MHz RF bias applied to the ion acceleration electrode 6 from the voltage application power source 7 is varied. Thus, plasma etching of the substrate was performed with a pulse time-modulated plasma of fluorine gas (F 2 ) in the same manner as in Example 1 except that the dependency on the lower RF bias power was investigated. Silicon (Si) and silicon oxide (SiO 2
) Was measured, and the Si etching shape was observed. The Si and SiO 2 etch rates obtained in the experiment are shown in FIG. In addition, S observed the etching shape of Si
An EM (scanning electron microscope) image is shown in FIG.

図6および図7より、フッ素ガス(F2)のパルス時間変調プラズマ(高周波電界の印
加と印加の停止とを交互に繰り返すことによって生成するプラズマ)では、被処理基板に印可するRFバイアスパワーの増加に従って、SiおよびSiO2のエッチレートはほぼ
直線的に増加し、Siエッチング形状の異方性も単調に向上することがわかった。特に、Siのエッチレートが1μm/min程度以上となるように、基板に印可するRFバイアスを60W以上とした場合に、実用的なエッチングプロセスとなることが期待できる。
6 and 7, in the pulse time-modulated plasma of fluorine gas (F 2 ) (plasma generated by alternately repeating the application of the high-frequency electric field and the stop of the application), the RF bias power applied to the substrate to be processed is determined. It was found that the etching rate of Si and SiO 2 increased almost linearly with increasing, and the anisotropy of the Si etching shape also improved monotonously. In particular, a practical etching process can be expected when the RF bias applied to the substrate is 60 W or more so that the Si etch rate is about 1 μm / min or more.

〔実施例4〕
フッ素ガス(F2)の導入量を40ml/min、パルス時間変調条件(パルス幅)を
、ON時間を50μ秒、OFF時間を25μ秒として、電圧印加用電源7よりイオン加速用電極6に印可する1MHzのRFバイアスの出力(パワー)を100Wとし、基板保持台8の冷却温度を−35℃としたこと以外は、実施例1と同様の方法により、フッ素ガス(F2)のパルス時間変調プラズマによって基板のプラズマエッチングを行った。Siの
エッチング形状を観察したSEM(走査電子顕微鏡)像を図8に示す。実験で得られたSiのエッチレートを図8内に合わせて示した。
Example 4
Fluorine gas (F 2 ) introduction rate is 40 ml / min, pulse time modulation condition (pulse width) is ON time 50 μsec, OFF time is 25 μsec. The pulse time modulation of fluorine gas (F 2 ) is performed in the same manner as in Example 1 except that the output (power) of 1 MHz RF bias is 100 W and the cooling temperature of the substrate holder 8 is −35 ° C. Plasma etching of the substrate was performed with plasma. An SEM (scanning electron microscope) image obtained by observing the etched shape of Si is shown in FIG. The Si etch rate obtained in the experiment is also shown in FIG.

〔実施例5〕
電圧印加用電源7よりイオン加速用電極6に印可する1MHzのRFバイアスの出力(パワー)を150Wとしたこと以外は、実施例4と同様の方法により、フッ素ガス(F2
)のパルス時間変調プラズマによって基板のプラズマエッチングを行った。Siのエッチング形状を観察したSEM(走査電子顕微鏡)像を図9に示す。実験で得られたSiのエッチレートを図9内に合わせて示した。
Example 5
A fluorine gas (F 2) is produced in the same manner as in Example 4 except that the output (power) of 1 MHz RF bias applied to the ion acceleration electrode 6 from the voltage application power source 7 is 150 W.
The substrate was plasma etched by the pulse time modulated plasma of FIG. 9 shows an SEM (scanning electron microscope) image obtained by observing the etching shape of Si. The Si etch rate obtained in the experiment is also shown in FIG.

図8および図9より、フッ素ガス(F2)のパルス時間変調プラズマ(高周波電界の印
加と印加の停止とを交互に繰り返すことによって生成するプラズマ)では、被処理基板に
印可するRFバイアスパワーの増加に従って、Siエッチング形状の異方性が向上することがわかった。
8 and 9, in the pulse time modulated plasma of fluorine gas (F 2 ) (plasma generated by alternately repeating the application of the high-frequency electric field and the stop of the application), the RF bias power applied to the substrate to be processed is shown. It was found that as the increase, the anisotropy of the Si etching shape was improved.

〔比較例1〕
フッ素ガス(F2)の連続プラズマによって基板のプラズマエッチングを行った。
高周波電源4からアンテナ3に印可するRFバイアスを連続放電として、連続プラズマを生成し、プラズマ生成・基板処理室2の圧力を40mTorrとした以外は、実施例1と同様の方法により、フッ素ガスの連続プラズマによって基板のプラズマエッチングを行った。
[Comparative Example 1]
Plasma etching of the substrate was performed by continuous plasma of fluorine gas (F 2 ).
Except that the RF bias applied to the antenna 3 from the high-frequency power source 4 is a continuous discharge, continuous plasma is generated, and the pressure in the plasma generation / substrate processing chamber 2 is 40 mTorr, the same method as in Example 1 is used. Plasma etching of the substrate was performed by continuous plasma.

Siのエッチング形状を観察したSEM(走査電子顕微鏡)像を図10に示す。実験で得られたSiのエッチレートを図10内に合わせて示した。図10より明らかなように、従来から検討されている連続プラズマでは、大きなサイドエッチング(アンダーカット)が生じるため等方的なエッチング形状となることが確認された。   An SEM (scanning electron microscope) image obtained by observing the etched shape of Si is shown in FIG. The Si etch rate obtained in the experiment is also shown in FIG. As is clear from FIG. 10, it has been confirmed that the continuous plasma that has been studied conventionally has an isotropic etching shape because large side etching (undercut) occurs.

〔比較例2〕
六フッ化硫黄ガス(SF6)のパルス時間変調プラズマによって基板のプラズマエッチ
ングを行った。
[Comparative Example 2]
Plasma etching of the substrate was performed using pulse time-modulated plasma of sulfur hexafluoride gas (SF 6 ).

プラズマ生成・基板処理室2に導入した処理ガス1を六フッ化硫黄ガス(SF6)とし
て、パルス時間変調条件(パルス幅)を、ON時間を50μ秒、OFF時間を50μ秒とし、プラズマ生成・基板処理室2の圧力を40mTorrとした以外は、実施例1と同様の方法により、六フッ化硫黄ガス(SF6)のパルス時間変調プラズマによって基板のプ
ラズマエッチングを行った。
Plasma generation by using processing gas 1 introduced into the plasma generation / substrate processing chamber 2 as sulfur hexafluoride gas (SF 6 ), pulse time modulation conditions (pulse width), ON time 50 μsec, OFF time 50 μsec The substrate was etched by pulse time-modulated plasma of sulfur hexafluoride gas (SF 6 ) in the same manner as in Example 1 except that the pressure in the substrate processing chamber 2 was 40 mTorr.

Siのエッチング形状を観察したSEM(走査電子顕微鏡)像を図11に示す。実験で得られたSiのエッチレートを図11内に合わせて示した。図11から明らかなように、従来からSiのエッチングガスとして検討されている六フッ化硫黄ガス(SF6)では、
大きなSiエッチレートを実現できるものの、大きなサイドエッチング(アンダーカット)が生じるため等方的なエッチング形状となることが確認された。
FIG. 11 shows an SEM (scanning electron microscope) image obtained by observing the etching shape of Si. The Si etch rate obtained in the experiment is also shown in FIG. As is apparent from FIG. 11, in the case of sulfur hexafluoride gas (SF 6 ) that has been conventionally studied as an etching gas for Si,
Although a large Si etch rate can be realized, it has been confirmed that an isotropic etching shape is obtained because a large side etching (undercut) occurs.

本発明に係るプラズマエッチング方法を実施可能なパルス時間変調プラズマエッチング装置の一例を示す概略図である。It is the schematic which shows an example of the pulse time modulation plasma etching apparatus which can implement the plasma etching method concerning this invention. 実施例1において計測した、フッ素ガス(F2)のパルス時間変調プラズマによる珪素(Si)および酸化珪素(SiO2)のエッチレートである。FIG. 3 shows the etching rates of silicon (Si) and silicon oxide (SiO 2 ) measured by pulse time modulated plasma of fluorine gas (F 2 ) measured in Example 1. FIG. 実施例1において観察した、フッ素ガス(F2)のパルス時間変調プラズマによりエッチングした基板のSEM観察像である。Was observed in Example 1, a SEM observation image of the substrate is etched by the pulse time modulation plasma fluorine gas (F 2). 実施例2において計測した、フッ素ガス(F2)のパルス時間変調プラズマによる珪素(Si)および酸化珪素(SiO2)のエッチレートである。FIG. 5 shows the etching rates of silicon (Si) and silicon oxide (SiO 2 ) measured by pulse time-modulated plasma of fluorine gas (F 2 ) measured in Example 2. FIG. 実施例2において観察した、フッ素ガス(F2)のパルス時間変調プラズマによりエッチングした基板のSEM観察像である。Was observed in Example 2, a SEM observation image of the substrate is etched by the pulse time modulation plasma fluorine gas (F 2). 実施例3において計測した、フッ素ガス(F2)のパルス時間変調プラズマによる珪素(Si)および酸化珪素(SiO2)のエッチレートである。FIG. 5 shows the etching rates of silicon (Si) and silicon oxide (SiO 2 ) measured by pulse time modulated plasma of fluorine gas (F 2 ) measured in Example 3. FIG. 実施例3において観察した、フッ素ガス(F2)のパルス時間変調プラズマによりエッチングした基板のSEM観察像である。Was observed in Example 3, a SEM observation image of the substrate is etched by the pulse time modulation plasma fluorine gas (F 2). 実施例4において観察した、フッ素ガス(F2)のパルス時間変調プラズマによりエッチングした基板のSEM観察像である。Was observed in Example 4, a SEM observation image of the substrate is etched by the pulse time modulation plasma fluorine gas (F 2). 実施例5において観察した、フッ素ガス(F2)のパルス時間変調プラズマによりエッチングした基板のSEM観察像である。Was observed in Example 5, a SEM observation image of the substrate is etched by the pulse time modulation plasma fluorine gas (F 2). 比較例1において観察した、フッ素ガス(F2)の連続プラズマによりエッチングした基板のSEM観察像である。Was observed in Comparative Example 1, a SEM observation image of the substrate is etched by continuous plasma of fluorine gas (F 2). 比較例2において観察した、六フッ化硫黄ガス(SF6)のパルス時間変調プラズマによりエッチングした基板のSEM観察像である。Was observed in Comparative Example 2, a SEM observation image of the substrate is etched by the pulse time modulation plasma sulfur hexafluoride gas (SF 6).

符号の説明Explanation of symbols

1 処理ガス
2 プラズマ生成・基板処理室
3 誘導結合プラズマ生成用アンテナ
4 パルス変調可能なプラズマ生成用高周波電源
5 プラズマ
6 イオン加速用電極
7 電圧印加用電源
8 基板保持台
9 基板
10 排気ガス
DESCRIPTION OF SYMBOLS 1 Process gas 2 Plasma production / substrate processing chamber 3 Inductively coupled plasma generation antenna 4 High frequency power source for plasma generation capable of pulse modulation 5 Plasma 6 Ion acceleration electrode 7 Voltage application power source 8 Substrate holder 9 Substrate 10 Exhaust gas

Claims (6)

フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、高周波電界の印加と印
加の停止とを交互に繰り返すことによりプラズマを生成し、該プラズマを基板に照射して基板処理を行うプラズマエッチング方法であって、
前記高周波電界を印加する時間が20〜70μ秒であり、かつ、印加を停止する時間が20〜100μ秒であることを特徴とするプラズマエッチング方法。
A processing gas containing fluorine gas (F 2 ) is supplied to the plasma generation chamber, and plasma is generated by alternately repeating the application of the high-frequency electric field and the stop of the application, and the substrate is irradiated with the plasma to perform the substrate processing. A plasma etching method comprising:
A plasma etching method, wherein the time for applying the high-frequency electric field is 20 to 70 μs and the time for stopping the application is 20 to 100 μs.
フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、高周波電界の印加と印
加の停止とを交互に繰り返すことによりプラズマを生成し、該プラズマを基板に照射して基板処理を行うプラズマエッチング方法であって、
前記基板に印加する高周波電界の出力が60W以上であることを特徴とするプラズマエッチング方法。
A processing gas containing fluorine gas (F 2 ) is supplied to the plasma generation chamber, and plasma is generated by alternately repeating the application of the high-frequency electric field and the stop of the application, and the substrate is irradiated with the plasma to perform the substrate processing. A plasma etching method comprising:
A plasma etching method, wherein an output of a high frequency electric field applied to the substrate is 60 W or more.
請求項1または2に記載のプラズマエッチング方法を利用することを特徴とするシリコンまたはシリコン化合物のプラズマエッチング方法。   A plasma etching method for silicon or a silicon compound, wherein the plasma etching method according to claim 1 or 2 is used. 前記シリコン化合物が、酸化珪素、窒化珪素または珪酸塩であることを特徴とする請求項3に記載のプラズマエッチング方法。   The plasma etching method according to claim 3, wherein the silicon compound is silicon oxide, silicon nitride, or silicate. 請求項1〜4のいずれかに記載の方法により製作した半導体デバイス。   A semiconductor device manufactured by the method according to claim 1. 請求項1〜4のいずれかに記載の方法により製作したマイクロマシン(MEMS:Micro Electric Mechanical System)デバイス。   A micromachine (MEMS) device manufactured by the method according to claim 1.
JP2006074633A 2006-03-17 2006-03-17 Plasma etching method Pending JP2007250985A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006074633A JP2007250985A (en) 2006-03-17 2006-03-17 Plasma etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006074633A JP2007250985A (en) 2006-03-17 2006-03-17 Plasma etching method

Publications (1)

Publication Number Publication Date
JP2007250985A true JP2007250985A (en) 2007-09-27

Family

ID=38594933

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006074633A Pending JP2007250985A (en) 2006-03-17 2006-03-17 Plasma etching method

Country Status (1)

Country Link
JP (1) JP2007250985A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011510501A (en) * 2008-01-23 2011-03-31 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング Manufacturing method of solar cell
JP2011098409A (en) * 2009-11-05 2011-05-19 Ulvac Japan Ltd Method and device of manufacturing mems device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006049817A (en) * 2004-07-07 2006-02-16 Showa Denko Kk Plasma treatment method and plasma etching method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006049817A (en) * 2004-07-07 2006-02-16 Showa Denko Kk Plasma treatment method and plasma etching method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011510501A (en) * 2008-01-23 2011-03-31 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング Manufacturing method of solar cell
JP2017017331A (en) * 2008-01-23 2017-01-19 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツングSolvay Fluor GmbH Method for manufacturing solar cell
JP2011098409A (en) * 2009-11-05 2011-05-19 Ulvac Japan Ltd Method and device of manufacturing mems device

Similar Documents

Publication Publication Date Title
JP6719602B2 (en) Selective etching using material modification and RF pulse
JP4783169B2 (en) Dry etching method, fine structure forming method, mold and manufacturing method thereof
KR100896549B1 (en) Plasma treatment method and plasma etching method
JP6138653B2 (en) Dry etching method
US8828883B2 (en) Methods and apparatuses for energetic neutral flux generation for processing a substrate
WO2008020267A2 (en) Etch method in the manufacture of an integrated circuit
JPH06349784A (en) Method and apparatus for anisotropic plasma etching of substrate as well as electronic component or sensor element
JP2007129260A (en) Anisotropic etching method for silicon
JPWO2003030239A1 (en) Silicon substrate etching method and etching apparatus
JP2007092108A (en) Method for forming functional thin film and apparatus for forming functional thin film
JP2002083799A (en) Semiconductor etching device, and etching method for semiconductor device utilizing the same
JP2008177209A (en) Plasma etching method
JPWO2007094087A1 (en) Dry etching method, fine structure forming method, mold and manufacturing method thereof
CN106504982B (en) Substrate etching method
JP2008290888A (en) Surface treating method of silicon carbide
JP2007250985A (en) Plasma etching method
JPH09115887A (en) Plasma etching method and apparatus therefor
JP6579786B2 (en) Plasma etching method
CN105702569A (en) Etching method
CN103871865B (en) A kind of method of cleaning plasma reaction chamber sidewall
JP2007141918A (en) Dry etching method
JP5154013B2 (en) Dry etching method
CN108133888B (en) Deep silicon etching method
JP2007266522A (en) Plasma treatment device and processing method employing it
Mohamed et al. The fabrication of high aspect ratio nanostructures on quartz substrate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090306

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101124

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110322