JP2007214156A - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
JP2007214156A
JP2007214156A JP2006029116A JP2006029116A JP2007214156A JP 2007214156 A JP2007214156 A JP 2007214156A JP 2006029116 A JP2006029116 A JP 2006029116A JP 2006029116 A JP2006029116 A JP 2006029116A JP 2007214156 A JP2007214156 A JP 2007214156A
Authority
JP
Japan
Prior art keywords
film
chamber
wafer
wavelength
lamp
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2006029116A
Other languages
Japanese (ja)
Inventor
Yoshimi Shiotani
喜美 塩谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
YATABE HITOO
Original Assignee
YATABE HITOO
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by YATABE HITOO filed Critical YATABE HITOO
Priority to JP2006029116A priority Critical patent/JP2007214156A/en
Publication of JP2007214156A publication Critical patent/JP2007214156A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor manufacturing apparatus capable of improving the quality of insulating film. <P>SOLUTION: A lighting system comprises a first irradiation means for irradiating an insulating film with light of a first wavelength, and a second irradiation means for irradiating the insulating film with a light of a second wavelength. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、半導体デバイスに関する。   The present invention relates to a semiconductor device.

従来、半導体デバイスは、種々の絶縁膜が設けられている。これらの絶縁膜には、ICの層間絶縁膜(例えば、低誘電率膜(以下、「Low−k膜」と称する。)、配線間に形成される配線材料のバリアー絶縁膜、高誘電率ゲート絶縁膜(以下、「High−k膜」と称する。)などがある。また、絶縁膜の材料には、SiN、SiON、SiOCH、SiOCNH、SiCH、SiCNH、SiOCF、SiCFなどが用いられる。   Conventionally, a semiconductor device is provided with various insulating films. These insulating films include an IC interlayer insulating film (for example, a low dielectric constant film (hereinafter referred to as “Low-k film”), a barrier insulating film of a wiring material formed between wirings, and a high dielectric constant gate. Insulating films (hereinafter referred to as “High-k films”), etc. In addition, SiN, SiON, SiOCH, SiOCNH, SiCH, SiCNH, SiOCF, SiCF, or the like is used as a material for the insulating film.

Low−k膜は、低誘電率及び高機械的強度であることが要求されている。低誘電率を実現するための一法は、熱アニール処理を行うことである。高機械的強度を実現するための一法は、特許文献1に記載されているように、紫外光照射処理を行うことである。   Low-k films are required to have a low dielectric constant and a high mechanical strength. One way to achieve a low dielectric constant is to perform a thermal annealing process. One method for realizing high mechanical strength is to perform ultraviolet light irradiation treatment as described in Patent Document 1.

具体的には、上記熱アニール処理は、400℃以上の温度で、30分以上アニールする、ことが必要とされている。また、上記紫外光照射処理は、200nm以下の波長の紫外光を照射することが必要とされている。   Specifically, the thermal annealing treatment is required to anneal at a temperature of 400 ° C. or higher for 30 minutes or longer. The ultraviolet light irradiation treatment is required to irradiate ultraviolet light having a wavelength of 200 nm or less.

また、バリアー絶縁膜は、均一で高蜜度であることが要求されているが、薄膜化の要請もある。   In addition, the barrier insulating film is required to be uniform and high in honey, but there is also a demand for a thin film.

さらに、High−k膜(HfO膜)は、緻密で、かつ、リーク電流を流れにくくすることが要求されている。このために、膜形成後のアニールが重要になっている。従来、High−k膜は、有機金属化学気相蒸着法 (Metal-Organic Chemical Vapor Deposition:MOCVD)などで形成されていた。具体的には、High−k膜の形成に先立って、シリコン上にOガスを供給しながら、425℃の温度で加熱することで境界層を形成する。その後、450℃〜550℃の温度下で有機金属化学気相蒸着により、High−k膜を形成する。その後700℃から900℃の温度下でN、NS/OガスまたはNHガスを供給することにより、High−k膜中のSi−O結合のシリコンを窒素化(N化)を行いSiN結合を形成する。さらにアルゴン(Ar)中でアニール処理を行っている(非特許文献1,2)。 Furthermore, the high-k film (HfO 2 film) is required to be dense and to prevent leakage current from flowing. For this reason, annealing after film formation is important. Conventionally, a high-k film has been formed by metal-organic chemical vapor deposition (MOCVD) or the like. Specifically, prior to the formation of the High-k film, the boundary layer is formed by heating at a temperature of 425 ° C. while supplying O 2 gas onto the silicon. Thereafter, a high-k film is formed by metal organic chemical vapor deposition at a temperature of 450 ° C. to 550 ° C. Thereafter, N 2 , NS 2 / O 2 gas or NH 3 gas is supplied at a temperature of 700 ° C. to 900 ° C., thereby nitrogenizing (N) the Si—O bonded silicon in the High-k film. SiN bonds are formed. Further, annealing is performed in argon (Ar) (Non-patent Documents 1 and 2).

特開2004−356508号公報JP 2004-356508 A IEEE Electron Devices 52, p1839 (2005).IEEE Electron Devices 52, p1839 (2005). The Electrochemical Society Interface, Summer 2005, p30 (2005).The Electrochemical Society Interface, Summer 2005, p30 (2005).

しかし、従来の紫外線照射処理を行うと、Low−k膜は、その機械的強度は向上するが、誘電率も増加するという問題があった。例えば、誘電率が2.4のLow−k膜に、波長が172nm、照度が14mW/cmの紫外光を2分間照射すると、機械的強度であるヤング率は8GPaになるが、誘電率は2.6以上に増加した。 However, when the conventional ultraviolet irradiation treatment is performed, the mechanical strength of the low-k film is improved, but the dielectric constant is also increased. For example, when a low-k film having a dielectric constant of 2.4 is irradiated with ultraviolet light having a wavelength of 172 nm and an illuminance of 14 mW / cm 2 for 2 minutes, the Young's modulus, which is mechanical strength, is 8 GPa, but the dielectric constant is It increased to 2.6 or more.

また、熱アニール処理を行うことによって2.3以下の誘電率を実現可能な回転塗布(Spin on Deposition:SOD)膜に対して、波長が172nm、照度が14mW/cmの紫外光を4分間照射すると、機械的強度であるヤング率は8GPaになるが、誘電率が2.5に増加した。 In addition, ultraviolet light having a wavelength of 172 nm and an illuminance of 14 mW / cm 2 is applied to a spin-on-deposition (SOD) film capable of realizing a dielectric constant of 2.3 or less by performing thermal annealing for 4 minutes. When irradiated, the Young's modulus, which is mechanical strength, was 8 GPa, but the dielectric constant increased to 2.5.

さらに、上記熱アニール工程は、既述のように、400℃という高い温度で30分以上のアニールを行うため、例えば、半導体デバイスで使用される、銅(Cu)などの配線材料がLow−k膜へ拡散し、配線間のリーク電流が多くなる。また、上記熱アニール処理は30分以上の時間を要するのに対して、半導体デバイスの他の製造工程は5分程度である。したがって、上記熱アニール処理を行うと、半導体デバイスの製造スループットが低下するという問題がある。   Further, as described above, since the thermal annealing process is performed at a temperature as high as 400 ° C. for 30 minutes or more, for example, a wiring material such as copper (Cu) used in a semiconductor device is low-k. Diffusion to the film increases the leakage current between the wirings. The thermal annealing process takes 30 minutes or more, while the other manufacturing process of the semiconductor device takes about 5 minutes. Therefore, when the thermal annealing treatment is performed, there is a problem that the manufacturing throughput of the semiconductor device is lowered.

また、バリアー絶縁膜を薄膜化して、さらに、その密度を高めることは、困難であった。もっとも、従来、バリアー絶縁膜の密度を高める具体的手法は、存在していない。   Further, it has been difficult to reduce the thickness of the barrier insulating film and further increase its density. However, there has been no specific method for increasing the density of the barrier insulating film.

さらに、High−k膜の場合には、High−k膜中に多くのチャージが存在し、ソース−ドレイン電流が小さくなることとHigh−k膜のリーク電流が大きい問題があった。これらはHigh−k膜中の酸素(O)の空孔に起因する問題である。   Further, in the case of the High-k film, there are problems that a large amount of charge exists in the High-k film, the source-drain current becomes small, and the leak current of the High-k film is large. These are problems caused by oxygen (O) vacancies in the high-k film.

このように、絶縁膜に対しては、その用途に応じた改質が求められている。   As described above, the insulating film is required to be modified according to its use.

そこで、本発明は、絶縁膜を改質可能な半導体製造装置を提供することを課題とする。   Accordingly, an object of the present invention is to provide a semiconductor manufacturing apparatus capable of modifying an insulating film.

上記課題を解決するために、本発明の照射装置は、絶縁膜に対して第1の波長の光を照射する第1照射手段と、前記絶縁膜に対して第2の波長の光を照射する第2照射手段と、を備える。   In order to solve the above-described problems, an irradiation apparatus according to the present invention irradiates light having a first wavelength to an insulating film and light having a second wavelength to the insulating film. A second irradiation means.

前記絶縁膜が低誘電率膜の場合には、前記光の一方は絶縁膜内の安定状態にない結合基を切断するために必要な波長以下の光であり、前記光の他方は吸収端以上の波長の光である。   In the case where the insulating film is a low dielectric constant film, one of the lights is light having a wavelength shorter than that necessary for cutting a bonding group that is not in a stable state in the insulating film, and the other of the light is above the absorption edge. It is light of the wavelength.

また、前記絶縁膜が配線間絶縁膜又はバリアー絶縁膜の場合には、前記光の一方は絶縁膜内の結合基を切断するために必要な波長以下の光であり、前記光の他方は吸収端以上の波長の光である。   Further, when the insulating film is an inter-wiring insulating film or a barrier insulating film, one of the lights is light having a wavelength shorter than a wavelength necessary for cutting a bonding group in the insulating film, and the other of the light is absorbed. It is light with a wavelength longer than the end.

さらに、前記絶縁膜が高誘電率ゲート絶縁膜の場合には、前記光の一方は遷移金属の酸化に必要な波長またはC−H結合を切断するのに必要な波長以下の光であり、前記各光の他方は吸収端以上の波長の光である。   Further, in the case where the insulating film is a high dielectric constant gate insulating film, one of the lights is light having a wavelength less than a wavelength necessary for oxidizing a transition metal or a wavelength necessary for breaking a C—H bond, The other of the lights is light having a wavelength longer than the absorption edge.

また、本発明の半導体製造装置は、上記照射装置と、前記絶縁膜を有するウェハを搬送する搬送装置と、を備える。   Moreover, the semiconductor manufacturing apparatus of this invention is equipped with the said irradiation apparatus and the conveying apparatus which conveys the wafer which has the said insulating film.

前記第1及び第2照射手段は、同一のチャンバーに設けられていてもよいし、異なるチャンバーに設けられていてもよい。   The first and second irradiation means may be provided in the same chamber, or may be provided in different chambers.

さらに、本発明の半導体デバイスは、化学的気相蒸着装置によって製造される場合には、誘電率が2.4以下であって、ヤング率が5GPa以上である絶縁膜を備える。   Furthermore, when manufactured by a chemical vapor deposition apparatus, the semiconductor device of the present invention includes an insulating film having a dielectric constant of 2.4 or less and a Young's modulus of 5 GPa or more.

本発明の半導体デバイスは、半導体デバイス回転塗布成膜装置によって製造される場合には、誘電率が2.3以下であって、ヤング率が6GPa以上である絶縁膜を備える。   When the semiconductor device of the present invention is manufactured by a semiconductor device spin coating film forming apparatus, the semiconductor device includes an insulating film having a dielectric constant of 2.3 or less and a Young's modulus of 6 GPa or more.

さらに、本発明の照射方法は、絶縁膜に対して第1の波長の光を照射する第1照射工程と、前記第1照射工程の後に第2の波長の光を照射する第2照射工程と、を含む。   Furthermore, the irradiation method of the present invention includes a first irradiation step of irradiating the insulating film with light of a first wavelength, and a second irradiation step of irradiating light of a second wavelength after the first irradiation step. ,including.

発明の実施の形態BEST MODE FOR CARRYING OUT THE INVENTION

以下、本発明の実施形態について、図面を参照して説明する。なお、各図において、同様の部分には、同一の符号を付している。
(実施形態1)
図1は、本発明の実施形態1の半導体製造装置の模式的な構成図である。本実施形態では、主として、Low−k膜を改質する装置について説明する。
Hereinafter, embodiments of the present invention will be described with reference to the drawings. In addition, in each figure, the same code | symbol is attached | subjected to the same part.
(Embodiment 1)
FIG. 1 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to Embodiment 1 of the present invention. In this embodiment, an apparatus for modifying a Low-k film will be mainly described.

図1には、ウェハが収容されるフープ41と、フープ41から取り出されたウェハの位置決めを行うウェハアライメント42と、ロードロック機構を有する減圧チャンバーであるロードロックチャンバー43と、ウェハに対して相対的に長波長の光を照射する第一チャンバー1と、ウェハに対して相対的に短波長の光を照射する第二チャンバー2と、ロードロックチャンバー43と第一チャンバー1と第二チャンバー2との間でウェハを搬送するロボットアームを有するトランスファーチャンバー44とを示している。   FIG. 1 shows a FOUP 41 in which a wafer is accommodated, a wafer alignment 42 for positioning the wafer taken out from the FOUP 41, a load lock chamber 43 that is a decompression chamber having a load lock mechanism, and a relative position to the wafer. A first chamber 1 that irradiates light with a long wavelength, a second chamber 2 that irradiates a wafer with a relatively short wavelength, a load lock chamber 43, a first chamber 1, and a second chamber 2. And a transfer chamber 44 having a robot arm for transferring wafers between them.

図2は、図1の第一チャンバー1の模式的な構成図である。図2には、Low−k膜の材料によって決定される高圧水銀ランプのように300nm以上の波長の光を照射する又はハロゲンランプのように400nm以上の波長の光を照射する複数(例えば4つ)のランプ3と、各ランプ3を減圧時による応力から保護するとともに酸素の混入による劣化を防止する石英パイプ4と、石英パイプ4内に供給される窒素(N)ガスなどの不活性ガス5と、半導体デバイスとなるウェハ7と、昇降ステージ上に位置しておりウェハ7を加熱するヒーター6と、トランスファーチャンバー44によって搬送されてきたウェハ7を受けるピン8と、連続的・定期的・間歇的にランプ3からの照射光の照度を測定する石英パイプ4内或いは第一チャンバー1の内壁に取り付けられている受光センサー9と、第一チャンバー1内に窒素ガスを供給するための配管11と、ウェハ7を処理した後に第一チャンバー1内をクリーニングするための酸素(O)ガスを供給するための配管12と、各配管11,12とガスタンクとの間に設けられたバルブ14と、各配管11,12を通るガス流量を計測するとともに計測結果に応じてバルブ14の開閉を制御するマスフロー13とを示している。なお、必要に応じて、窒素以外の不活性ガスを第一チャンバー1内に供給できるようにしてもよい。 FIG. 2 is a schematic configuration diagram of the first chamber 1 of FIG. FIG. 2 shows a plurality (for example, four) of irradiating light having a wavelength of 300 nm or more like a high pressure mercury lamp determined by the material of the low-k film or irradiating light having a wavelength of 400 nm or more like a halogen lamp. ) Lamps 3, quartz pipes 4 that protect the lamps 3 from stress caused by decompression and prevent deterioration due to oxygen mixing, and inert gases such as nitrogen (N 2 ) gas supplied into the quartz pipes 4. 5, a wafer 7 to be a semiconductor device, a heater 6 that is located on a lifting stage and that heats the wafer 7, a pin 8 that receives the wafer 7 conveyed by the transfer chamber 44, continuously, periodically, A light receiving sensor 9 attached to the inside of the quartz pipe 4 or the inner wall of the first chamber 1 for intermittently measuring the illuminance of the irradiation light from the lamp 3; A pipe 11 for supplying nitrogen gas into Yanba 1, the pipe 12 for supplying oxygen (O 2) gas for cleaning the first chamber 1 after processing the wafer 7, the pipes 11, 12 shows a valve 14 provided between the gas tank 12 and a gas tank, and a mass flow 13 for measuring the gas flow rate through the pipes 11 and 12 and for controlling the opening and closing of the valve 14 according to the measurement result. Note that an inert gas other than nitrogen may be supplied into the first chamber 1 as necessary.

また、第二チャンバー2の構成も、第一チャンバー1と同様であるが、各ランプ3に代えて、低圧水銀ランプまたはXe、Kr、I、KrBrなどのエキシマランプを用いている。低圧水銀ランプは、ランプの周囲温度が40℃付近で185nm、ランプの周囲温度が20℃付近で254nmの波長の光を照射するものである。   The configuration of the second chamber 2 is the same as that of the first chamber 1, but instead of each lamp 3, a low-pressure mercury lamp or an excimer lamp such as Xe, Kr, I, KrBr is used. The low-pressure mercury lamp emits light having a wavelength of 185 nm when the ambient temperature of the lamp is around 40 ° C. and 254 nm when the ambient temperature of the lamp is around 20 ° C.

なお、第一チャンバー1と第二チャンバー2との双方に、同じ波長の光を照射するランプを設けてもよい。この場合には、図1に示す半導体製造装置で処理されたウェハ7は、加熱時間が従来に比して2倍に増加するので、絶縁膜の機械的強度が高まるという点で改質効果が得られるためである。   In addition, you may provide the lamp | ramp which irradiates the light of the same wavelength in both the 1st chamber 1 and the 2nd chamber 2. FIG. In this case, the wafer 7 processed by the semiconductor manufacturing apparatus shown in FIG. 1 has a modification effect in that the mechanical strength of the insulating film is increased because the heating time is doubled compared to the conventional case. It is because it is obtained.

また、第一チャンバー1のランプ3には、赤外線ランプ、キセノンランプ、アルゴンレーザ、炭酸ガスレーザを用いることもできる。さらに、第二チャンバー2のランプには、XeF、XeCl、XeBr、KrF、KrCl、ArF、ArClなどのエキシマレーザを用いることもできる。   In addition, an infrared lamp, a xenon lamp, an argon laser, or a carbon dioxide laser can be used for the lamp 3 in the first chamber 1. Further, an excimer laser such as XeF, XeCl, XeBr, KrF, KrCl, ArF, ArCl or the like can be used for the lamp of the second chamber 2.

図3は、照射光の波長と物質の結合エネルギーとの関係を示す図である。図3の横軸が波長(nm)、縦軸が結合エネルギー(eV)である。例えば、Low−k膜の材料には、SiOCH、SiC Fなどを、またCuのバリアー膜にはSiN、SiOCH、SiON、SiOCNH、SiCNH膜などが用いることが考えられる。   FIG. 3 is a diagram illustrating the relationship between the wavelength of irradiation light and the binding energy of a substance. In FIG. 3, the horizontal axis represents wavelength (nm) and the vertical axis represents binding energy (eV). For example, it is conceivable that SiOCH, SiCF, or the like is used as the material of the Low-k film, and SiN, SiOCH, SiON, SiOCNH, SiCNH film, or the like is used as the Cu barrier film.

例えば、SiOCH膜には、C−H結合とSi−CH結合とが存在する。これらは、300nm強の波長の光が照射されると結合基が切断される。したがって、SiOCH膜を絶縁膜に採用した場合には、350nm以下の波長の光を照射することで、上記結合基を切断することが可能となる。 For example, a C—H bond and a Si—CH 3 bond exist in the SiOCH film. In these, the bond group is cleaved when irradiated with light having a wavelength of slightly over 300 nm. Therefore, when the SiOCH film is employed as the insulating film, the bonding group can be cleaved by irradiating light with a wavelength of 350 nm or less.

同様に、SiN膜には、N−H結合とSi−H結合とが存在する。これらは、それぞれ300nm,400nm程度の波長の光が照射されると結合基が切断される。したがって、SiN膜を絶縁膜に採用した場合には、400nm以下の波長の光を照射することで、上記結合基を切断することが可能となる。   Similarly, the N—H bond and the Si—H bond exist in the SiN film. In these, the bonding group is cleaved when irradiated with light having wavelengths of about 300 nm and 400 nm, respectively. Therefore, when the SiN film is employed as the insulating film, the bonding group can be cut by irradiating light with a wavelength of 400 nm or less.

ここで、本発明者は、Low−k膜内の不安定な結合状態にある水素成分、フッ素成分などを低減することで、Low−k膜の誘電率を低くできることを見出した。   Here, the present inventor has found that the dielectric constant of the low-k film can be lowered by reducing the hydrogen component, fluorine component, and the like in an unstable bonding state in the low-k film.

したがって、ランプ3からの350nm以下の波長の光を照射することによって、SiOCH膜内のC−H結合とSi−CH結合とを除去できる。この結果、SiOCH膜内の水素成分等が低減され、SiOCH膜の誘電率が低くなる。 Therefore, by irradiating light with a wavelength of 350 nm or less from the lamp 3, the C—H bond and the Si—CH 3 bond in the SiOCH film can be removed. As a result, hydrogen components and the like in the SiOCH film are reduced, and the dielectric constant of the SiOCH film is lowered.

また、本発明者は、配線間絶縁膜又はバリアー絶縁膜の水素成分の結合基を切断することで、配線間絶縁膜等を均一で高密度とすることができることを見出した。さらに、本発明者は、High−k膜に、遷移金属の酸化に必要な波長或いはC−H結合を切断するのに必要な波長以下の光を照射すること、High−k膜を不活性ガス又はOガスを1〜2%程度、好ましくは1%以下含む不活性ガス雰囲気でUVアニールすることで、High−k膜を緻密とすることができ、かつ、リーク電流が流れにくくなることを見出した。 Further, the present inventor has found that the inter-wiring insulating film and the like can be made uniform and high density by cutting the hydrogen bonding group of the inter-wiring insulating film or the barrier insulating film. Further, the inventor irradiates the High-k film with light having a wavelength necessary for the oxidation of the transition metal or a wavelength necessary for breaking the C—H bond, and makes the High-k film an inert gas. Alternatively, UV annealing is performed in an inert gas atmosphere containing about 1 to 2%, preferably 1% or less of O 2 gas, so that the high-k film can be made dense and leakage current hardly flows. I found it.

したがって、上記各絶縁膜の材料に応じて波長を選択したランプを用いれば、絶縁膜を、要求される条件をクリアした状態に改質することができる。   Therefore, if a lamp having a wavelength selected according to the material of each of the insulating films is used, the insulating film can be modified to satisfy a required condition.

図4は、照射光の波長と吸収端と結合エネルギーとの関係を示す図である。図4の横軸が波長(nm)、左縦軸が吸収端(eV)、右縦軸が結合エネルギー(eV)である。例えば、SiO膜の吸収端に対応する波長は155nmである。したがって、SiON膜に155nm以上の波長の光を照射すると、光が膜内に進入して、その結果、光が膜内の構造(結合の骨格)に吸収され、SiO膜またはSiON膜の密度が向上し、機械的強度が高くなる。同様に、SiNの吸収端吸収端に対応する波長は275.6nmであるので、SiN膜に275.6nm以上の波長の光を照射すると、SiN膜の密度が向上する、又は、水素成分等が除去される。 FIG. 4 is a diagram illustrating the relationship among the wavelength of irradiation light, the absorption edge, and the binding energy. The horizontal axis in FIG. 4 is the wavelength (nm), the left vertical axis is the absorption edge (eV), and the right vertical axis is the binding energy (eV). For example, the wavelength corresponding to the absorption edge of the SiO 2 film is 155 nm. Therefore, when the SiON film is irradiated with light having a wavelength of 155 nm or more, the light enters the film, and as a result, the light is absorbed by the structure (bonding skeleton) in the film, and the density of the SiO 2 film or the SiON film And mechanical strength is increased. Similarly, since the wavelength corresponding to the absorption edge / absorption edge of SiN is 275.6 nm, when the SiN film is irradiated with light having a wavelength of 275.6 nm or more, the density of the SiN film is improved, or the hydrogen component is reduced. Removed.

図5は、図2に示すウェハ7の一部の模式的な断面図である。図5には、半導体デバイス内の信号を伝送する配線層31と、配線層31上に形成されていて配線層31の成分の漏れをバリアーするバリアー絶縁膜32と、バリアー絶縁膜32上に形成されていて後の工程でLow−k膜自体の上に形成される層とを絶縁するLow−k膜33とを示している。   FIG. 5 is a schematic cross-sectional view of a part of the wafer 7 shown in FIG. In FIG. 5, a wiring layer 31 that transmits a signal in the semiconductor device, a barrier insulating film 32 that is formed on the wiring layer 31 and barriers leakage of components of the wiring layer 31, and formed on the barrier insulating film 32. The low-k film 33 is shown which insulates the layer formed on the low-k film itself in a later step.

配線層31は、Cuなどが材料として選択され、厚さは200〜300nm程度である。バリアー絶縁膜32は、SiOC、SiCH、SiOCH、SiOCNHなどが材料として選択され、厚さは20〜30nm程度である。Low−k膜33は、SiOCHなどが材料として選択され、厚さは200〜300nm程度である。   For the wiring layer 31, Cu or the like is selected as a material, and the thickness is about 200 to 300 nm. The barrier insulating film 32 is selected from materials such as SiOC, SiCH, SiOCH, and SiOCNH, and has a thickness of about 20 to 30 nm. For the Low-k film 33, SiOCH or the like is selected as a material, and the thickness is about 200 to 300 nm.

つぎに、SiOCH膜がLow−k膜33として選択されたウェハ7を例に、Low−k膜33の改質処理の手順について説明する。本実施形態では、まず、図示しないクリーンルーム内のCVD装置からフープ41に収容された状態で搬送されてくる。その後、ウェハは、フープ41から取り出され、ウェハアライメント42側へ搬送される。   Next, the procedure of the modification process of the Low-k film 33 will be described using the wafer 7 in which the SiOCH film is selected as the Low-k film 33 as an example. In this embodiment, first, it is conveyed in a state accommodated in a FOUP 41 from a CVD apparatus in a clean room (not shown). Thereafter, the wafer is taken out from the hoop 41 and transferred to the wafer alignment 42 side.

ウェハアライメント42では、そのウェハの位置決めが行われる。その後、ウェハ7は、第一チャンバー1に搬送されるのに先立って、ロードロックチャンバー43に搬送される。   In the wafer alignment 42, the wafer is positioned. Thereafter, the wafer 7 is transferred to the load lock chamber 43 prior to being transferred to the first chamber 1.

つぎに、ロードロックチャンバー43内が減圧される。そして、ロードロックチャンバー43内が所望の圧力になると、ロードロックチャンバー43とトランスファーチャンバー44との間を仕切っているゲートバルブが開かれる。   Next, the pressure in the load lock chamber 43 is reduced. When the pressure in the load lock chamber 43 reaches a desired pressure, the gate valve that partitions the load lock chamber 43 and the transfer chamber 44 is opened.

その後、ウェハ7は、トランスファーチャンバー44内に搬送される。つづいて、トランスファーチャンバー44内のロボットアームによって、ロードロックチャンバー43内から第一チャンバー1内へ、ウェハ7が搬送されていく。   Thereafter, the wafer 7 is transferred into the transfer chamber 44. Subsequently, the wafer 7 is transferred from the load lock chamber 43 into the first chamber 1 by the robot arm in the transfer chamber 44.

第一チャンバー1内では、ウェハ7は、ヒーター8の上部に突出しているピン8上に載置される。その後、ヒーターが上昇され、ピン8に載置されていたウェハ7は、ヒーターに直に接触することになる。それから、ウェハ7は、ランプ3からの光の照射に先立って、ヒーター8によって、例えば、約90秒間、350〜400℃で加熱される。   In the first chamber 1, the wafer 7 is placed on the pins 8 protruding above the heater 8. Thereafter, the heater is raised, and the wafer 7 placed on the pins 8 comes into direct contact with the heater. Then, prior to the irradiation of light from the lamp 3, the wafer 7 is heated by the heater 8 at, for example, 350 to 400 ° C. for about 90 seconds.

また、この加熱と共に、図示しない排気手段によって第一チャンバー1内が排気され、かつ、マスフロー13によって窒素ガス側のバルブ14が開かれ、第一チャンバー1内が窒素雰囲気となる。上記加熱は、第一チャンバー1内が例えば1Torrとなる条件で行われ、バルブ14の開閉制御は、第一チャンバー1への窒素ガスの供給量が、例えば100cc/分となる条件で行われる。   Further, along with this heating, the inside of the first chamber 1 is evacuated by an evacuation means (not shown), and the valve 14 on the nitrogen gas side is opened by the mass flow 13 so that the inside of the first chamber 1 becomes a nitrogen atmosphere. The heating is performed under the condition that the inside of the first chamber 1 is, for example, 1 Torr, and the opening / closing control of the valve 14 is performed under the condition that the supply amount of the nitrogen gas to the first chamber 1 is, for example, 100 cc / min.

なお、第一チャンバー1内は、減圧状態でなく、常圧状態であってもよい。また、必要に応じて、Nガスに代えて他の不活性ガスを、第一チャンバー1内に供給してもよいし、Nガスと他の不活性ガスとの混合ガスを用いてもよい。 The first chamber 1 may be in a normal pressure state instead of a reduced pressure state. Further, if necessary, another inert gas may be supplied into the first chamber 1 instead of the N 2 gas, or a mixed gas of N 2 gas and another inert gas may be used. Good.

ヒーター8の上昇は、ランプ3から照射される光がウェハ7に強度ムラがなく到達するように、ウェハ7とランプ3との距離が例えば100〜200mmとなる範囲で行うようにしている。   The heater 8 is raised in such a range that the distance between the wafer 7 and the lamp 3 is, for example, 100 to 200 mm so that the light irradiated from the lamp 3 reaches the wafer 7 without unevenness in intensity.

つぎに、ランプ3からウェハ7に対して光を照射する。この際、光の照度を受光センサー9で測定し、その照度が高圧水銀ランプの場合は例えば8mW/cm、赤外ハロゲンランプの場合は例えば15mW/cmとなるように、ランプ3を制御する。 Next, light is irradiated from the lamp 3 to the wafer 7. In this case, the illuminance of light measured by the light receiving sensor 9, its example 8 mW / cm 2 when the illuminance is high pressure mercury lamp, as in the case of infrared halogen lamp becomes, for example, 15 mW / cm 2, controls the lamp 3 To do.

その後、照射開始から所定時間(例えば1〜2分)が経過したら、照射を完了するとともに、窒素ガス側のバルブ14を閉じる。こうして、バリアー絶縁膜32及びLow−k膜33内の不安定なC−H結合、Si−CH結合およびH−CHSi(CH結合等を除去し、Low−k膜33の誘電率を低下させる。 Thereafter, when a predetermined time (for example, 1 to 2 minutes) has elapsed from the start of irradiation, the irradiation is completed and the valve 14 on the nitrogen gas side is closed. In this way, unstable C—H bonds, Si—CH 3 bonds, H—CH 2 Si (CH 3 ) 3 bonds, etc. in the barrier insulating film 32 and the Low-k film 33 are removed, and Lower the dielectric constant.

引き続き、例えば1Torrの減圧下を維持しながら、酸素ガス側のバルブ14を開けて、Oガスを100cc/分の割合で第一チャンバー1内に約1分間供給することで第一チャンバー1内をクリーニングする。 Subsequently, for example, while maintaining a reduced pressure of 1 Torr, the oxygen gas side valve 14 is opened, and O 2 gas is supplied into the first chamber 1 at a rate of 100 cc / min for about 1 minute. To clean.

つぎに、トランスファーチャンバー44によって、第一チャンバー1内から第二チャンバー2内へ、ウェハ7が搬送される。ウェハ7は、第一チャンバー1での処理の場合と同様に処理されるが、低圧水銀ランプからウェハ7に対して光を照射する条件は、その照度が3mW/cmとなるようにしている。また、照射時間は、例えば1〜4分とする。この照射によって、Low−k膜33の誘電率の上昇を抑えることができ、機械的強度が高めることができる。 Next, the wafer 7 is transferred from the first chamber 1 into the second chamber 2 by the transfer chamber 44. The wafer 7 is processed in the same manner as in the case of the processing in the first chamber 1, but the condition for irradiating the wafer 7 with light from the low-pressure mercury lamp is such that the illuminance is 3 mW / cm 2 . . Moreover, irradiation time shall be 1-4 minutes, for example. By this irradiation, an increase in the dielectric constant of the Low-k film 33 can be suppressed, and the mechanical strength can be increased.

第二チャンバー2から取り出したウェハ7は、例えば、Low−k膜33のヤング率は約5GPa以上、誘電率は2.5以下となる。また、バリアー絶縁膜32のヤング率は約60GPa、誘電率は約4.0、密度は約2.5g/cmとなる。 The wafer 7 taken out from the second chamber 2 has, for example, a Low-k film 33 with a Young's modulus of about 5 GPa or more and a dielectric constant of 2.5 or less. The barrier insulating film 32 has a Young's modulus of about 60 GPa, a dielectric constant of about 4.0, and a density of about 2.5 g / cm 3 .

(実施形態2)
図6は、本発明の実施形態2の半導体製造装置の模式的な構成図である。図7は、図6のチャンバー15の模式的な構成図である。本実施形態では、図1に示した第一チャンバー1と第二チャンバー2とを一つのチャンバー15で実現している。
(Embodiment 2)
FIG. 6 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to Embodiment 2 of the present invention. FIG. 7 is a schematic configuration diagram of the chamber 15 of FIG. In the present embodiment, the first chamber 1 and the second chamber 2 shown in FIG.

チャンバー15は、複数(例えば5つ)のランプ3と、複数(例えば4つ)のランプ21とを備えている。ここでは、ランプ21とウェハ7との距離は、チャンバー15の使用時に、約100mmとなるようにしてある。一方、ランプ3とウェハ7との距離は、約120mmとなるようにしてある。ランプ3と低圧水銀ランプ21との数は同じであってもよいし、ランプ3とランプ21とは2次元状に並べてもよい。   The chamber 15 includes a plurality (for example, five) of lamps 3 and a plurality of (for example, four) lamps 21. Here, the distance between the lamp 21 and the wafer 7 is about 100 mm when the chamber 15 is used. On the other hand, the distance between the lamp 3 and the wafer 7 is about 120 mm. The number of the lamps 3 and the low-pressure mercury lamps 21 may be the same, or the lamps 3 and the lamps 21 may be arranged two-dimensionally.

ウェハ7に対しては、ランプ3とランプ21とのいずれから先に、紫外線を照射してもよい。ただし、同時に照射しても、Low−k膜33の誘電率を低下させ、かつ、機械的強度を向上させることはできないので留意されたい。   The wafer 7 may be irradiated with ultraviolet rays first from either the lamp 3 or the lamp 21. However, it should be noted that even if irradiation is performed simultaneously, the dielectric constant of the Low-k film 33 cannot be lowered and the mechanical strength cannot be improved.

半導体デバイスの製造プロセスは、実施形態1と同様である。ランプ3とランプ21との各照射時間も、実施形態1と同様とすればよい。この条件であれば、照射前のウェハ7の加熱時間は1分、照射時間の総計は5分、クリーニング時間は1分であるので、他の工程も7分であれば、スループットが低下することはない。   The semiconductor device manufacturing process is the same as in the first embodiment. Each irradiation time of the lamp 3 and the lamp 21 may be the same as that in the first embodiment. Under this condition, the heating time of the wafer 7 before irradiation is 1 minute, the total irradiation time is 5 minutes, and the cleaning time is 1 minute. Therefore, if the other steps are also 7 minutes, the throughput decreases. There is no.

(実施形態3)
実施形態1,2では、主として、Low−k膜33の処理について説明した。本実施形態では、歪シリコンデバイスのSiN膜のストレスを大きくする処理について説明する。
(Embodiment 3)
In the first and second embodiments, the processing of the Low-k film 33 has been mainly described. In the present embodiment, a process for increasing the stress of the SiN film of the strained silicon device will be described.

半導体デバイスにおける絶縁膜を用いる技術に歪みシリコン技術がある。歪みシリコン技術とは、ソース−ドレインにシリコンゲルマニウム(SiGe)層を設けて電子の密度を高め、ゲート下のチャネル領域におけるシリコン原子の格子が互いに整列しようとする性質を利用してシリコン原子の間隔を広げ、ソースドレイン電流の担い手である電子とシリコン原子の衝突を少なくし、電子の移動度を大きくする技術のことである。   There is a strained silicon technique as a technique using an insulating film in a semiconductor device. Strained silicon technology is a technique in which a silicon germanium (SiGe) layer is provided on the source and drain to increase the electron density, and the silicon atom spacing in the channel region under the gate tends to align with each other. Is a technology that increases the mobility of electrons by reducing collisions between electrons and silicon atoms that are responsible for the source-drain current.

この技術によると、電子が流れる際の抵抗が少なくなるので、電子を高速移動させることが可能となる。したがって、歪みシリコン技術をトランジスタに用いると、高速動作が可能なトランジスタを実現できる。歪みシリコン技術をトランジスタに用いるためには、Nチャネルトランジスタ上に例えばSiN膜を形成し、次いで、例えば熱アニールまたはハロゲン光を照射して、シリコン基板に歪を加えるという手法が採用されている。   According to this technique, since resistance when electrons flow is reduced, electrons can be moved at high speed. Therefore, when strained silicon technology is used for a transistor, a transistor capable of high-speed operation can be realized. In order to use the strained silicon technique for a transistor, a method is adopted in which, for example, a SiN film is formed on an N-channel transistor, and then, for example, thermal annealing or halogen light irradiation is applied to strain the silicon substrate.

本実施形態においても、図1或いは図6に示す半導体製造装置を用いることができる。ただし、ランプ3に代えて例えば341nmの波長の光を照射するIランプを用い、ランプ21に代えて例えば282nmの波長の光を照射するXeBrランプ或いは例えば308nmの波長の光を照射するXeClランプを用いる。 Also in this embodiment, the semiconductor manufacturing apparatus shown in FIG. 1 or FIG. 6 can be used. However, XeCl lamp using I 2 lamp that emits light having a wavelength of in place of the lamp 3, for example 341 nm, is irradiated with light of a wavelength of XeBr lamp or, for example, 308nm is irradiated with light of wavelength in place of the lamp 21 for example 282nm Is used.

本実施形態では、Iランプからの照射光によってSiN膜から水素を脱離させ、その後、XeBrランプからの照射光によってSiN膜のストレスを増加させる。 In this embodiment, hydrogen is desorbed from the SiN film by the irradiation light from the I 2 lamp, and thereafter the stress of the SiN film is increased by the irradiation light from the XeBr lamp.

図8は、図2に示すウェハ7の一部の模式的な断面図である。図8には、P型シリコン層51と、P型シリコン層51内に作成されたN型ウェル領域52と、N型ウェル領域52内に形成されたSiGeなどのソース領域53及びドレイン領域54と、N型ウェル領域52上に形成されたゲート絶縁膜62と、ゲート絶縁膜62に形成されたゲート電極55と、P型シリコン層51内に形成されたSiGeなどのソース領域58及びドレイン領域59と、シリコン層51上に形成されたゲート絶縁膜63と、ゲート絶縁膜63に形成されたゲート電極60と、ゲート電極55,60上に形成されたSiO膜56,61と、SiO膜56,61上に形成されたサイドウォールとなるSiN膜57とを示している。 FIG. 8 is a schematic cross-sectional view of a part of the wafer 7 shown in FIG. FIG. 8 shows a P-type silicon layer 51, an N-type well region 52 created in the P-type silicon layer 51, a source region 53 such as SiGe formed in the N-type well region 52, and a drain region 54. The gate insulating film 62 formed on the N-type well region 52, the gate electrode 55 formed on the gate insulating film 62, and the source region 58 and drain region 59 such as SiGe formed in the P-type silicon layer 51. A gate insulating film 63 formed on the silicon layer 51; a gate electrode 60 formed on the gate insulating film 63; SiO 2 films 56 and 61 formed on the gate electrodes 55 and 60; and an SiO 2 film. An SiN film 57 serving as a sidewall formed on 56 and 61 is shown.

ソース領域53及びドレイン領域54側のトランジスタはPチャネルトランジスタであり、ソース領域58及びドレイン領域59側のトランジスタはNチャネルトランジスタである。このようなウェハ7は、拡散炉、イオン注入装置、さらに化学的気相蒸着(Chemical Vapor Deposition System:CVD)装置によって形成される。   The transistors on the source region 53 and drain region 54 side are P-channel transistors, and the transistors on the source region 58 and drain region 59 side are N-channel transistors. Such a wafer 7 is formed by a diffusion furnace, an ion implantation apparatus, and a chemical vapor deposition system (CVD) apparatus.

このウェハ7は、上記Iランプからの照射光によって、SiN膜57内の水素成分等が70%程度低減し、XeBrランプからの照射光によって、更にSiN膜57内の残りの水素が除去され、SiN膜57内には、ほぼ完全に水素がない状態となる。この結果、SiN膜57の機械的強度が高まる。 In this wafer 7, the hydrogen component in the SiN film 57 is reduced by about 70% by the irradiation light from the I 2 lamp, and the remaining hydrogen in the SiN film 57 is further removed by the irradiation light from the XeBr lamp. The SiN film 57 is almost completely free of hydrogen. As a result, the mechanical strength of the SiN film 57 is increased.

図9は、図8に示すウェハ7のSiN膜57の一部除去後の模式的な断面図である。上記光照射処理の後に、SiN膜57のうちPチャネルトランジスタ側を除去する。こうして、歪シリコンデバイスを作成する。   FIG. 9 is a schematic cross-sectional view after removing a part of the SiN film 57 of the wafer 7 shown in FIG. After the light irradiation process, the P channel transistor side of the SiN film 57 is removed. Thus, a strained silicon device is produced.

なお、本実施形態の場合と同じ条件で、半導体製造装置を用いて処理を行うと、SiNカバー絶縁膜の水素濃度も低減でき、DRAM のカバー膜中の水素に起因するゲート−ドレインリーク電流を低減でき、リテンション不良を減少させることができる。   If processing is performed using a semiconductor manufacturing apparatus under the same conditions as in the present embodiment, the hydrogen concentration in the SiN cover insulating film can also be reduced, and the gate-drain leakage current caused by hydrogen in the DRAM cover film can be reduced. The retention failure can be reduced.

(実施形態4)
図10は、本発明の実施形態4の第一チャンバー1の模式的な構成図である。この第一チャンバー1は、波長が400nm以上のハロゲンランプを用いた場合に好適なものである。
(Embodiment 4)
FIG. 10 is a schematic configuration diagram of the first chamber 1 according to Embodiment 4 of the present invention. The first chamber 1 is suitable when a halogen lamp having a wavelength of 400 nm or more is used.

図10に示すように、本実施形態では、ハロゲンランプ3を冷却するために、冷却水22を用いている。ここで、ハロゲンランプ3は、ランプの光により、短時間にSiウェハ上の絶縁膜を加熱して水素を除去する。   As shown in FIG. 10, in the present embodiment, cooling water 22 is used to cool the halogen lamp 3. Here, the halogen lamp 3 removes hydrogen by heating the insulating film on the Si wafer in a short time by the light of the lamp.

その後、第二のチャンバー2で308nmのXeClランプからUV光を照射して、ストレスを大きくする。   Thereafter, the UV light is irradiated from the 308 nm XeCl lamp in the second chamber 2 to increase the stress.

(実施形態5)
図11は、本発明の実施形態5の半導体製造装置の模式的な構成図である。ここでは、Low−k膜を、SOD膜で作成する場合の例について説明する。
(Embodiment 5)
FIG. 11 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to Embodiment 5 of the present invention. Here, an example in which a Low-k film is formed using an SOD film will be described.

まず、SOD膜を回転塗布するコーターを備えるチャンバー101内で、例えば300nmの厚さのウェハに形成された配線上に、SOD膜を例えば500nm塗布する。   First, in a chamber 101 provided with a coater that spin-coats an SOD film, for example, an SOD film is applied to, for example, 500 nm on a wiring formed on a wafer having a thickness of 300 nm.

次に、このウェハを、SOD膜の溶剤を飛ばすためのべークステージを備えるチャンバー102に移して、約200℃の温度でべークを行うことによって溶剤を飛ばす。   Next, the wafer is transferred to a chamber 102 having a baking stage for removing the solvent of the SOD film, and the solvent is removed by baking at a temperature of about 200 ° C.

次に、このウェハを、溶剤およびポロジェンを飛ばす又は膜を強固にするためのキュアーステージを備えるチャンバー103に移して、約400℃の温度で、5分間の時間ベークを行う。こうして、SOD膜中の溶剤またはポロジェンを飛ばすなどして、膜を緻密化する。その後は、実施形態1等と同様の処理を行う。この場合、Low−k膜は、誘電率が2.3以下であって、ヤング率が6GPa以上となる。   Next, this wafer is transferred to a chamber 103 equipped with a cure stage for blowing off the solvent and porogen or strengthening the film, and baked at a temperature of about 400 ° C. for 5 minutes. In this way, the film is densified, for example, by blowing off the solvent or porogen in the SOD film. Thereafter, the same processing as in the first embodiment is performed. In this case, the low-k film has a dielectric constant of 2.3 or less and a Young's modulus of 6 GPa or more.

(実施形態6)
図12は、本発明の実施形態6の半導体デバイスとなるウェハ7の一部の模式的な断面図である。ここでは、ウェハ7内のHigh−k膜73を、UVアニール処理する例について説明する。
(Embodiment 6)
FIG. 12 is a schematic cross-sectional view of a part of a wafer 7 which is a semiconductor device according to the sixth embodiment of the present invention. Here, an example in which the High-k film 73 in the wafer 7 is subjected to UV annealing will be described.

このウェハ7は、シリコンウェハ71上に、例えば1nmの厚さのSiOリッチの境界層72が形成されている。境界層72上には、HfOなどからなるHigh−k膜73が例えば5nmの厚さで形成されている。High−k膜73上には、ポリシリコンなどからなる電極74が形成されている。なお、High−k膜73は、例えば800℃の温度下で、約10分間Nガス/Oガスを供給することによって形成される。 In this wafer 7, a SiO 2 rich boundary layer 72 having a thickness of 1 nm, for example, is formed on a silicon wafer 71. A high-k film 73 made of HfO 2 or the like is formed on the boundary layer 72 with a thickness of 5 nm, for example. On the High-k film 73, an electrode 74 made of polysilicon or the like is formed. The High-k film 73 is formed by supplying N 2 gas / O 2 gas for about 10 minutes at a temperature of 800 ° C., for example.

第一チャンバー1では、ウェハから100〜200mm離した、波長が約308nmのXeClランプ4灯から、約5〜15mW/cmの照度で、2〜4分程度の時間、光を照射する。 In the first chamber 1, light is irradiated for about 2 to 4 minutes at an illuminance of about 5 to 15 mW / cm 2 from four XeCl lamps having a wavelength of about 308 nm separated from the wafer by 100 to 200 mm.

つぎに、第二チャンバー2では、ウェハから100〜200mm離した、波長が約172nmのXeランプ4灯から、約4〜8mW/cmの照度で、1〜3分程度の時間、光を照射する。 Next, in the second chamber 2, light is irradiated for about 1 to 3 minutes at an illuminance of about 4 to 8 mW / cm 2 from four Xe lamps having a wavelength of about 172 nm separated from the wafer by 100 to 200 mm. To do.

第一チャンバー1及び第二チャンバー2は、圧力が約1Torrの減圧状態、温度が約500℃、窒素ガスを含む種々の不活性ガス雰囲気である。   The first chamber 1 and the second chamber 2 are various inert gas atmospheres including a reduced pressure state with a pressure of about 1 Torr, a temperature of about 500 ° C., and nitrogen gas.

さらに、クリーニングは、約1Torrの減圧下において、酸素ガス供給量を例えば100cc/分の割合で供給し、UVランプを点灯させることによって処理する。その後、例えば、425℃でフォーミングガス(Nガス/Hガス)処理を30分程度の時間行う。 Further, the cleaning is performed by supplying an oxygen gas supply rate at a rate of, for example, 100 cc / min under a reduced pressure of about 1 Torr and turning on the UV lamp. Thereafter, for example, a forming gas (N 2 gas / H 2 gas) treatment is performed at 425 ° C. for about 30 minutes.

その結果、境界層72中のチャージ密度を、1×1012/cmに減少させることができ、またHfO膜のリーク電流も低減できる。 As a result, the charge density in the boundary layer 72 can be reduced to 1 × 10 12 / cm 3 and the leakage current of the HfO 2 film can be reduced.

(実施形態7)
ところで、上記各実施形態では、2種類の波長の光を照射するランプを用いた半導体製造装置等について説明したが、図3,図4を用いて説明したように、ランプの波長を規定することで、絶縁膜の改質を行うことは可能である。
(Embodiment 7)
By the way, in each of the above embodiments, a semiconductor manufacturing apparatus using a lamp that emits light of two types of wavelengths has been described. However, as described with reference to FIGS. Thus, it is possible to modify the insulating film.

SiN膜の場合、H−N、H−Siなどの水素が関係する結合基が存在する。これらの結合基を切断するために必要な波長は、それぞれ、353nm、399nmである。また、約276nmが吸収端に対応する波長である。これらのことから、SiN膜に対して、276nm以上400nm以下の波長の光を照射すると、絶縁膜の機械的強度を高め、かつ、誘電率を低くすることができる。   In the case of the SiN film, there are bonding groups related to hydrogen such as H—N and H—Si. The wavelengths necessary for cleaving these bonding groups are 353 nm and 399 nm, respectively. Further, about 276 nm is a wavelength corresponding to the absorption edge. Therefore, when the SiN film is irradiated with light having a wavelength of 276 nm or more and 400 nm or less, the mechanical strength of the insulating film can be increased and the dielectric constant can be lowered.

SiCH膜の場合、H−N、C−H、H−Siなどの水素が関係する結合基が存在する。これらの結合基を切断するために必要な波長は、それぞれ353nm、353nm、399nmである。また、約265nmが吸収端に対応する波長である。これらのことから、SiCH膜に対して、180nm以上400nm以下の波長の光を照射すると、絶縁膜の機械的強度を高め、かつ、誘電率を低くすることができる。   In the case of a SiCH film, there are bonding groups related to hydrogen such as H—N, C—H, H—Si and the like. The wavelengths necessary for cleaving these bonding groups are 353 nm, 353 nm, and 399 nm, respectively. Moreover, about 265 nm is a wavelength corresponding to the absorption edge. Therefore, when the SiCH film is irradiated with light having a wavelength of 180 nm or more and 400 nm or less, the mechanical strength of the insulating film can be increased and the dielectric constant can be lowered.

SiCNH膜の場合には、H−N、C−H、H−Siなどの水素が関係する結合基が存在する。これらの結合基を切断するために必要な波長は、それぞれ、274nm、353nm、353nm、399nmである。また、約265nmが吸収端に対応する波長である。これらのことから、SiCNH膜に対して、265nm以上400nm以下の波長の光を照射すると、絶縁膜の機械的強度を高め、かつ、誘電率を低くすることができる。   In the case of a SiCNH film, there are bonding groups related to hydrogen such as H—N, C—H, and H—Si. The wavelengths necessary for cleaving these bonding groups are 274 nm, 353 nm, 353 nm, and 399 nm, respectively. Moreover, about 265 nm is a wavelength corresponding to the absorption edge. Therefore, when the SiCNH film is irradiated with light having a wavelength of 265 nm or more and 400 nm or less, the mechanical strength of the insulating film can be increased and the dielectric constant can be lowered.

SiOCNH膜の場合には、H−O、H−N、C−H、H−Siなどの水素が関係する結合基が存在する。これらの結合基を切断するために必要な波長は、それぞれ、280nm、353nm、353nm、399nmである。また、約156から263nmが吸収端に対応する波長であるが、CやNの濃度がある数パーセント以上あることを考えて吸収端に対応する波長は180nm程度と考えられる。したがって、SiOCNH膜に対して、180nm以上400nm以下の波長の光を照射すると、絶縁膜の機械的強度を高め、かつ、誘電率を低くすることができる。   In the case of a SiOCNH film, there are bonding groups related to hydrogen such as H—O, H—N, C—H, and H—Si. The wavelengths necessary for cleaving these bonding groups are 280 nm, 353 nm, 353 nm, and 399 nm, respectively. Further, although the wavelength corresponding to the absorption edge is about 156 to 263 nm, the wavelength corresponding to the absorption edge is considered to be about 180 nm considering that the concentration of C or N is a certain percentage or more. Therefore, when the SiOCNH film is irradiated with light having a wavelength of 180 nm or more and 400 nm or less, the mechanical strength of the insulating film can be increased and the dielectric constant can be decreased.

SiOCH膜の場合には、H−O、H−N、C−H、H−Siなどの水素が関係する結合基が存在する。これらの結合基を切断するために必要な波長は、それぞれ、280nm、353nm、353nm、399nmである。また、約156nmが吸収端に対応する波長である。これらのことから、SiOCH膜に対して、156nm以上400nm以下の波長の光を照射すると、絶縁膜の機械的強度を高め、かつ、誘電率を低くすることができる。   In the case of a SiOCH film, there are bonding groups related to hydrogen such as HO, H-N, C-H, and H-Si. The wavelengths necessary for cleaving these bonding groups are 280 nm, 353 nm, 353 nm, and 399 nm, respectively. Further, about 156 nm is a wavelength corresponding to the absorption edge. Therefore, when the SiOO film is irradiated with light having a wavelength of 156 nm or more and 400 nm or less, the mechanical strength of the insulating film can be increased and the dielectric constant can be lowered.

SiON膜の場合には、H−O、N−H、H−Siなどの水素が関係する結合基が存在する。この結合基を切断するために必要な波長は、280nm、353nm、399nmである。また、約263nmが吸収端に対応する波長である。これらのことから、SiON膜に対して、263nm以上400nm以下の波長の光を照射すると、絶縁膜の機械的強度を高め、かつ、誘電率を低くすることができる。   In the case of a SiON film, there are bonding groups related to hydrogen such as HO, NH, and H-Si. The wavelengths necessary for cleaving this bonding group are 280 nm, 353 nm, and 399 nm. Further, about 263 nm is a wavelength corresponding to the absorption edge. For these reasons, when the SiON film is irradiated with light having a wavelength of 263 nm or more and 400 nm or less, the mechanical strength of the insulating film can be increased and the dielectric constant can be lowered.

(実施例1)
図1に示す半導体製造装置を用いて、以下の条件でLow−k膜33の処理を経て、実際に半導体デバイスを製造した。
Example 1
Using the semiconductor manufacturing apparatus shown in FIG. 1, a semiconductor device was actually manufactured through the processing of the Low-k film 33 under the following conditions.

第一チャンバー1のランプ3:波長が約300nm以上となる高圧水銀ランプを4灯、照度が約8mW/cm、照射時間約4分、
第二チャンバー2の低圧水銀ランプ:波長が約185nm及び約254nmとなるもの4灯、照度が約3mW/cm、照射時間約1分、
第一チャンバー1及び第二チャンバー2:1Torrの減圧状態、温度が約400℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
ウェハ7:直径約300mmで、厚さが約300nmのSiOCH膜が形成されている。
Lamp 3 in the first chamber 1: 4 high-pressure mercury lamps having a wavelength of about 300 nm or more, illuminance of about 8 mW / cm 2 , irradiation time of about 4 minutes,
Low pressure mercury lamp in the second chamber 2: 4 lamps with wavelengths of about 185 nm and about 254 nm, illuminance of about 3 mW / cm 2 , irradiation time of about 1 minute,
First chamber 1 and second chamber 2: 1 Torr decompressed state, temperature is about 400 ° C., various inert gas atmospheres containing nitrogen gas, and oxygen gas supply rate is 100 cc / min under reduced pressure of 1 Torr cleaning condition ,
Wafer 7: A SiOCH film having a diameter of about 300 mm and a thickness of about 300 nm is formed.

この結果、ウェハ7の機械的強度を示すヤング率は8GPaになった。誘電率は2.4になった。   As a result, the Young's modulus indicating the mechanical strength of the wafer 7 was 8 GPa. The dielectric constant was 2.4.

(実施例2)
図6に示す半導体製造装置を用いて、以下の条件でLow−k膜33の処理を経て、実際に半導体デバイスを製造した。
(Example 2)
Using the semiconductor manufacturing apparatus shown in FIG. 6, the semiconductor device was actually manufactured through the processing of the Low-k film 33 under the following conditions.

ランプ3:波長が約300nm以上となる高圧水銀ランプ4灯、照度が約4mW/cm、照射時間約4分、
ランプ21:波長が約185nm及び約254nmとなる低圧水銀ランプ4灯、照度が約3mW/cm、照射時間約1分、
チャンバー:1Torrの減圧状態、温度が約250℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
ウェハ7:直径約300mmで、厚さが約300nmのSiOCH膜が形成されている。
Lamp 3: Four high-pressure mercury lamps having a wavelength of about 300 nm or more, illuminance of about 4 mW / cm 2 , irradiation time of about 4 minutes,
Lamp 21: 4 low-pressure mercury lamps with wavelengths of about 185 nm and about 254 nm, illuminance of about 3 mW / cm 2 , irradiation time of about 1 minute,
Chamber: Depressurized state of 1 Torr, temperature is about 250 ° C., various inert gas atmospheres containing nitrogen gas, and oxygen gas supply rate is 100 cc / min under cleaning conditions of 1 Torr reduced pressure,
Wafer 7: A SiOCH film having a diameter of about 300 mm and a thickness of about 300 nm is formed.

この結果、ウェハ7の機械的強度を示すヤング率は8GPaになった。誘電率は2.4になった。   As a result, the Young's modulus indicating the mechanical strength of the wafer 7 was 8 GPa. The dielectric constant was 2.4.

(実施例3)
図1に示す半導体製造装置を用いて、以下の条件でSiN膜57の処理を経て、実際に半導体デバイスを製造した。
(Example 3)
Using the semiconductor manufacturing apparatus shown in FIG. 1, a semiconductor device was actually manufactured through the treatment of the SiN film 57 under the following conditions.

第一チャンバー1内のランプ3:波長が約341nmのIランプ4灯、照度が約13mW/cm、照射時間約2分、
第二チャンバー2内のランプ:波長が約282nmのXeBrランプ4灯、照度が約13mW/cm、照射時間約2分、
第一チャンバー1:1Torrの減圧状態、温度が約400℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
第二チャンバー2:1Torrの減圧状態、温度が約400℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
ウェハ7:直径約300mm、DRAMが形成されていて、カバーSiO膜上には、カバーSiN膜が約300 nmの厚さで形成されている。
Lamp 3 in the first chamber 1: 4 I 2 lamps having a wavelength of about 341 nm, illuminance of about 13 mW / cm 2 , irradiation time of about 2 minutes,
Lamp in the second chamber 2: 4 XeBr lamps having a wavelength of about 282 nm, illuminance of about 13 mW / cm 2 , irradiation time of about 2 minutes,
The first chamber has a reduced pressure of 1: 1 Torr, a temperature of about 400 ° C., various inert gas atmospheres containing nitrogen gas, and an oxygen gas supply rate of 100 cc / min under a reduced pressure of 1 Torr of cleaning conditions.
Second chamber 2: reduced pressure of 1 Torr, temperature of about 400 ° C., various inert gas atmospheres containing nitrogen gas, and oxygen gas supply rate of 100 cc / min under a reduced pressure of 1 Torr of cleaning conditions,
Wafer 7: A DRAM having a diameter of about 300 mm and a cover SiN film having a thickness of about 300 nm is formed on the cover SiO 2 film.

その結果、カバーSiN膜57の水素濃度を低減することができ、DRAMのゲート−ドレイン領域のリーク電流を低減でき、データリテンションタイムを長くすることができ、不良率を低減できた。   As a result, the hydrogen concentration in the cover SiN film 57 can be reduced, the leakage current in the gate-drain region of the DRAM can be reduced, the data retention time can be extended, and the defect rate can be reduced.

(実施例4)
図1に示す半導体製造装置を用いて、以下の条件でSiN膜57の処理を経て、実際に半導体デバイスを製造した。
Example 4
Using the semiconductor manufacturing apparatus shown in FIG. 1, a semiconductor device was actually manufactured through the treatment of the SiN film 57 under the following conditions.

第一チャンバー1内のランプ3:波長が約341nmのIランプ4灯、照度が約13mW/cm、照射時間約2分、
第二チャンバー2内のランプ:波長が約308nmのXeClランプ4灯、照度が約13mW/cm、照射時間約2分、
第一チャンバー1:1Torrの減圧状態、温度が約250℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
第二チャンバー2:1Torrの減圧状態、温度が約350℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
ウェハ7:直径約300mm、DRAMが形成されていて、トランジスタにサイドウォールSiN膜が約300nmの厚さで形成されている。
Lamp 3 in the first chamber 1: 4 I 2 lamps having a wavelength of about 341 nm, illuminance of about 13 mW / cm 2 , irradiation time of about 2 minutes,
Lamp in the second chamber 2: 4 XeCl lamps having a wavelength of about 308 nm, illuminance of about 13 mW / cm 2 , irradiation time of about 2 minutes,
The first chamber has a reduced pressure of 1: 1 Torr, a temperature of about 250 ° C., various inert gas atmospheres containing nitrogen gas, and an oxygen gas supply rate of 100 cc / min under a reduced pressure of 1 Torr of cleaning conditions,
Second chamber 2: reduced pressure of 1 Torr, temperature of about 350 ° C., various inert gas atmospheres containing nitrogen gas, and oxygen gas supply rate of 100 cc / min under reduced pressure of 1 Torr cleaning condition,
Wafer 7: A DRAM is formed with a diameter of about 300 mm, and a sidewall SiN film is formed on the transistor with a thickness of about 300 nm.

半導体製造装置の処理前後の機械的強度を測定した結果、処理前には2×10dyne/cmの引っ張り応力であったのに対して、処理後には2×1010dyne/cmの引っ張り応力であった。この結果、ソース−ドレイン電流が増大した。 As a result of measuring the mechanical strength of the semiconductor manufacturing apparatus before and after the treatment, the tensile stress was 2 × 10 9 dyne / cm 2 before the treatment, whereas it was 2 × 10 10 dyne / cm 2 after the treatment. It was tensile stress. As a result, the source-drain current increased.

(実施例5)
図1に示す半導体製造装置を用いて、以下の条件でLow−k膜33の処理を経て、実際に半導体デバイスを製造した。
(Example 5)
Using the semiconductor manufacturing apparatus shown in FIG. 1, a semiconductor device was actually manufactured through the processing of the Low-k film 33 under the following conditions.

第一チャンバー1の赤外ハロゲンランプ:波長が約400〜1400nmとなるもの4灯、照度が約15mW/cm、照射時間約2分、
第二チャンバー2の低圧水銀ランプ:波長が約185nm及び約254nmとなるもの4灯、照度が約3mW/cm、照射時間約2分、
第一チャンバー1及び第二チャンバー2:1Torrの減圧状態、温度が約400℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
ウェハ7:直径約300mm、SiOCH膜が約300nmの厚さで形成されている。
Infrared halogen lamp in the first chamber: 4 lamps having a wavelength of about 400 to 1400 nm, illuminance of about 15 mW / cm 2 , irradiation time of about 2 minutes,
Low pressure mercury lamp in the second chamber 2: 4 lamps having wavelengths of about 185 nm and about 254 nm, illuminance of about 3 mW / cm 2 , irradiation time of about 2 minutes,
First chamber 1 and second chamber 2: 1 Torr decompressed state, temperature is about 400 ° C., various inert gas atmospheres containing nitrogen gas, and oxygen gas supply rate is 100 cc / min under reduced pressure of 1 Torr cleaning condition ,
Wafer 7: A diameter of about 300 mm and a SiOCH film having a thickness of about 300 nm are formed.

この結果、ウェハ7の機械的強度を示すヤング率は8GPaになった。誘電率は2.4になった。   As a result, the Young's modulus indicating the mechanical strength of the wafer 7 was 8 GPa. The dielectric constant was 2.4.

(実施例6)
図1に示す半導体製造装置を用いて、以下の条件でSOD膜33の処理を経て、実際に半導体デバイスを製造した。
(Example 6)
Using the semiconductor manufacturing apparatus shown in FIG. 1, a semiconductor device was actually manufactured through the processing of the SOD film 33 under the following conditions.

第一チャンバー1内のランプ3:波長が約308nmのXeClランプ4灯、照度が約10mW/cm、照射時間約4分、
第二チャンバー2内のランプ:波長が約172nmのXeランプ4灯、照度が約4mW/cm、照射時間約1分、
第一チャンバー1及び第二チャンバー2:1Torrの減圧状態、温度が約350℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
ウェハ7:直径約300mm、SOD膜33が約300nmの厚さで形成されている。
Lamp 3 in the first chamber 1: 4 XeCl lamps having a wavelength of about 308 nm, illuminance of about 10 mW / cm 2 , irradiation time of about 4 minutes,
Lamp in the second chamber 2: 4 Xe lamps having a wavelength of about 172 nm, illuminance of about 4 mW / cm 2 , irradiation time of about 1 minute,
The first chamber 1 and the second chamber 2 are decompressed at 1 Torr, the temperature is about 350 ° C., various inert gas atmospheres containing nitrogen gas, and the oxygen gas supply rate is 100 cc / min under the reduced pressure of 1 Torr cleaning conditions. ,
Wafer 7: A diameter of about 300 mm and an SOD film 33 having a thickness of about 300 nm are formed.

この結果、ウェハ7の機械的強度を示すヤング率は8GPaになった。誘電率は2.3になった。   As a result, the Young's modulus indicating the mechanical strength of the wafer 7 was 8 GPa. The dielectric constant was 2.3.

(実施例7)
図1に示す半導体製造装置を用いて、以下の条件でHfO膜33の処理を経て、実際に半導体デバイスを製造した。
(Example 7)
Using the semiconductor manufacturing apparatus shown in FIG. 1, a semiconductor device was actually manufactured through the processing of the HfO 2 film 33 under the following conditions.

第一チャンバー1内のランプ3:波長が約308nmのXeClランプ4灯、照度が約10mW/cm、照射時間約4分、
第二チャンバー2内のランプ:波長が約172nmのXeランプ4灯、照度が約4mW/cm、照射時間約1分、
第一チャンバー1及び第二チャンバー2:1Torrの減圧状態、温度が約500℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
ウェハ7:直径約300mmであり、厚さ約1nmのSiOリッチの境界層と、境界層上に形成された約5nmの厚さのHfO膜とが形成されている。
Lamp 3 in the first chamber 1: 4 XeCl lamps having a wavelength of about 308 nm, illuminance of about 10 mW / cm 2 , irradiation time of about 4 minutes,
Lamp in the second chamber 2: 4 Xe lamps having a wavelength of about 172 nm, illuminance of about 4 mW / cm 2 , irradiation time of about 1 minute,
The first chamber 1 and the second chamber 2 are in a reduced pressure state of 1 Torr, the temperature is about 500 ° C., various inert gas atmospheres containing nitrogen gas, and the oxygen gas supply rate is 100 cc / min under a reduced pressure of 1 Torr cleaning conditions. ,
Wafer 7: A SiO 2 rich boundary layer having a diameter of about 300 mm and a thickness of about 1 nm and an HfO 2 film having a thickness of about 5 nm formed on the boundary layer are formed.

その結果、境界層中のチャージ密度を、1×1012/cmに減少させることができ、またHfO膜のリーク電流も低減できた。 As a result, the charge density in the boundary layer could be reduced to 1 × 10 12 / cm 3 and the leakage current of the HfO 2 film could be reduced.

(実施例8)
図6に示す半導体製造装置を用いて、実際に半導体デバイスを製造した。本実施例では、図13に示すCu配線層21上に形成されたバリアー絶縁膜(SiOC膜)22を高密度にする例について説明する。
(Example 8)
A semiconductor device was actually manufactured using the semiconductor manufacturing apparatus shown in FIG. In this embodiment, an example in which the barrier insulating film (SiOC film) 22 formed on the Cu wiring layer 21 shown in FIG.

ランプ:波長が約222nmであるKrClランプ4灯、照度が約4〜15mW/cm、照射時間約1〜2分、ウェハ7までの距離が約10〜20cm、
チャンバー:1Torrの減圧状態、温度が約300〜400℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
ウェハ7:直径約300mmであり、図13に示すように、Cu配線層21上に、厚さが約30nmのバリアー膜であるところのSiOC膜22が形成されている。
Lamp: 4 KrCl 2 lamps having a wavelength of about 222 nm, illuminance of about 4 to 15 mW / cm 2 , irradiation time of about 1 to 2 minutes, distance to the wafer 7 of about 10 to 20 cm,
Chamber: Depressurized state of 1 Torr, temperature is about 300-400 ° C., various inert gas atmospheres containing nitrogen gas, and oxygen gas supply rate is 100 cc / min under a reduced pressure of 1 Torr cleaning condition,
Wafer 7: A diameter of about 300 mm, and as shown in FIG. 13, an SiOC film 22, which is a barrier film having a thickness of about 30 nm, is formed on the Cu wiring layer 21.

こうして改質したSiOC膜22に対して、約400℃の温度で、3時間の加熱処理を行っても、SiOC膜22が高密度であるので、SiOC膜22からほとんどリーク電流が流れなかった。   Even if the SiOC film 22 thus modified was subjected to a heat treatment at a temperature of about 400 ° C. for 3 hours, almost no leakage current flowed from the SiOC film 22 because the SiOC film 22 had a high density.

(実施例9)
図6に示す半導体製造装置を用いて、実際に半導体デバイスを製造した。本実施例では、図14に示すCu配線層21上にLow−k膜(SiOC膜)22を介して形成されたバリアー絶縁膜23を開口してから堆積したPE−CVDSiN膜24を、高密度にする例について説明する。
Example 9
A semiconductor device was actually manufactured using the semiconductor manufacturing apparatus shown in FIG. In this example, the PE-CVD SiN film 24 deposited after opening the barrier insulating film 23 formed on the Cu wiring layer 21 shown in FIG. 14 via the Low-k film (SiOC film) 22 is formed with a high density. An example will be described.

ランプ:波長が約308nmであるXeClランプ4灯、照度が約4〜15mW/cm、照射時間約1〜2分、ウェハ7までの距離が約10〜20cm、
チャンバー:1Torrの減圧状態、温度が約300〜400℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
ウェハ7:直径約300mmであり、図14に示すように、基板側からCu配線層21、厚さが約30nmのLow−k膜であるところのSiOC膜22、バリアー絶縁膜23、PE−CVDSiN膜24が形成されている。
Lamp: 4 XeCl lamps having a wavelength of about 308 nm, illuminance of about 4 to 15 mW / cm 2 , irradiation time of about 1 to 2 minutes, distance to the wafer 7 of about 10 to 20 cm,
Chamber: Depressurized state of 1 Torr, temperature is about 300-400 ° C., various inert gas atmospheres containing nitrogen gas, and oxygen gas supply rate is 100 cc / min under a reduced pressure of 1 Torr cleaning condition,
Wafer 7: having a diameter of about 300 mm, as shown in FIG. 14, a Cu wiring layer 21 from the substrate side, a SiOC film 22 as a low-k film having a thickness of about 30 nm, a barrier insulating film 23, PE-CVD SiN A film 24 is formed.

こうして改質したPE−CVDSiN24に対して、図15に示すように、拡散防止メタル25,26であるタンタル/窒化タンタル(Ta/TaN)膜を形成し、ビア内にCu配線層27を形成したウェハ7に対して、約400℃の温度で、3時間の加熱処理を行っても、ビアホールの側面を形成するPE−CVDSiN24が高密度であるので、SiOC膜22に対して拡散防止メタル25,26内のTaが拡散しなかった。   As shown in FIG. 15, a tantalum / tantalum nitride (Ta / TaN) film as diffusion prevention metals 25 and 26 was formed on PE-CVD SiN 24 thus modified, and a Cu wiring layer 27 was formed in the via. Even if the wafer 7 is heated at a temperature of about 400 ° C. for 3 hours, the PE-CVD SiN 24 that forms the side surface of the via hole has a high density. No Ta in 26 diffused.

(実施例10)
ところで、シャロートレンチ構造の素子分離(Shallow Trench Isolation:STI)領域を有するDRAMでは、ワードラインにネガティブバイアスをかけると、ゲート−ドレイン間のリーク電流が大きくなるため、データのリテンション不良が発生している。また、250℃のパッケージ処理を行ったときにも、これらの現象が起きることが知られている。
(Example 10)
By the way, in a DRAM having a shallow trench structure isolation (STI) region, when a negative bias is applied to a word line, a leakage current between a gate and a drain increases, and data retention failure occurs. Yes. It is also known that these phenomena occur when package processing at 250 ° C. is performed.

このような現象の原因は、カバーSiN膜中の水素が起因していることがわかってきた。この水素がゲートとドレインとの重なりあう領域のチャネル領域の禁制帯中にトラップを発生させるものと思われる。   It has been found that this phenomenon is caused by hydrogen in the cover SiN film. This hydrogen is considered to generate a trap in the forbidden band of the channel region where the gate and drain overlap.

本実施例では、図6に示す半導体製造装置を用いて、実際に半導体デバイスを製造した。ここでは、図16に示すシリコンウェハ81に形成したトランジスタ82上のカバーSiO膜83を覆うカバーPE−CVDSiN膜84を、高密度にする例について説明する。 In this example, a semiconductor device was actually manufactured using the semiconductor manufacturing apparatus shown in FIG. Here, an example in which the cover PE-CVD SiN film 84 covering the cover SiO 2 film 83 on the transistor 82 formed on the silicon wafer 81 shown in FIG.

ランプ:波長が約308nmであるXeClランプ4灯、照度が約4〜15mW/cm、照射時間約1〜2分、ウェハ7までの距離が約10〜20cm、
チャンバー:1Torrの減圧状態、温度が約300〜400℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
ウェハ7:直径約300mmであり、図15に示すように、トランジスタ82等が形成されている。
Lamp: 4 XeCl lamps having a wavelength of about 308 nm, illuminance of about 4 to 15 mW / cm 2 , irradiation time of about 1 to 2 minutes, distance to the wafer 7 of about 10 to 20 cm,
Chamber: Depressurized state of 1 Torr, temperature is about 300-400 ° C., various inert gas atmospheres containing nitrogen gas, and oxygen gas supply rate is 100 cc / min under a reduced pressure of 1 Torr cleaning condition,
Wafer 7: It has a diameter of about 300 mm, and a transistor 82 and the like are formed as shown in FIG.

こうして改質した、カバーPE−CVDSiN膜84内の水素濃度を測定した結果、改質前には約30%あったのに対して、改質後には約10%になった。ちなみに、カバーPE−CVDSiN膜84のCVD工程での圧力を変更することによって、カバーLP−CVDSiN膜に代えると、改質前には約25%あったのに対して、改質後には約1%になった。   As a result of measuring the hydrogen concentration in the cover PE-CVD SiN film 84 thus reformed, it was about 30% before the reforming and about 10% after the reforming. Incidentally, when the pressure in the CVD process of the cover PE-CVD SiN film 84 is changed to replace the cover LP-CVD SiN film, it was about 25% before the modification, but about 1 after the modification. %Became.

(実施例11)
本実施例では、実施例4の変形例について説明する。図6に示す半導体製造装置を用いて、以下の条件でHfO膜33の処理を経て、実際に半導体デバイスを製造した。
(Example 11)
In the present embodiment, a modification of the fourth embodiment will be described. Using the semiconductor manufacturing apparatus shown in FIG. 6, the semiconductor device was actually manufactured through the processing of the HfO 2 film 33 under the following conditions.

ランプ:波長が約282nmのXeBrランプ4灯、照度が約5〜13mW/cm、照射時間約3分、
チャンバー:1Torrの減圧状態、温度が約250℃、窒素ガスを含む種々の不活性ガス雰囲気、さらに、クリーニング条件が1Torrの減圧下において酸素ガス供給量を100cc/分、
ウェハ7:直径約300mm、サイドウォールとなるLP−SiN膜が約300nmの厚さで形成されている。
Lamp: 4 XeBr lamps having a wavelength of about 282 nm, illuminance of about 5 to 13 mW / cm 2 , irradiation time of about 3 minutes,
Chamber: Depressurized state of 1 Torr, temperature is about 250 ° C., various inert gas atmospheres containing nitrogen gas, and oxygen gas supply rate is 100 cc / min under cleaning conditions of 1 Torr reduced pressure,
Wafer 7: An LP-SiN film having a diameter of about 300 mm and serving as a sidewall is formed with a thickness of about 300 nm.

半導体製造装置の処理前後の機械的強度を測定した結果、実施例4と同様に、処理前には2×10dyne/cmの引っ張り応力であったのに対して、処理後には2×1010dyne/cmの引っ張り応力であった。この結果、ソース−ドレイン電流が増大した。 As a result of measuring the mechanical strength of the semiconductor manufacturing apparatus before and after the treatment, the tensile stress was 2 × 10 9 dyne / cm 2 before the treatment as in Example 4, whereas it was 2 × after the treatment. The tensile stress was 10 10 dyne / cm 2 . As a result, the source-drain current increased.

本発明の実施形態1の半導体製造装置の模式的な構成図である。It is a typical block diagram of the semiconductor manufacturing apparatus of Embodiment 1 of this invention. 図1の第一チャンバー1の模式的な構成図である。It is a typical block diagram of the 1st chamber 1 of FIG. 照射光の波長と物質の結合エネルギーとの関係を示す図である。It is a figure which shows the relationship between the wavelength of irradiated light, and the binding energy of a substance. 照射光の波長と吸収端と結合エネルギーとの関係を示す図である。It is a figure which shows the relationship between the wavelength of irradiated light, an absorption edge, and binding energy. 図2に示すウェハ7の一部の模式的な断面図である。It is typical sectional drawing of a part of wafer 7 shown in FIG. 本発明の実施形態2の半導体製造装置の模式的な構成図である。It is a typical block diagram of the semiconductor manufacturing apparatus of Embodiment 2 of this invention. 図6のチャンバー15の模式的な構成図である。It is a typical block diagram of the chamber 15 of FIG. 図2に示すウェハ7の一部の模式的な断面図である。It is typical sectional drawing of a part of wafer 7 shown in FIG. 図8に示すウェハ7のSiN膜57の一部除去後の模式的な断面図である。FIG. 9 is a schematic cross-sectional view after a part of the SiN film 57 of the wafer 7 shown in FIG. 8 is removed. 本発明の実施形態4の第一チャンバー1の模式的な構成図である。It is a typical block diagram of the 1st chamber 1 of Embodiment 4 of this invention. 本発明の実施形態5の半導体製造装置の模式的な構成図である。It is a typical block diagram of the semiconductor manufacturing apparatus of Embodiment 5 of this invention. 本発明の実施形態6の半導体デバイスとなるウェハ7の一部の模式的な断面図である。It is typical sectional drawing of a part of wafer 7 used as the semiconductor device of Embodiment 6 of this invention. 本発明の実施例の半導体デバイスの一部の断面図である。1 is a partial cross-sectional view of a semiconductor device according to an embodiment of the present invention. 本発明の実施例の半導体デバイスの一部の断面図である。1 is a partial cross-sectional view of a semiconductor device according to an embodiment of the present invention. 本発明の実施例の半導体デバイスの一部の断面図である。1 is a partial cross-sectional view of a semiconductor device according to an embodiment of the present invention. 本発明の実施例の半導体デバイスの一部の断面図である。1 is a partial cross-sectional view of a semiconductor device according to an embodiment of the present invention.

符号の説明Explanation of symbols

1 第一チャンバー
2 第二チャンバー
3 ランプ
4 石英パイプ
5 不活性ガス
7 ウェハ
6 ヒーター
8 ピン
9 受光センサー
11 配管
12 配管
13 マスフロー
14 バルブ
41 フープ
42 ウェハアライメント
43 ロードロックチャンバー
44 トランスファーチャンバー
DESCRIPTION OF SYMBOLS 1 1st chamber 2 2nd chamber 3 Lamp 4 Quartz pipe 5 Inert gas 7 Wafer 6 Heater 8 Pin 9 Light reception sensor 11 Piping 12 Piping 13 Mass flow 14 Valve 41 Hoop 42 Wafer alignment 43 Load lock chamber 44 Transfer chamber

Claims (2)

化学的気相蒸着装置によって製造され、誘電率が2.4以下であって、ヤング率が5GPa以上である絶縁膜を備える、半導体デバイス。   A semiconductor device comprising an insulating film manufactured by a chemical vapor deposition apparatus and having a dielectric constant of 2.4 or less and a Young's modulus of 5 GPa or more. 回転塗布成膜装置によって製造され、誘電率が2.3以下であって、ヤング率が6GPa以上である絶縁膜を備える、半導体デバイス。   A semiconductor device comprising an insulating film manufactured by a spin coating film forming apparatus and having a dielectric constant of 2.3 or less and a Young's modulus of 6 GPa or more.
JP2006029116A 2006-02-07 2006-02-07 Semiconductor device Withdrawn JP2007214156A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006029116A JP2007214156A (en) 2006-02-07 2006-02-07 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006029116A JP2007214156A (en) 2006-02-07 2006-02-07 Semiconductor device

Publications (1)

Publication Number Publication Date
JP2007214156A true JP2007214156A (en) 2007-08-23

Family

ID=38492351

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006029116A Withdrawn JP2007214156A (en) 2006-02-07 2006-02-07 Semiconductor device

Country Status (1)

Country Link
JP (1) JP2007214156A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014007416A (en) * 2008-03-06 2014-01-16 Tokyo Electron Ltd Method for curing porous low dielectric constant dielectric film
JP2019068107A (en) * 2019-01-21 2019-04-25 株式会社Screenホールディングス Heat treatment method and gate formation method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014007416A (en) * 2008-03-06 2014-01-16 Tokyo Electron Ltd Method for curing porous low dielectric constant dielectric film
JP2019068107A (en) * 2019-01-21 2019-04-25 株式会社Screenホールディングス Heat treatment method and gate formation method

Similar Documents

Publication Publication Date Title
JPWO2007043206A1 (en) Semiconductor manufacturing apparatus and manufacturing method
US8242028B1 (en) UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US11011384B2 (en) Gapfill using reactive anneal
US20170372919A1 (en) Flowable Amorphous Silicon Films For Gapfill Applications
JP3456391B2 (en) Method for manufacturing semiconductor device
US8076226B2 (en) Apparatus for annealing, method for annealing, and method for manufacturing a semiconductor device
US20060178018A1 (en) Silicon oxynitride gate dielectric formation using multiple annealing steps
US20170011932A1 (en) High-pressure anneal
US20180286669A1 (en) Two-Step Process for Silicon Gapfill
JPH11145134A (en) Semiconductor device and manufacture thereof
US9837267B2 (en) Optical filtering for integrated dielectrics UV curing processes
JP2000332009A (en) Method of forming insulating film and manufacture of p-type semiconductor element
TW202002091A (en) Method for semiconductor processing
JP2000188333A (en) Semiconductor device and its manufacture
JP2007214156A (en) Semiconductor device
JP2007324170A (en) Irradiation device and semiconductor production system employing the same
JP2000150653A (en) Manufacture of semiconductor device
JPWO2007043205A1 (en) Irradiation apparatus, irradiation method, and semiconductor device
JPWO2008018419A1 (en) Semiconductor manufacturing apparatus and semiconductor manufacturing method
TW202129061A (en) Gate all around i/o engineering
JP2010056332A (en) Apparatus and method for processing semiconductor
JP3033579B2 (en) Manufacturing method of thin film transistor
JPH11288933A (en) Method for forming insulation film and manufacture of p-type semiconductor element
JP2010118516A (en) Method of forming thin film and thin film formation apparatus
JP2006019366A (en) Method for forming insulating film in semiconductor device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20070731

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070801

A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20090407