JP2007088495A - Organic insulating film and method of manufacturing same, and semiconductor device using organic insulating film and method of manufacturing same - Google Patents

Organic insulating film and method of manufacturing same, and semiconductor device using organic insulating film and method of manufacturing same Download PDF

Info

Publication number
JP2007088495A
JP2007088495A JP2006294225A JP2006294225A JP2007088495A JP 2007088495 A JP2007088495 A JP 2007088495A JP 2006294225 A JP2006294225 A JP 2006294225A JP 2006294225 A JP2006294225 A JP 2006294225A JP 2007088495 A JP2007088495 A JP 2007088495A
Authority
JP
Japan
Prior art keywords
film
insulating film
semiconductor device
organic
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006294225A
Other languages
Japanese (ja)
Other versions
JP4312785B2 (en
Inventor
Koichi Ooto
光市 大音
Tatsuya Usami
達矢 宇佐美
Noboru Morita
昇 森田
Kazuhiko Endo
和彦 遠藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
NEC Corp
Original Assignee
NEC Electronics Corp
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp, NEC Corp filed Critical NEC Electronics Corp
Priority to JP2006294225A priority Critical patent/JP4312785B2/en
Publication of JP2007088495A publication Critical patent/JP2007088495A/en
Application granted granted Critical
Publication of JP4312785B2 publication Critical patent/JP4312785B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Landscapes

  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide an organic insulating film which is efficient and has a low dielectric constant, and a semiconductor device using the organic insulating film. <P>SOLUTION: Disclosed are the organic insulating film which is formed by using organic silane which has at least a ≥5 C/Si ratio and a ≥100 molecular weight, and made of SiOCH, SiCNH, and SiCH, and the semiconductor device using the organic insulating film, especially, a semiconductor device having a groove structure. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は有機絶縁膜及びそれを用いた半導体装置に関し、特に、低誘電率有機絶縁膜及びその製造方法、ならびに、低誘電率有機絶縁膜を層間絶縁膜に用いた多層配線構造の半導体装置及びその製造方法に関する。   The present invention relates to an organic insulating film and a semiconductor device using the same, and in particular, a low dielectric constant organic insulating film and a manufacturing method thereof, and a semiconductor device having a multilayer wiring structure using a low dielectric constant organic insulating film as an interlayer insulating film, and It relates to the manufacturing method.

ICの製造分野では、デバイスの高速化、高集積化にともない、デバイス設計ルールの縮小化が進んできている。デバイスの縮小化により、配線サイズと配線間隔の微細化が進むと、それに反比例して配線抵抗や配線間容量が増加していく傾向にある。配線抵抗や配線間容量が増加するとRC時定数が大きくなるため、信号の伝播速度の低下を招き、デバイスの高速化をおこなう上で問題となっている。   In the field of manufacturing ICs, device design rules are being reduced as devices become faster and more integrated. As the device size is reduced and the wiring size and the wiring interval are miniaturized, the wiring resistance and the capacitance between the wirings tend to increase in inverse proportion. If the wiring resistance or the capacitance between the wirings increases, the RC time constant increases, which causes a decrease in signal propagation speed, which is a problem in increasing the device speed.

このため、配線抵抗と配線間容量の低減がデバイスの高速化を進めていく上で重要となってきている。配線抵抗を低減する方法としては、配線材料として広く用いられてきていたAlよりも比抵抗の低いCuを配線材料として用いた技術、製品が普及してきている。   For this reason, reduction of wiring resistance and inter-wiring capacitance has become important in promoting device speedup. As a method for reducing the wiring resistance, a technique and a product using Cu, which has a lower specific resistance than Al, which has been widely used as a wiring material, have become widespread.

また、配線間容量は、配線の面積、配線間の絶縁膜の比誘電率に比例し、配線間隔に反比例して増加するため、デバイスのデザインを変更せずに配線間容量を減少する方法としては、例えば、従来の酸化膜(SiO2)や窒化膜(SiN)よりも比誘電率の低い絶縁膜が検討されている。 In addition, the capacitance between wirings is proportional to the area of the wiring and the dielectric constant of the insulating film between the wirings, and increases in inverse proportion to the wiring spacing. Therefore, as a method of reducing the wiring capacitance without changing the device design. For example, an insulating film having a dielectric constant lower than that of a conventional oxide film (SiO 2 ) or nitride film (SiN) has been studied.

Cuを配線材料して用いる場合、ドライエッチング技術によるCuの微細加工が困難なことから、通常、図1に示すようなダマシン配線構造が一般的に広く使われている。   When Cu is used as a wiring material, a damascene wiring structure as shown in FIG. 1 is generally widely used because it is difficult to finely process Cu by a dry etching technique.

ダマシン配線の形成方法は、まず、SiO2下地層間絶縁膜0001上に、その後に形成されるSiO2配線溝層間膜0002とのエッチング選択性に優れたエッチングストッパSiN絶縁膜0003をSiH4とNH3とN2を用いた平行平板型プラズマCVD方法により50〜150nmの厚さで成膜し、次いでSiO2配線層間絶縁膜0002を400〜1000nm程度の厚さで成膜する。それから、フォトリソグラフィーとドライエッチング技術により溝パターンを形成し、O2ドライアッシング技術とウェット剥離技術によりレジストパターンを除去する。それから溝パターンを、スパッタリング技術とメッキ技術を用いてTaやTaNといったCuの拡散を防止するためのバリアメタルとCuで埋め込み、CMPによりSiO2配線層間絶縁膜0002上の余分なCuとバリアメタルを除去してCu配線0007を形成する。 In the damascene wiring formation method, first, an etching stopper SiN insulating film 0003 having excellent etching selectivity with respect to the SiO 2 wiring groove interlayer film 0002 formed thereafter is formed on the SiO 2 base interlayer insulating film 0001 with SiH 4 and NH. A film is formed to a thickness of 50 to 150 nm by a parallel plate type plasma CVD method using 3 and N 2 , and then a SiO 2 wiring interlayer insulating film 0002 is formed to a thickness of about 400 to 1000 nm. Then, a groove pattern is formed by photolithography and dry etching technology, and the resist pattern is removed by O 2 dry ashing technology and wet stripping technology. Then, the trench pattern is filled with Cu and a barrier metal for preventing diffusion of Cu such as Ta and TaN by using a sputtering technique and a plating technique, and excess Cu and barrier metal on the SiO 2 wiring interlayer insulating film 0002 are formed by CMP. The Cu wiring 0007 is formed by removing.

ダマシン配線形成後、層間絶縁膜を形成する場合、CuがSiO2と容易に反応して拡散してしまうため、通常は拡散防止絶縁膜(バリア絶縁膜)としてSiH4とNH3とN2を用いた平行平板型プラズマCVDによるSiN膜0012をCu上に50〜100nm程度成膜してから、SiO2ビア層間絶縁膜0010を成膜している。 When an interlayer insulating film is formed after the damascene wiring is formed, since Cu reacts and diffuses easily with SiO 2 , SiH 4 , NH 3 and N 2 are usually used as a diffusion preventing insulating film (barrier insulating film). After the SiN film 0012 by the parallel plate type plasma CVD used is formed on Cu to a thickness of about 50 to 100 nm, the SiO 2 via interlayer insulating film 0010 is formed.

この場合、SiNはCuの拡散防止のためだけではなく、Cuの溝エッチングを行なう際、また、Cuのダマシン配線上にビアホールを開口する際、Cu表面がSiO2のエッチングやO2レジストアッシングの雰囲気に曝されるのを防止するため、SiO2のエッチングストップ層としての役割も担うことになる。このようにSiNは拡散防止とエッチングストップ層としての機能が求められている。 In this case, SiN is not only for preventing diffusion of Cu, but also when etching Cu grooves, and when opening a via hole on Cu damascene wiring, the Cu surface is etched by SiO 2 or O 2 resist ashing. In order to prevent exposure to the atmosphere, it also serves as a SiO 2 etching stop layer. Thus, SiN is required to prevent diffusion and function as an etching stop layer.

近年では、さらに配線間の寄生容量を低減するため、従来のSiO2の比誘電率4.1よりも比誘電率の低いSiOFやSiOC等の有機絶縁膜が、また、SiNの比誘電率7よりも比誘電率が低い4MS(テトラメチルシラン)や3MS(トリメチルシラン)を原料とした平行平板型プラズマCVDによるSiCやSiCNといった比誘電率4.5から5程度の有機絶縁膜が広く検討されてきている。 In recent years, in order to further reduce the parasitic capacitance between the wirings, an organic insulating film such as SiOF or SiOC having a relative dielectric constant lower than that of the conventional SiO 2 relative dielectric constant 4.1 is also used. Organic insulating films with a relative dielectric constant of about 4.5 to 5 such as SiC and SiCN by parallel plate plasma CVD using 4MS (tetramethylsilane) or 3MS (trimethylsilane) as raw materials having a lower relative dielectric constant are widely studied. It is coming.

図15(a)〜図16(c)は、従来の3MSを原料ガスとして用いて成膜したSiC膜やSiCN膜を用いた従来例である。   FIGS. 15A to 16C are conventional examples using a SiC film or a SiCN film formed by using conventional 3MS as a source gas.

第1のCu配線805を形成後、上記のガスにより、第2のSiCN膜806を成膜した。次に、第2のSiOC膜807、同様に上記のガスにより形成した第3のSiCN膜808、その上の第3のSiOC膜809、第2のSiO2膜810が成膜されている。 After forming the first Cu wiring 805, a second SiCN film 806 was formed with the above gas. Next, a second SiOC film 807, a third SiCN film 808 similarly formed by the above gas, a third SiOC film 809 thereon, and a second SiO 2 film 810 are formed.

図15(a)のように、ビア用レジストパターンが形成されたフォトレジストをマスクに、第2のSiO2膜810、第3のSiOC膜809、第3のSiCN膜808、第2のSiOC膜807、をエッチングし、第2のSiCN膜806上でエッチングを停止させる。 As shown in FIG. 15A, the second SiO 2 film 810, the third SiOC film 809, the third SiCN film 808, and the second SiOC film are formed using the photoresist having the via resist pattern as a mask. 807 is etched, and the etching is stopped on the second SiCN film 806.

しかしながら、SiOCとSiCNとのエッチング選択比がちいさく、図15(b)に示すように下層の配線上に抜けてしまう場合がある。その後フォトレジストを剥離するため、酸素ガスにより、アッシングを行ったが、この場合、エッチングで抜けた場所のCu配線は、銅の酸化層831が形成されてしまう。これは、SiOCとSiCの場合でも同様である。   However, the etching selectivity between SiOC and SiCN is small, and there are cases in which the etching is lost on the underlying wiring as shown in FIG. After that, ashing was performed with oxygen gas in order to peel off the photoresist. In this case, a copper oxide layer 831 is formed in the Cu wiring at the location removed by etching. The same applies to the cases of SiOC and SiC.

次に図15(c)に示すように、反射防止膜を塗布した後、フォトレジスト818により、第2の溝配線用レジストパターン819を形成した。   Next, as shown in FIG. 15C, after applying an antireflection film, a second resist pattern 819 for trench wiring was formed by a photoresist 818.

図15(d)のように、フォトレジスト818をマスクに、第2のSiO2膜810、第3のSiC膜808、をエッチングした。その後、フォトレジスト818を酸素アッシングにより剥離したが、ここで前記のCuの酸化層831はさらに酸化され、その後有機剥離をおこなった。 As shown in FIG. 15D, the second SiO 2 film 810 and the third SiC film 808 were etched using the photoresist 818 as a mask. Thereafter, the photoresist 818 was peeled off by oxygen ashing. Here, the Cu oxide layer 831 was further oxidized, and thereafter organic peeling was performed.

図16(a)のように、全面エッチバックを行い、第2のSiCN膜806をエッチングした。次に図16(b)のように第2のTa/TaN膜を形成し、その後、第2のCu膜を形成した。CMPにより、溝配線以外のメタルを除去し、第2のCu配線を形成した。その上に、図16(c)のように第4のSiCHN膜を形成した。
特表2002―526916号公報
As shown in FIG. 16A, the entire SiC back film 806 was etched by etching back the entire surface. Next, a second Ta / TaN film was formed as shown in FIG. 16B, and then a second Cu film was formed. Metal other than the trench wiring was removed by CMP to form a second Cu wiring. A fourth SiCHN film was formed thereon as shown in FIG.
Special Table 2002-526916

現在、一般的に検討されている4MS(テトラメチルシラン)や3MS(トリメチルシラン)を原料とした平行平板プラズマCVDによるSiCやSiCNは比誘電率が4.5から5程度、SiOCは2.8から3.0程度である。   Currently, SiC and SiCN by parallel plate plasma CVD using 4MS (tetramethylsilane) and 3MS (trimethylsilane) as raw materials, which are generally studied, have a relative dielectric constant of about 4.5 to 5, and SiOC is 2.8. To about 3.0.

デバイスの縮小化により、配線サイズと配線間隔の微細化が更に進むと、比誘電率の更なる低減が求められている。   As the device size is further reduced and the wiring size and the wiring interval are further miniaturized, further reduction of the dielectric constant is required.

また、SiOCとSiCN及びSiCとのエッチング選択比がちいさいために、エッチングストッパ膜として、SiCN及びSiCを用いた場合、金属配線層の表面が、フォトレジストを除去する際に酸化し、接続抵抗が高くなるという問題がある。
In addition, since the etching selectivity ratio between SiOC and SiCN and SiC is small, when SiCN and SiC are used as the etching stopper film, the surface of the metal wiring layer is oxidized when the photoresist is removed, and the connection resistance is reduced. There is a problem of becoming higher.

本発明は、半導体装置に用いると効果的な誘電率の低い有機絶縁膜と該有機絶縁膜を用いた半導体装置にかかるものである。   The present invention relates to an organic insulating film having a low dielectric constant that is effective when used in a semiconductor device and a semiconductor device using the organic insulating film.

本発明の誘電率の低い有機絶縁膜は、少なくともC/Si比が5以上で、且つ、分子量が100以上の有機シランを原料として形成された有機絶縁膜である。この有機絶縁膜は、分子量が100以上の有機シランを原料としてプラズマCVD法により成膜される。   The organic insulating film having a low dielectric constant according to the present invention is an organic insulating film formed using an organic silane having a C / Si ratio of 5 or more and a molecular weight of 100 or more as a raw material. This organic insulating film is formed by plasma CVD using organic silane having a molecular weight of 100 or more as a raw material.

有機シランは、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランであることが望ましい。   The organic silane is desirably one or more organic silanes selected from the group consisting of triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, and triethylsilane.

さらに、有機絶縁膜は、C=C結合を有していることが望ましく、さらにビニル基を有しているほうが耐熱性に優れている。   Furthermore, the organic insulating film desirably has a C═C bond, and the one having a vinyl group has better heat resistance.

この場合、原料となる有機シランは、少なくとも一部にビニル基を有しているほうが良い。この、少なくとも一部にビニル基を有する前記有機シランはトリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン及び、テトラビニルシランからなる群から選択される1以上の有機シランであることが望ましい。   In this case, the organic silane used as a raw material preferably has a vinyl group at least partially. The organic silane having a vinyl group at least in part is one or more organic compounds selected from the group consisting of trimethylvinylsilane, triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, and tetravinylsilane. Silane is desirable.

特に、SiOCH膜の場合、原料ガスは、少なくともC/Si比が5以上で、且つ、分子量が100以上の有機シランと酸化剤と不活性ガスが必要である。不活性ガスは、ヘリウム、アルゴン、キセノンのいずれかであればよく、酸化剤は、O2、O3、H2O、CO、CO2のいずれかであればよい。 In particular, in the case of a SiOCH film, the source gas requires an organic silane having at least a C / Si ratio of 5 or more and a molecular weight of 100 or more, an oxidizing agent, and an inert gas. The inert gas may be any of helium, argon, and xenon, and the oxidant may be any of O 2 , O 3 , H 2 O, CO, and CO 2 .

酸化剤は窒素を含有する酸化ガスでもかまわないが、現在使われているノボラック系のフォトレジストには適さない。   The oxidizing agent may be an oxidizing gas containing nitrogen, but is not suitable for currently used novolak photoresists.

原料となる、有機シランは、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランであればよいが、耐熱性を向上させる点では、ビニル基を有する方がよい。   The raw material organic silane may be one or more organic silanes selected from the group consisting of triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, and triethylsilane. However, it is better to have a vinyl group in terms of improving heat resistance.

SiCH膜の場合、原料ガスは、C/Si比が5以上で、且つ、分子量が100以上の有機シランとヘリウム、アルゴン、キセノンのいずれかである不活性ガスである。この場合も、有機シランは、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランであればよく、特に、ビニル基を有する方が耐熱性の向上が図れる。   In the case of the SiCH film, the source gas is an inert gas that is an organic silane having a C / Si ratio of 5 or more and a molecular weight of 100 or more and helium, argon, or xenon. Again, the organosilane can be one or more organosilanes selected from the group consisting of triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, and triethylsilane. In particular, heat resistance can be improved by having a vinyl group.

SiCHN膜は、原料ガスがC/Si比が5以上で、且つ、分子量が100以上の有機シランと窒素含有ガスとヘリウム、アルゴン、キセノンのいずれかである不活性ガスである。窒素含有ガスには、アンモニア、N2、ヒドラジンがある。有機シランは、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランであればよく、特に、一部にビニル基を有する方が耐熱性の向上が図れる。 The SiCHN film is an inert gas whose source gas is one of organic silane, nitrogen-containing gas, helium, argon, and xenon having a C / Si ratio of 5 or more and a molecular weight of 100 or more. Nitrogen-containing gases include ammonia, N 2 and hydrazine. The organic silane may be one or more organic silanes selected from the group consisting of triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, and triethylsilane. The one part having a vinyl group can improve the heat resistance.

従来の半導体装置で通常SiO2膜の代替としてはSiOCH膜が、SiN膜の代替としてはSiCH膜又は、SiCHN膜が使用できる。
In a conventional semiconductor device, a SiOCH film can be used as a substitute for the SiO 2 film, and a SiCH film or a SiCHN film can be used as a substitute for the SiN film.

半導体装置としては、多層構造を有する半導体集積回路装置が適しており、特に、近年微細化にともない採用され始めた溝配線構造を有する半導体装置に適している。
As the semiconductor device, a semiconductor integrated circuit device having a multilayer structure is suitable, and in particular, a semiconductor device having a trench wiring structure which has been adopted in recent years with miniaturization.

本発明の、実施の形態である有機絶縁膜の構造及び製造方法を説明する。   The structure and manufacturing method of the organic insulating film according to the embodiment of the present invention will be described.

有機絶縁膜の比誘電率を低減していくためには、膜中のC/Si組成比を従来のSiCやSiCN、SiOCよりも大きくする必要があり、このためには、4MSや3MSよりもC/Si組成比が大きい原料ガスを使用する必要がある。   In order to reduce the relative dielectric constant of the organic insulating film, it is necessary to make the C / Si composition ratio in the film larger than that of conventional SiC, SiCN, or SiOC. It is necessary to use a source gas having a large C / Si composition ratio.

一方、膜中のC/Si比を大きくすると、膜中にC−C結合を形成されるが、C−C結合の結合エネルギーはSi−OやSi−C、Si−Nといった結合エネルギーよりも小さく容易に分解しやすいため耐熱性の低い膜となる。耐熱性を向上するため、C−Cよりも結合エネルギーの大きいC=C結合を有する膜を形成することが効果的である。   On the other hand, when the C / Si ratio in the film is increased, a C—C bond is formed in the film. The bond energy of the C—C bond is higher than the bond energy of Si—O, Si—C, Si—N, and the like. Since it is small and easily decomposed, it becomes a film having low heat resistance. In order to improve heat resistance, it is effective to form a film having a C═C bond having a binding energy larger than that of C—C.

C=C結合を有する有機絶縁膜は、プラズマCVDの電力等を制御することでも可能であるが、原料ガス中にビニル基の結合を有する原料ガスを使用することも効果的である。   An organic insulating film having a C = C bond can also be controlled by controlling the power of plasma CVD, but it is also effective to use a source gas having a vinyl group bond in the source gas.

SiCH、SiCHN、SiOCHの低誘電率化を行なう方法の1つとしては、膜密度を低減することが効果的である。膜密度を低減するためには、原料ガスとして4MS(テトラメチルシラン)や3MS(トリメチルシラン)よりも分子量が大きい原料を用い、かつ、原料ガスの気相での分解を抑制するため、プラズマ密度を低減して成膜する必要がある。   As one of the methods for reducing the dielectric constant of SiCH, SiCHN, and SiOCH, it is effective to reduce the film density. In order to reduce the film density, a raw material gas having a molecular weight higher than that of 4MS (tetramethylsilane) or 3MS (trimethylsilane) is used, and the plasma gas density is suppressed in order to suppress decomposition of the raw material gas in the gas phase. It is necessary to reduce the film thickness.

本発明は、以上の知見に基づいて従来得られているSiCHあるいはSiCHN、SiOCH膜よりも低誘電率なSiCHあるいはSiCHN、SiOCH膜を提供するものである。   The present invention provides a SiCH, SiCHN, or SiOCH film having a lower dielectric constant than the conventionally obtained SiCH, SiCHN, or SiOCH film based on the above knowledge.

さらに、低誘電率なSiCHあるいはSiCHN、SiOCH膜をもちいた半導体装置、特に、溝構造を有する半導体層に関するものである。   Furthermore, the present invention relates to a semiconductor device using a low dielectric constant SiCH, SiCHN, or SiOCH film, and particularly to a semiconductor layer having a trench structure.

尚、本発明で使用する、平行平板型プラズマCVD装置について図17を用いて説明する。   A parallel plate type plasma CVD apparatus used in the present invention will be described with reference to FIG.

装置は真空漕内に上部電極1と下部電極2があり、基板3は下部電極に設置され、高周波電源4から発生した高周波は上部電極に印加される。また下部電極はヒーターによる加熱が可能となっている。装置には原料気体を導入するための気体導入部5と、ガス排気部6が接続されている。原料導入部では、封止バルブとマスフローコントローラを介して原料ガスのシリンダーが接続されており、導入部配管は300℃まで加熱できる構造になっている。なお原料に液体原料を用いる場合はマスフローコントローラのかわりに液体気化供給器による供給を行う。   The apparatus has an upper electrode 1 and a lower electrode 2 in a vacuum chamber, a substrate 3 is installed on the lower electrode, and a high frequency generated from a high frequency power source 4 is applied to the upper electrode. The lower electrode can be heated by a heater. The apparatus is connected to a gas introduction part 5 for introducing a raw material gas and a gas exhaust part 6. In the raw material introduction part, a cylinder of raw material gas is connected via a sealing valve and a mass flow controller, and the introduction part piping is structured to be heated to 300 ° C. In addition, when using a liquid raw material for a raw material, it supplies with a liquid vaporization supply device instead of a mass flow controller.

なお、平行平板型プラズマCVD以外にも、ECR励起プラズマCVD、ヘリコン波励起、及び誘導結合型プラズマCVDを使用しても、同等の膜が得られる事を確認している。

本発明の第1の実施の形態となるSiOCH膜について詳細に説明する。
In addition to parallel plate type plasma CVD, it has been confirmed that an equivalent film can be obtained by using ECR excitation plasma CVD, helicon wave excitation, and inductively coupled plasma CVD.

The SiOCH film according to the first embodiment of the present invention will be described in detail.

第1の実施の形態であるSiOCH膜は、平行平板プラズマCVD(以下、PECVDと略す)装置中にSiウエハを配置し、150℃〜400℃に加熱し、原料ガスとしてトリメチルビニルシラン(TMVS)、O2、Heをそれぞれ200〜2000sccm、50〜1000sccm、50〜500sccmの流量でPECVD装置内に導入する。チャンバー内の圧力を133〜1330Paとし、200〜1000WのRFパワーを印加する。 In the SiOCH film according to the first embodiment, a Si wafer is placed in a parallel plate plasma CVD (hereinafter abbreviated as PECVD) apparatus, heated to 150 ° C. to 400 ° C., trimethylvinylsilane (TMVS) as a source gas, O 2 and He are introduced into the PECVD apparatus at a flow rate of 200 to 2000 sccm, 50 to 1000 sccm, and 50 to 500 sccm, respectively. The pressure in the chamber is set to 133 to 1330 Pa, and RF power of 200 to 1000 W is applied.

上記条件により成膜されたSiOCH膜は、C/Si組成比が0.8〜1.3、膜密度が1.1〜1.2g/cm3であった。この値は、従来配線層間絶縁膜として用いられているトリメチルシラン(3MS)を原料ガスとして用いたSiOCH膜(C/Si組成比0.7、膜密度1.3g/cm3)と比較して、C/Si比が大きく膜密度小さい。このため比誘電率は2.2〜2.7となり、トリメチルシラン(3MS)を原料ガスとして用いたSiOC膜の比誘電率(2.8〜3.0)と比べて低い値が得られる。また上記条件で屈折率は1.3―1.45の間で変化する。 The SiOCH film formed under the above conditions had a C / Si composition ratio of 0.8 to 1.3 and a film density of 1.1 to 1.2 g / cm 3 . This value is compared with a SiOCH film (C / Si composition ratio 0.7, film density 1.3 g / cm 3 ) using trimethylsilane (3MS), which has been used as a conventional wiring interlayer insulating film, as a source gas. , C / Si ratio is large and film density is small. For this reason, the relative dielectric constant is 2.2 to 2.7, which is lower than the relative dielectric constant (2.8 to 3.0) of the SiOC film using trimethylsilane (3MS) as a source gas. Under the above conditions, the refractive index varies between 1.3 and 1.45.

RFパワー400W以上で成膜されたSiOCH膜は、C/Si組成比が0.8以上1.0未満で、この場合、膜中にC−C結合が形成されるため、熱的に不安定な膜となり400℃、30minの熱処理により膜厚が5%程度減少する。これに対して、RFパワー200W〜400Wの範囲では膜中にC=C結合が形成されるため、耐熱性が向上し400℃、30minの熱処理による膜厚減少は1%以下となる。   The SiOCH film formed at an RF power of 400 W or more has a C / Si composition ratio of 0.8 or more and less than 1.0, and in this case, a C—C bond is formed in the film, so that it is thermally unstable. The film thickness is reduced by about 5% by heat treatment at 400 ° C. for 30 minutes. On the other hand, in the range of RF power 200 W to 400 W, C═C bonds are formed in the film, so that the heat resistance is improved and the film thickness reduction by heat treatment at 400 ° C. for 30 minutes is 1% or less.

第1の実施形態では、原料ガスとして、トリメチルビニルシランを用いたが、たとえば、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン、トリエチルシランのいずれか1つまたは、その組み合わせであっても良い。   In the first embodiment, trimethylvinylsilane is used as the source gas. For example, any one of dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, and triethylsilane is used. Or a combination thereof.

特にビニル基を持ったトリメチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシランのいずれかが好ましい。   In particular, any of trimethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, and tetravinylsilane having a vinyl group is preferable.

2OあるいはNO2といったガスを酸化ガスとして用いた場合、SiOCH膜中に微小量のNが存在し、アミン基を形成する。膜中にアミン基が存在すると、ノボラック系のフォトレジストの場合、フォトレジストとアミン基が反応してしまい、露光不良が発生するため、これらのNを含む酸化ガスは使用できない。

次に、本発明の第2の実施の形態であるSiCH膜を説明する。
When a gas such as N 2 O or NO 2 is used as the oxidizing gas, a minute amount of N is present in the SiOCH film, and an amine group is formed. If an amine group is present in the film, in the case of a novolak-type photoresist, the photoresist and the amine group react with each other and exposure failure occurs, so that these oxidizing gases containing N cannot be used.

Next, the SiCH film which is the 2nd Embodiment of this invention is demonstrated.

本実施の形態では、平行平板型プラズマCVD装置を用いる。   In this embodiment, a parallel plate type plasma CVD apparatus is used.

マスフローコントローラにより流量を制御し、トリメチルビニルシラン300sccmを流し、同時にHeを1000sccm流す。なお成膜時の圧力は133Pa〜1330Pa、高周波電力100〜400W、基板温度350℃とする。   The flow rate is controlled by a mass flow controller, and 300 sccm of trimethylvinylsilane is allowed to flow, and simultaneously 1000 sccm of He is allowed to flow. The pressure during film formation is 133 Pa to 1330 Pa, high frequency power 100 to 400 W, and substrate temperature 350 ° C.

上記条件で作成した膜の比誘電率を測定したところ値は成膜圧力により変化し、133Paで成膜した膜の比誘電率3.3から1330Paで成膜した膜の比誘電率4.2の間で連続的に変化した。   When the relative dielectric constant of the film prepared under the above conditions was measured, the value varied depending on the deposition pressure, and the relative dielectric constant of the film formed at 133 to 1330 Pa with the relative dielectric constant of 3.3 to 1330 Pa. Continuously changed between.

3MSもしくは4MSを用いて堆積した膜(それぞれ比誘電率は4.5)よりも低誘電率化出来ている。   The dielectric constant can be made lower than that of a film deposited using 3MS or 4MS (each having a relative dielectric constant of 4.5).

また上記成膜条件で、膜中のC/Si組成比は0.9−1.4で推移し、膜密度は0.9−1.4g/cm3の範囲で推移した。すなわち3MSで作成したSiCH膜(C/Si組成比0.8、密度1.5g/cm3)よりも低密度化できている。従って、比誘電率に低下が見られたものと考えられる。 Further, under the above film forming conditions, the C / Si composition ratio in the film changed from 0.9 to 1.4, and the film density changed from 0.9 to 1.4 g / cm 3 . That is, the density is lower than that of the SiCH film (C / Si composition ratio 0.8, density 1.5 g / cm 3 ) prepared by 3MS. Therefore, it is considered that the relative dielectric constant has been reduced.

また上記条件で屈折率は1.70−1.85の間で変化した。FT―IR(フーリエ変換赤外線分光法)による測定の結果、膜中にはSi−C,Si−CH3、Si−H結合が存在する。一方、膜中に水分によるSi−OH結合は検出されなかった。 Moreover, the refractive index changed between 1.70-1.85 on the said conditions. As a result of measurement by FT-IR (Fourier transform infrared spectroscopy), Si—C, Si—CH 3 and Si—H bonds exist in the film. On the other hand, no Si—OH bond due to moisture was detected in the film.

Cuのバリア性に関しても良好であり、450℃加熱時にバイアス電圧印加によるCuの拡散加速試験を行っても、Cuの拡散は見られなかった。すなわち従来の3MSを用いて堆積したSiCH膜と同等の性質を持つことが分かった。   The Cu barrier property was also good, and Cu diffusion was not observed even when a Cu diffusion acceleration test was performed by applying a bias voltage when heated at 450 ° C. That is, it has been found that the film has the same properties as those of a conventional SiCH film deposited using 3MS.

以上の実施例はトリメチルビニルシランを原料に用いた場合の実施例であるが、その他にも分子量が100以上の有機シランであり、かつ原料のC/Si比が5以上の原料であるトリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン、トリエチルシランを用いた場合にも同等の膜が形成されることを確認した。上記原料を用いた場合の原料化合物の分子量と、堆積膜の密度およびC/Si組成比との関係を図20に示す。分子量が100以上で、かつC/Si比が5以上の原料を用いることにより、膜密度が1.0以上1.4g/cm3以下でありC/Si組成比が0.9以上1.3以下の膜が堆積できることを確認した。 The above example is an example in the case of using trimethylvinylsilane as a raw material. In addition, triethylvinylsilane, which is a raw material having a molecular weight of 100 or more and a C / Si ratio of the raw material of 5 or more, It was confirmed that an equivalent film was formed when dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, or triethylsilane was used. FIG. 20 shows the relationship between the molecular weight of the raw material compound, the density of the deposited film, and the C / Si composition ratio when the above raw materials are used. By using a raw material having a molecular weight of 100 or more and a C / Si ratio of 5 or more, the film density is 1.0 or more and 1.4 g / cm 3 or less, and the C / Si composition ratio is 0.9 or more and 1.3. It was confirmed that the following films can be deposited.

なお、平行平板型プラズマCVD以外にも、ECR励起プラズマCVD、ヘリコン波励起、及び誘導結合型プラズマCVDを使用しても、同等の膜が得られる事を確認している。

続いて、第2の実施の形態の変形例であるSiCH膜中にビニル基を含有したSiCH膜について説明する。
In addition to parallel plate type plasma CVD, it has been confirmed that an equivalent film can be obtained by using ECR excitation plasma CVD, helicon wave excitation, and inductively coupled plasma CVD.

Subsequently, a SiCH film containing a vinyl group in a SiCH film which is a modification of the second embodiment will be described.

膜中にビニル基を含有するには、プラズマによる原料の解離をできるだけ防止する必要がる。そこでトリメチルビニルシランの流量を300sccm以上に増大し、プラズマ電力を50―100Wと、より低電力にして堆積した。   In order to contain a vinyl group in the film, it is necessary to prevent dissociation of the raw material by plasma as much as possible. Therefore, the flow rate of trimethylvinylsilane was increased to 300 sccm or more, and the plasma power was deposited at a lower power of 50 to 100 W.

膜中にビニル基が含有されているかどうかを確かめるために、赤外吸収スペクトルを測定したところ、高流量かつ高周波電力50〜100Wで堆積した試料からビニル基に起因する吸収が出現することが分かった。これは、弱いエネルギーのプラズマでは、原料の構造が破壊されずに膜中に取り込まれるためである。   In order to confirm whether vinyl groups are contained in the film, an infrared absorption spectrum was measured, and it was found that absorption caused by vinyl groups appeared from a sample deposited at a high flow rate and high frequency power of 50 to 100 W. It was. This is because in the case of weak energy plasma, the structure of the raw material is taken into the film without being destroyed.

以上の様に原料ガスにビニル基が結合している原料を用いて、しかも原料の分解を抑制することにより、ビニル基の含有したSiCH膜を製造できることがわかった。また膜中へのビニル基取り込み量は、プラズマ電力を可変することにより制御可能であり、100W以上に電力を増加するとビニル基の含有は見られなくなった。   As described above, it has been found that a SiCH film containing a vinyl group can be manufactured by using a raw material in which a vinyl group is bonded to a raw material gas and suppressing decomposition of the raw material. The amount of vinyl group incorporated into the film can be controlled by varying the plasma power. When the power was increased to 100 W or more, the vinyl group was no longer contained.

膜中にビニル基が存在する構造について耐熱性試験を行った結果、窒素雰囲気中450℃、1時間の加熱後、膜収縮は0.1%以内であり、他の膜特性にもほとんど変化は見られなかった。すなわち膜中へのビニル基取り込みは、膜の耐熱性を著しく向上させることが明らかとなった。   As a result of conducting a heat resistance test on the structure in which vinyl groups are present in the film, the film shrinkage is within 0.1% after heating at 450 ° C. for 1 hour in a nitrogen atmosphere, and there is almost no change in other film characteristics. I couldn't see it. That is, it has been clarified that vinyl group incorporation into the film significantly improves the heat resistance of the film.

比誘電率は、ビニル基を含まない場合と同様に成膜圧力により変化し、133Paで成膜した膜の比誘電率3.2から1330Paで成膜した膜の比誘電率4.2の間で連続的に変化することが分かった。すなわち膜中ビニル基の有無に対して、比誘電率は殆ど変化しなかった。また膜のC/Si組成比は、0.9−1.4で推移し、一方膜密度は0.9−1.4g/cm3、屈折率は1.70−1.85の間で推移した。すなわち膜中ビニル基の有無に対して変化はなかった。 The relative dielectric constant changes depending on the film forming pressure as in the case where the vinyl group is not included, and is between the relative dielectric constant 3.2 of the film formed at 133 Pa and the relative dielectric constant 4.2 of the film formed at 1330 Pa. It turns out that it changes continuously. That is, the relative dielectric constant hardly changed with or without vinyl groups in the film. Also, the C / Si composition ratio of the film changes from 0.9 to 1.4, while the film density changes from 0.9 to 1.4 g / cm 3 and the refractive index changes from 1.70 to 1.85. did. That is, there was no change with respect to the presence or absence of vinyl groups in the film.

Cuのバリア性に関しても良好であり、450℃加熱時にバイアス電圧印加によるCuの拡散加速試験を行っても、Cuの拡散は見られなかった。すなわち従来の3MSを用いて堆積したSiCH膜と同等の性質を持つことが分かった。   The Cu barrier property was also good, and Cu diffusion was not observed even when a Cu diffusion acceleration test was performed by applying a bias voltage when heated at 450 ° C. That is, it has been found that the film has the same properties as those of a conventional SiCH film deposited using 3MS.

以上の実施例はトリメチルビニルシランを原料に用いた場合の実施例であるが、その他にも分子量が100以上の有機シランであり、かつ原料のC/Si比が5以上の原料であるトリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン、トリエチルシランを用いた場合にも同等の膜が形成されることを確認した。   The above example is an example in the case of using trimethylvinylsilane as a raw material. In addition, triethylvinylsilane, which is a raw material having a molecular weight of 100 or more and a C / Si ratio of the raw material of 5 or more, It was confirmed that an equivalent film was formed when dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, or triethylsilane was used.

なお、平行平板型プラズマCVD以外にも、ECR励起プラズマCVD、ヘリコン波励起、及び誘導結合型プラズマCVDを使用しても、同等の膜が得られる事を確認している。

第3の実施形態であるSiCHN膜について説明する。
In addition to parallel plate type plasma CVD, it has been confirmed that an equivalent film can be obtained by using ECR excitation plasma CVD, helicon wave excitation, and inductively coupled plasma CVD.

A SiCHN film according to the third embodiment will be described.

本実施の形態では、マスフローコントローラにより流量を制御し、トリメチルビニルシラン300sccm、アンモニア300sccmを流し、同時にHeを1000sccm流す。なお成膜時の圧力は133Pa〜1330Pa、高周波電力100〜400W、基板温度350℃とする。   In this embodiment, the flow rate is controlled by a mass flow controller, and 300 sccm of trimethylvinylsilane and 300 sccm of ammonia are allowed to flow, and at the same time, 1000 sccm of He is allowed to flow. The pressure during film formation is 133 Pa to 1330 Pa, high frequency power 100 to 400 W, and substrate temperature 350 ° C.

アンモニアを300sccm導入したところ、膜中に窒素が導入され、SiCHN膜が形成される。   When 300 sccm of ammonia is introduced, nitrogen is introduced into the film and a SiCHN film is formed.

比誘電率は成膜圧力により変化し、133Paで成膜した膜の比誘電率3.8から1330Paで成膜した膜の比誘電率4.7の間で連続的に変化する。また上記成膜条件で、膜のC/Si組成比は1.0−1.3と炭素含有量がシリコンよりも多い範囲で推移し、一方膜密度は1.4−1.6g/cm3の範囲で推移し、3MSで作成したSiCHN膜(密度1.7g/cm3)よりも低密度化される。 The relative dielectric constant changes depending on the deposition pressure, and continuously changes between the relative dielectric constant of 3.8 to 1330 Pa of the film formed at 133 Pa. Under the above film forming conditions, the C / Si composition ratio of the film is 1.0-1.3, and the carbon content is larger than that of silicon, while the film density is 1.4-1.6 g / cm 3. The density is lower than that of the SiCHN film (density 1.7 g / cm 3 ) prepared by 3MS.

また屈折率は1.77−1.90の間で推移する。FT−IR測定の結果、膜中にはSi−C,Si−CH3、Si−H結合が存在し、一方膜中水分によるSi−OH結合は検出されなかった。 The refractive index changes between 1.77 and 1.90. As a result of FT-IR measurement, Si—C, Si—CH 3 and Si—H bonds existed in the film, while no Si—OH bond due to moisture in the film was detected.

Cuのバリア性に関しても良好であり、450℃加熱時にバイアス電圧印加によるCuの拡散加速試験を行っても、Cuの拡散は見られなかった。すなわち従来の3MSを用いて堆積したSiCHN膜と同等の性質を持つことが分かった。   The Cu barrier property was also good, and Cu diffusion was not observed even when a Cu diffusion acceleration test was performed by applying a bias voltage when heated at 450 ° C. That is, it was found that the film has the same properties as those of a conventional SiCHN film deposited using 3MS.

以上の実施例はトリメチルビニルシランを原料に用いた場合の実施例であるが、その他にも分子量が100以上のオルガノシランであり、かつ原料のC/Si比が5以上の原料であるトリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン、トリエチルシランを用いた場合、アンモニアの変わりにヒドラジン等の他の窒化源を用いても同等の膜が形成されることを確認した。   The above examples are examples where trimethylvinylsilane is used as a raw material, but in addition, triethylvinylsilane which is an organosilane having a molecular weight of 100 or more and a raw material having a C / Si ratio of 5 or more, When dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, or triethylsilane is used, an equivalent film can be formed by using other nitriding sources such as hydrazine instead of ammonia. I was sure that.

なお、平行平板型プラズマCVD以外にも、ECR励起プラズマCVD、ヘリコン波励起、及び誘導結合型プラズマCVDを使用しても、同等の膜が得られる事を確認している。

第3の実施の形態の変形例として、ビニル基を含有したSiCHN膜について説明する。
中にビニル基を含有した。第2の実施形態と同様に、トリメチルビニルシラン300sccmを300sccm以上に増大し、しかもプラズマ電力を50―100Wと、より低電力にして堆積した。
In addition to parallel plate type plasma CVD, it has been confirmed that an equivalent film can be obtained by using ECR excitation plasma CVD, helicon wave excitation, and inductively coupled plasma CVD.

As a modification of the third embodiment, a SiCHN film containing a vinyl group will be described.
It contained vinyl groups. Similar to the second embodiment, trimethylvinylsilane (300 sccm) was increased to 300 sccm or more, and the plasma power was reduced to 50-100 W and deposited.

膜中にビニル基が含有されているかどうかを確かめるために、赤外吸収スペクトルを測定したところ、高流量かつ高周波電力50〜100Wで堆積した試料からビニル基に起因する吸収が出現することが分かった。これは、SiCHN膜においても、弱いエネルギーのプラズマでは、原料の構造が破壊されずに膜中に取り込まれるためである。   In order to confirm whether vinyl groups are contained in the film, an infrared absorption spectrum was measured, and it was found that absorption caused by vinyl groups appeared from a sample deposited at a high flow rate and high frequency power of 50 to 100 W. It was. This is because even in the SiCHN film, the structure of the raw material is taken into the film without being destroyed by the weak energy plasma.

また、膜中には同時にSi−C,Si−CH3、Si−H結合も存在することが分かった。一方膜中水分によるSi−OH結合は検出されなかった。 It was also found that Si—C, Si—CH 3 and Si—H bonds were present in the film at the same time. On the other hand, Si—OH bonds due to moisture in the film were not detected.

一方100W以上に電力を上昇すると、ビニル基に起因する吸収は消滅し、膜中からはSi−C,Si−CH3、Si−H結合のみが検出された。 On the other hand, when the power was increased to 100 W or more, the absorption due to the vinyl group disappeared, and only Si—C, Si—CH 3 and Si—H bonds were detected from the film.

以上の様に原料ガスにビニル基が結合している原料を用いて、しかも原料の分解を抑制することにより、ビニル基の含有したSiCHN膜を製造できることがわかった。また膜中へのビニル基取り込み量は、プラズマ電力を可変することにより制御可能であることがわかった。   As described above, it was found that a SiCHN film containing a vinyl group can be manufactured by using a raw material in which a vinyl group is bonded to a raw material gas and suppressing the decomposition of the raw material. It was also found that the amount of vinyl group incorporated into the film can be controlled by varying the plasma power.

さらに膜中にビニル基が存在する構造について耐熱性試験を行った結果、窒素雰囲気中450℃、1時間の加熱後も膜特性は変化しなかった。すなわち膜中へのビニル基取り込みは、膜の耐熱性を著しく向上させることが明らかとなった。また比誘電率は、133Paで成膜した膜の比誘電率3.8から1330Paで成膜した膜の比誘電率4.7の間で連続的に変化した。   Furthermore, as a result of conducting a heat resistance test on a structure in which a vinyl group is present in the film, the film characteristics did not change even after heating at 450 ° C. for 1 hour in a nitrogen atmosphere. That is, it has been clarified that vinyl group incorporation into the film significantly improves the heat resistance of the film. The relative dielectric constant continuously changed between the relative dielectric constant 3.8 of the film formed at 133 Pa and the relative dielectric constant 4.7 of the film formed at 1330 Pa.

また膜のC/Si組成比は、1.0−1.3と炭素含有量がシリコンよりも多い範囲で推移し、一方膜密度は1.4−1.6g/cm3の範囲、屈折率は1.77−1.90の間で推移した。すなわち膜中ビニル基の有無に対して変化はなかった。すなわち膜中ビニル基は、比誘電率の顕著な上昇をもたらすことなく、バリア膜の耐熱性を向上するという効果を持つことが分かった。Cuのバリア性に関しても良好であり、450℃加熱時にバイアス電圧印加によるCuの拡散加速試験を行っても、Cuの拡散は見られなかった。すなわち従来の3MSを用いて堆積したSiCH膜と同等の性質を持つことが分かった。 Also, the C / Si composition ratio of the film is 1.0-1.3, and the carbon content changes in a range higher than that of silicon, while the film density is in the range of 1.4-1.6 g / cm 3 and the refractive index. Changed between 1.77 and 1.90. That is, there was no change with respect to the presence or absence of vinyl groups in the film. That is, it has been found that the vinyl group in the film has the effect of improving the heat resistance of the barrier film without causing a significant increase in the dielectric constant. The Cu barrier property was also good, and Cu diffusion was not observed even when a Cu diffusion acceleration test was performed by applying a bias voltage when heated at 450 ° C. That is, it has been found that the film has the same properties as those of a conventional SiCH film deposited using 3MS.

本実施の形態では、トリメチルビニルシランを原料に用いた場合であるが、その他にも分子量が100以上の有機シランであり、かつ原料のC/Si比が5以上の原料であるトリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン、トリエチルシランを用いた場合にも同等の膜が形成されることを確認した。   In this embodiment, trimethylvinylsilane is used as a raw material, but triethylvinylsilane and dimethyldisilane, which are organic silanes having a molecular weight of 100 or more and having a C / Si ratio of 5 or more, are also used. It was confirmed that an equivalent film was formed when vinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, or triethylsilane was used.

なお、平行平板型プラズマCVD以外にも、ECR励起プラズマCVD、ヘリコン波励起、及び誘導結合型プラズマCVDを使用しても、同等の膜が得られる事を確認している。   In addition to parallel plate type plasma CVD, it has been confirmed that an equivalent film can be obtained by using ECR excitation plasma CVD, helicon wave excitation, and inductively coupled plasma CVD.

図18、19は、3MS、4Mを用いて成膜したSiOC膜、SiC膜及び、ソースの分子量が100以上で、かつC/Si比が5以上であるTMVSまたはDMVSを用いて成膜したSiOCH膜、SiCH膜の比誘電率を示したものである。3MSおよび、4MSを用いて成膜されたSiOC膜は、比誘電率が2.9であるが、TMVSでは2.6またDMVSでは、2.4を示した。分子量が大きなソースを用いることにより、比誘電率が低い膜ができることが検証できた。
18 and 19 are SiOCH films and SiC films formed using 3MS and 4M, and SiOCH films formed using TMVS or DMVS having a source molecular weight of 100 or more and a C / Si ratio of 5 or more. It shows the relative dielectric constant of the film and the SiCH film. The SiOC film formed using 3MS and 4MS has a relative dielectric constant of 2.9, but TMVS shows 2.6 and DMVS shows 2.4. It was verified that a film having a low relative dielectric constant can be formed by using a source having a large molecular weight.

以下、本発明の実施の形態にかかる有機絶縁膜を半導体装置に適用した実施例を、図面を参照して説明する。   Embodiments in which an organic insulating film according to an embodiment of the present invention is applied to a semiconductor device will be described below with reference to the drawings.

(実施例1)
図2は、第1の実施例であるシングルダマシン構成を有する半導体装置の部分断面図である。
Example 1
FIG. 2 is a partial cross-sectional view of a semiconductor device having a single damascene configuration according to the first embodiment.

図2に示す半導体装置は、Si基板上に形成されたMOSトランジスタ等の素子を覆う下地絶縁膜201上に、第1のエッチングストッパ膜202、第1のSiOCH膜203、第1のハードマスク膜204、第1のバリア絶縁膜211、第2のSiOCH膜212、第2のハードマスク膜213、第2のエッチングストッパ膜214、第3のSiOC217、第3のハードマスク膜218及び、第2のバアリア絶縁膜223が順次積層されている。   The semiconductor device shown in FIG. 2 includes a first etching stopper film 202, a first SiOCH film 203, and a first hard mask film on a base insulating film 201 that covers an element such as a MOS transistor formed on a Si substrate. 204, the first barrier insulating film 211, the second SiOCH film 212, the second hard mask film 213, the second etching stopper film 214, the third SiOC 217, the third hard mask film 218, and the second A barrier insulating film 223 is sequentially stacked.

第1の銅配線210、第2の銅配線224及び、第1の銅配線210と第2の銅配線224とを接続する銅プラグ228が積層された絶縁膜中に形成されている。   The first copper wiring 210, the second copper wiring 224, and the copper plug 228 that connects the first copper wiring 210 and the second copper wiring 224 are formed in the laminated insulating film.

第1の銅配線210は、下地絶縁膜201上に順次積層された、第1のエッチングストッパ202、第1のSiOCH膜203、第1のハードマスク膜204からなる積層絶縁膜中に形成される。   The first copper wiring 210 is formed in a laminated insulating film made up of the first etching stopper 202, the first SiOCH film 203, and the first hard mask film 204, which are sequentially laminated on the base insulating film 201. .

第2の銅配線224は、第2のエッチングストッパ214、第3のSiOCH膜217、第3のハードマスク膜218からなる積層絶縁膜中に形成される。   The second copper wiring 224 is formed in a laminated insulating film composed of the second etching stopper 214, the third SiOCH film 217, and the third hard mask film 218.

上層配線となる第2の銅配線224と下層配線となる第1の銅配線210とを接続する銅プラグ228は、上層配線と下層配線とを分離する異層間絶縁膜となる、第1のバリア絶縁膜211、第2のSiOCH膜212、第2のハードマスク膜213からなる積層膜中に形成されている。   The copper plug 228 connecting the second copper wiring 224 serving as the upper wiring and the first copper wiring 210 serving as the lower wiring serves as a different barrier insulating film that separates the upper wiring from the lower wiring. The insulating film 211, the second SiOCH film 212, and the second hard mask film 213 are formed in a laminated film.

第1の銅配線210は一部が下地絶縁膜201中に食い込んでいてもかまわない。   A part of the first copper wiring 210 may bite into the base insulating film 201.

以上構成されている層間膜のうち、第1および第2のバリア絶縁膜、第1および第1のエッチングストッパは、SiCH膜又は、SiCHN膜のいずれか、又は、SiCH膜と、SiCHN膜の積層膜であってもよい。   Of the interlayer films configured as described above, the first and second barrier insulating films, the first and first etching stoppers are either SiCH films or SiCHN films, or a stack of SiCH films and SiCHN films. It may be a membrane.

次に上述した半導体装置の製造方法を図3(a)〜図6(d)の工程断面図を用いて説明する。   Next, a manufacturing method of the above-described semiconductor device will be described with reference to process cross-sectional views of FIGS. 3 (a) to 6 (d).

まず、図3(a)に示すように、下地絶縁膜301上に第1のエッチングストッパ膜302、第1のSiOCH膜303、第1のハードマスク膜304を順次成膜した。   First, as shown in FIG. 3A, a first etching stopper film 302, a first SiOCH film 303, and a first hard mask film 304 were sequentially formed on the base insulating film 301.

第1のエッチングストッパ膜302はSiCH膜又は、SiCHN膜であり、平行平板プラズマCVD法で30nm〜150nmの厚さで成膜した。第1のSiOCH膜303は200〜1000nm程度の厚さで成膜する。第1のハードマスク膜304はSiO2、SiN、SiONのいずれかであり、50nm〜200nm程度の厚さで成膜した。 The first etching stopper film 302 is a SiCH film or a SiCHN film and is formed with a thickness of 30 nm to 150 nm by a parallel plate plasma CVD method. The first SiOCH film 303 is formed with a thickness of about 200 to 1000 nm. The first hard mask film 304 is one of SiO 2 , SiN, and SiON, and is formed with a thickness of about 50 nm to 200 nm.

それらの上に第1のフォトレジスト305を第1のハードマスク膜304上に形成し、フォトリソグラフィー技術により溝パターン306を形成した。   A first photoresist 305 was formed on them on the first hard mask film 304, and a groove pattern 306 was formed by photolithography.

続いて、図3(b)に示すように、溝パターン306がパターニングされた第1のフォトレジスト膜305をマスクとして、ドライエッチング技術により第1のハードマスク膜304、第1のSiOCH膜303をエッチングし、フォトレジスト305を剥離後、全面エッチバックにより、第1のエッチングストッパ302をエッチング除去し、第1の配線溝パターン307を形成した。   Subsequently, as shown in FIG. 3B, the first hard mask film 304 and the first SiOCH film 303 are formed by dry etching using the first photoresist film 305 patterned with the groove pattern 306 as a mask. After etching and removing the photoresist 305, the first etching stopper 302 was removed by etching by etching the entire surface to form a first wiring groove pattern 307.

ここで、第1のエッチングストッパ302をエッチング除去する際に、下地絶縁膜が一部エッチング除去されるが問題はない。   Here, when the first etching stopper 302 is removed by etching, a part of the base insulating film is removed by etching, but there is no problem.

第1のエッチングストッパ膜302を省略しても良い。この場合、第1のフォトレジストをマスクに第1のハードマスク304と第1のSiOCH膜303をエッチング除去すればよい。   The first etching stopper film 302 may be omitted. In this case, the first hard mask 304 and the first SiOCH film 303 may be removed by etching using the first photoresist as a mask.

次に、図3(c)に示すように、第1のバリアメタル膜308および第1の導体膜309を形成した。   Next, as shown in FIG. 3C, a first barrier metal film 308 and a first conductor film 309 were formed.

第1のバリアメタル膜308はTa、TaN、TiN等から構成される膜であり、スパッタリング法またはCVD法により形成される。第1の導体膜309はCu膜または、Cu合金膜であり、スパッタリング法、CVD法又は、メッキ法により形成できる。   The first barrier metal film 308 is a film made of Ta, TaN, TiN or the like, and is formed by a sputtering method or a CVD method. The first conductor film 309 is a Cu film or a Cu alloy film, and can be formed by a sputtering method, a CVD method, or a plating method.

その後、図3(d)に示すようにCMPによりハードマスク膜上のバリアメタル膜308、第1の導体膜309を除去し第1の配線310を形成した。   Thereafter, as shown in FIG. 3D, the barrier metal film 308 and the first conductor film 309 on the hard mask film were removed by CMP to form the first wiring 310.

次に、図4(a)に示すように、第1のバリア絶縁膜311、第2のSiOCH膜312、第2のハードマスク膜313を順次成膜した。   Next, as shown in FIG. 4A, a first barrier insulating film 311, a second SiOCH film 312 and a second hard mask film 313 were sequentially formed.

次に、図4(b)に示すようにそれらの上に前記と同様に、フォトリソグラフィー技術によりフォトレジスト315を用いたビア用レジストパターン316を形成した。   Next, as shown in FIG. 4B, a via resist pattern 316 using a photoresist 315 was formed on them by photolithography as described above.

次に、ドライエッチング技術により第2のハードマスク膜313、第2のSiOCH膜312をエッチングし、フォトレジスト316を剥離する(図4(c))。   Next, the second hard mask film 313 and the second SiOCH film 312 are etched by a dry etching technique, and the photoresist 316 is peeled off (FIG. 4C).

その後、全面エッチバックにより、第1のバリア絶縁膜311を抜き、ビアパターンを形成する。   Thereafter, the first barrier insulating film 311 is removed by overall etch back to form a via pattern.

次に、図4(d)に示すように、第2のバリアメタル膜326および第2の導体膜327を形成した。   Next, as shown in FIG. 4D, a second barrier metal film 326 and a second conductor film 327 were formed.

第2のバリアメタル膜326はTa、TaN、TiN等から構成される膜であり、スパッタリング法またはCVD法により形成される。第2の導体膜327はCu膜または、Cu合金膜であり、スパッタリング法、CVD法、メッキ法により形成される。   The second barrier metal film 326 is a film made of Ta, TaN, TiN or the like, and is formed by a sputtering method or a CVD method. The second conductor film 327 is a Cu film or a Cu alloy film, and is formed by a sputtering method, a CVD method, or a plating method.

その後、図5(a)に示すようにCMPによりハードマスク膜上のバリアメタル膜326、第2の導体膜327を除去し第1の導体プラグ328を形成した。   Thereafter, as shown in FIG. 5A, the barrier metal film 326 and the second conductor film 327 on the hard mask film were removed by CMP to form a first conductor plug 328.

その後、図5(b)のように、その上に第2のエッチングストッパ314を形成する。   Thereafter, as shown in FIG. 5B, a second etching stopper 314 is formed thereon.

さらに図5(c)のように、第3のSiOCH膜317を形成し、その上に第3のハードマスク膜318を形成した。その上に反射防止膜325を形成し、さらにその上から、第3のフォトレジスト319で第2の配線溝用レジストパターン320を形成した。   Further, as shown in FIG. 5C, a third SiOCH film 317 was formed, and a third hard mask film 318 was formed thereon. An antireflection film 325 was formed thereon, and a second wiring groove resist pattern 320 was formed thereon with a third photoresist 319.

図5(d)のようにフォトレジストマスク319により、第3のハードマスク膜318、および第3のSiOCH膜317をエッチング加工し、第3のフォトレジスト319を剥離後、全面エッチバックにより、第2のエッチングストッパ314の配線パターンを抜いた。   As shown in FIG. 5D, the third hard mask film 318 and the third SiOCH film 317 are etched using the photoresist mask 319, and after removing the third photoresist 319, the entire surface is etched back. The wiring pattern of the etching stopper 314 of 2 was removed.

ここにおいても、第2のエッチングストッパ膜314は、省略できる。この場合も、第3のフォトレジスト319をマスクにエッチングすればよい。しかしながら、この場合は、フォトレジストの除去に酸素によるアッシングを使うと銅の表面が酸化するので有機溶剤を用いる必要がある。   Also in this case, the second etching stopper film 314 can be omitted. In this case also, the third photoresist 319 may be used as a mask. However, in this case, if ashing with oxygen is used to remove the photoresist, the surface of copper is oxidized, so an organic solvent must be used.

続いて、図6(a)のように、第3のバリアメタル321を形成し、第3の導体膜322を形成した。
図6(b)のように、CMPによりハードマスク膜上のバリアメタル膜321、第3の導体膜322を除去し第2の配線324を形成した。
Subsequently, as shown in FIG. 6A, a third barrier metal 321 was formed, and a third conductor film 322 was formed.
As shown in FIG. 6B, the barrier metal film 321 and the third conductor film 322 on the hard mask film were removed by CMP to form the second wiring 324.

図6(c)のように、第2のバリア絶縁膜323を形成した。   A second barrier insulating film 323 was formed as shown in FIG.

上記、図4(a)から図6(c)を順次繰り返すことにより、多層化配線を形成することができる。   A multilayer wiring can be formed by sequentially repeating FIG. 4A to FIG. 6C.

本実施例では、上層配線、下層配線及び、上層配線と下層配線とを接続する接続プラグとは全てCu膜または、Cu合金膜で形成されているが、必ずしもCu又はCu合金である必要はなく、銀または、銀含有合金であっても良い。さらに、少なくとも、上層配線、下層配線及び、上層配線と下層配線とを接続する接続プラグのいずれかが1つがCu膜または、Cu合金膜で形成されていても良い。   In this embodiment, the upper layer wiring, the lower layer wiring, and the connection plug that connects the upper layer wiring and the lower layer wiring are all formed of a Cu film or a Cu alloy film, but are not necessarily Cu or Cu alloy. Silver or a silver-containing alloy may be used. Furthermore, at least one of the upper layer wiring, the lower layer wiring, and the connection plug that connects the upper layer wiring and the lower layer wiring may be formed of a Cu film or a Cu alloy film.

また、Cu含有合金は、Si、Al、Ag、W、Mg、Be、Zn、Pd、Cd、Au、Hg、Pt、Zr、Ti、Sn、Ni、およびFeからなる群から選択された1以上の金属を含有しても良い。   The Cu-containing alloy is one or more selected from the group consisting of Si, Al, Ag, W, Mg, Be, Zn, Pd, Cd, Au, Hg, Pt, Zr, Ti, Sn, Ni, and Fe. These metals may be contained.

バリアメタル層は、Ti、TiN、TiSiN、Ta、TaN、およびTaSiNからなる群の1以上のバリアメタルからなっている。   The barrier metal layer is made of one or more barrier metals of the group consisting of Ti, TiN, TiSiN, Ta, TaN, and TaSiN.

以上は、これから説明するデュアルダマシン構造においても同様である。

(実施例2)
次に実施例2として、デュアルダマシン構造を図7の部分断面図を用いて説明する。
The same applies to the dual damascene structure described below.

(Example 2)
Next, as a second embodiment, a dual damascene structure will be described with reference to a partial sectional view of FIG.

この半導体装置は、Si基板上に形成されたMOSトランジスタ等の素子を覆う下地絶縁膜401上に第1のエッチングストッパ402を30nm〜150nm、その上に、第1のSiOCH膜403を200〜500nm、その上に第1のハードマスク膜404を50〜200nmその積層絶縁層に第1の銅配線410が形成されており、その銅配線の上部を覆うように、第2のバリア絶縁膜411が30nm〜150nm形成されている。その上に第2のSiOCH膜412が200〜500nm異層間絶縁膜として、形成されている。さらにその上層には、第2のエッチングストッパ413を30nm〜150nm、その上に第3のSiOCH膜414を200〜500nm、第2のハードマスク膜417が50〜200nm形成されている。その積層絶縁膜には第2の銅配線422が形成されており、その上に第2のバリア絶縁膜423が30nm〜150nm形成されている。   In this semiconductor device, a first etching stopper 402 is formed on a base insulating film 401 covering an element such as a MOS transistor formed on a Si substrate by 30 nm to 150 nm, and a first SiOCH film 403 is formed thereon by 200 to 500 nm. A first hard mask film 404 is formed thereon with a first copper wiring 410 formed in a laminated insulating layer of 50 to 200 nm, and a second barrier insulating film 411 is formed so as to cover the upper portion of the copper wiring. 30 nm to 150 nm are formed. A second SiOCH film 412 is formed thereon as a 200-500 nm different interlayer insulating film. Further, a second etching stopper 413 is formed in a thickness of 30 to 150 nm, a third SiOCH film 414 is formed in a thickness of 200 to 500 nm, and a second hard mask film 417 is formed in a thickness of 50 to 200 nm. A second copper wiring 422 is formed on the laminated insulating film, and a second barrier insulating film 423 is formed thereon with a thickness of 30 nm to 150 nm.

その上層はこの繰り返しが行われ、デユアルダマシン構造の多層化配線が形成される。   The upper layer is repeated to form a multilayer wiring having a dual damascene structure.

デュアルダマシン構造においても、シングルダマシン構造と同様に、エッチングストッパ膜は省略できる。   In the dual damascene structure, the etching stopper film can be omitted as in the single damascene structure.

次に、図7のデュアルダマシン構造の製造方法を、図面を用いて説明する。   Next, a method for manufacturing the dual damascene structure of FIG. 7 will be described with reference to the drawings.

図8(a)〜図9(c)はビアファースト法による製造方法を示す工程断面図である。   FIG. 8A to FIG. 9C are process sectional views showing a manufacturing method by the via first method.

図10(a)〜図11(d)は、ミドルファースト法による製造方法を示す工程断面図である。   FIG. 10A to FIG. 11D are process cross-sectional views illustrating a manufacturing method based on the middle first method.

図12(a)から図14(a)はトレンチファースト法による製造方法を示す工程断面図である。   FIG. 12A to FIG. 14A are process cross-sectional views showing a manufacturing method by the trench first method.

ビアファースト法によるデュアルダマシン構造の製造方法を図8(a)〜図9(c)を参照して説明する。   A method of manufacturing a dual damascene structure by the via first method will be described with reference to FIGS.

図3(a)から図3(d)と同様に、第1のCu配線510を形成した。次に、図8(a)に示すように第2のSiCHN膜511を形成し、その上に第2のSiOCH膜512、第3のSiCHN膜513、第3のSiOCH膜514、第2のSiO2膜515を形成し、その上に反射防止膜516を形成した。フォトレジスト517を、露光・現像を行ない、ビア用レジストパターン518を形成した。 As in FIGS. 3A to 3D, the first Cu wiring 510 was formed. Next, as shown in FIG. 8A, a second SiCHN film 511 is formed, on which a second SiOCH film 512, a third SiCHN film 513, a third SiOCH film 514, and a second SiOCH are formed. Two films 515 were formed, and an antireflection film 516 was formed thereon. The photoresist 517 was exposed and developed to form a via resist pattern 518.

次に、フォトレジスト517をマスクにして、第2のSiO2膜515、第3のSiOCH膜514、第3のSiCHN膜513、第2のSiOCH膜512をエッチング除去し、第2のSiCHN膜511でエッチストップさせた。その後、フォトレジスト517を剥離した(図8(b))。 Next, using the photoresist 517 as a mask, the second SiO 2 film 515, the third SiOCH film 514, the third SiCHN film 513, and the second SiOCH film 512 are removed by etching, and the second SiCHN film 511 is removed. Etch stop. Thereafter, the photoresist 517 was peeled off (FIG. 8B).

図8(c)に示すように、反射防止膜519を塗布し、次に、フォトレジスト520に塗布・露光・現像を行ない、第2の溝配線用レジストパターン521を形成した。   As shown in FIG. 8C, an antireflection film 519 was applied, and then the photoresist 520 was applied, exposed, and developed to form a second resist pattern 521 for trench wiring.

図8(d)のように、フォトレジスト520をマスクにして、第2のSiO2膜515、第3のSiOCH膜514をエッチングした。そして第3のSiCHN膜513でエッチストップさせた。その後、フォトレジスト520除去し、再度エッチバックし、第2のSiCHN膜511および第3のSiCHN膜513をエッチングした。この際のエッチングは若干オーバー目にエッチングするので、第2のSiOCHも一部エッチング除去される。 As shown in FIG. 8D, the second SiO 2 film 515 and the third SiOCH film 514 were etched using the photoresist 520 as a mask. Then, etch stop was performed with the third SiCHN film 513. Thereafter, the photoresist 520 was removed and etched back again, and the second SiCHN film 511 and the third SiCHN film 513 were etched. Since the etching at this time is slightly over-etched, part of the second SiOCH is also removed by etching.

そして、図9(a)のように、第2のTa/TaN膜522を成膜し、その後、第2のCu膜523を成膜した。   Then, as shown in FIG. 9A, a second Ta / TaN film 522 was formed, and then a second Cu film 523 was formed.

図9(b)に示すように、CMPを行い、溝配線以外のメタルを、除去し、第2のCu配線523を形成した。   As shown in FIG. 9B, CMP was performed to remove metals other than the trench wiring, and a second Cu wiring 523 was formed.

次に、図9(c)のように、第4のSiCHN膜525を成膜した。   Next, as shown in FIG. 9C, a fourth SiCHN film 525 was formed.

次にミドルファースト法によるデュアルダマシン構造の製造方法を図10(a)〜図11(d)に記載する。   Next, a method for manufacturing a dual damascene structure by the middle-first method will be described with reference to FIGS.

図3(a)から図3(d)と同様に、第1のCu配線610を、形成した。次にその上に第2のSiCH膜611を形成し、さらに、第2のSiOCH膜612を形成した。そのうえに第3のSiCH膜613を形成した(図10(a))。   Similar to FIGS. 3A to 3D, the first Cu wiring 610 was formed. Next, a second SiCH film 611 was formed thereon, and a second SiOCH film 612 was further formed. A third SiCH film 613 was formed thereon (FIG. 10A).

図10(b)に示すように、第3のSiCH膜613上にビア用レジストパターン615のフォトレジスト614を形成した。   As shown in FIG. 10B, a photoresist 614 of a via resist pattern 615 was formed on the third SiCH film 613.

図10(c)のように、フォトレジスト614をマスクに第3のSiCH膜613をエッチングし、その後アッシングおよび有機剥離を行った。それらの上に第3のSiOCH膜616、第3のSiO2膜617を形成した。 As shown in FIG. 10C, the third SiCH film 613 was etched using the photoresist 614 as a mask, and then ashing and organic peeling were performed. A third SiOCH film 616 and a third SiO 2 film 617 were formed thereon.

次に、図10(d)のように、第2の溝配線用レジストパターン619にフォトレジスト618を形成した。   Next, as shown in FIG. 10D, a photoresist 618 was formed on the second trench wiring resist pattern 619.

図11(a)のように、フォトレジスト618をマスクに、第3のSiO2膜617、第3のSiOCH膜616そして第3のSiCH膜613をマスクにして、第2のSiOCH膜612を加工した。その後エッチバックにより、第2のSiCH膜611をエッチングした。 As shown in FIG. 11A, the second SiOCH film 612 is processed using the photoresist 618 as a mask and the third SiO 2 film 617, the third SiOCH film 616, and the third SiCH film 613 as a mask. did. Thereafter, the second SiCH film 611 was etched by etch back.

図11(b)のように、第2のTa/TaN膜620を成膜した。さらに、第2のCu膜621を成膜した。その後、図11(c)のように、CMPにより、溝配線以外のメタルを除去し、第2のCu配線623を形成し、その後図11(d)のように、第4のSiCH膜622を形成した。   A second Ta / TaN film 620 was formed as shown in FIG. Further, a second Cu film 621 was formed. Thereafter, as shown in FIG. 11C, the metal other than the trench wiring is removed by CMP to form the second Cu wiring 623, and then the fourth SiCH film 622 is formed as shown in FIG. Formed.

次にトレンチファースト法によるデュアルダマシン構造の製造方法を図12(a)〜図14(a)に記載する。   Next, a method for manufacturing a dual damascene structure by the trench first method will be described with reference to FIGS.

図3(a)から図3(d)と同様に、第1層目のCu配線710を、形成した。   As in FIGS. 3A to 3D, a first-layer Cu wiring 710 was formed.

次に、図12(a)のように、第2のSiCH膜711、第2のSiOCH膜712、第3のSiCHN膜713、第3のSiOCH膜716、第1のSiO2膜717、を形成した。その上に反射防止膜725、を形成し、その上に、第2溝配線用レジストパターン719にフォトレジスト718を形成した。 Next, as shown in FIG. 12A, a second SiCH film 711, a second SiOCH film 712, a third SiCHN film 713, a third SiOCH film 716, and a first SiO 2 film 717 are formed. did. An antireflection film 725 was formed thereon, and a photoresist 718 was formed thereon as a second groove wiring resist pattern 719.

図12(b)のように、フォトレジストマスクにより、第1のSiO2膜717、第3のSiOCH膜716をエッチングし、第3のSiCH膜713でエッチストップし、続いて、フォトレジストをアッシングし、有機剥離にて、除去した。 As shown in FIG. 12B, the first SiO 2 film 717 and the third SiOCH film 716 are etched using the photoresist mask, the etching is stopped by the third SiCH film 713, and then the photoresist is ashed. And removed by organic peeling.

図12(c)のように、全面をエッチバックし、第3のSiCH膜713をエッチングした。   As shown in FIG. 12C, the entire surface was etched back, and the third SiCH film 713 was etched.

次に図12(d)のようにビア用レジストパターン715にフォトレジスト714を形成した。   Next, as shown in FIG. 12D, a photoresist 714 is formed on the via resist pattern 715.

図13(a)のように、フォトレジスト714をマスクに、第2のSiOCH膜716をエッチングし、第2のSiCH膜713でエッチストップした後、フォトレジストをアッシングし、有機剥離した。その後、図13(b)のように、全面をエッチバックし、第2のSiCH膜711を抜いた。   As shown in FIG. 13A, the second SiOCH film 716 was etched using the photoresist 714 as a mask, the etch stop was performed with the second SiCH film 713, the photoresist was ashed, and organic peeling was performed. Thereafter, as shown in FIG. 13B, the entire surface was etched back, and the second SiCH film 711 was removed.

図13(c)のように、第2のTa/TaN膜720を成膜、その後、第2のCu膜721を成膜した。その後、図13(d)のように、CMPにより、第2の銅配線723以外のメタルを除去した後、図14(a)のように、その上にSiCH膜722を成膜した。   As shown in FIG. 13C, a second Ta / TaN film 720 was formed, and then a second Cu film 721 was formed. Then, after removing the metal other than the second copper wiring 723 by CMP as shown in FIG. 13D, a SiCH film 722 was formed thereon as shown in FIG.

尚、前述の実施例1及び2において、SiCHとSiCHNは等価であり置きかえても何ら問題は起こらない。

(実施例3)
SiCHおよびSiCHN絶縁膜を半導体装置のバリア絶縁膜として適用した場合の実施例3について示す。
In the first and second embodiments, SiCH and SiCHN are equivalent and no problem occurs even if they are replaced.

(Example 3)
Example 3 in the case where SiCH and SiCHN insulating films are applied as a barrier insulating film of a semiconductor device will be described.

図2に記載の半導体装置の絶縁膜202、211、214、223としてSiCHを適用した。   SiCH was applied as the insulating films 202, 211, 214, and 223 of the semiconductor device shown in FIG.

SiCH膜としては、膜中にビニル基を含有した比誘電率3.8のものを用いた。得られた積層構造において、450℃までの耐熱性を調べたところ、450℃加熱時もビア歩留まりの劣化なくきわめて良好な特性を示した。また実効比誘電率は、3MSを用いて堆積した比誘電率4.5のSiCHを用いた半導体装置に比べて10%の低減が見られた。   As the SiCH film, a film having a relative dielectric constant of 3.8 containing a vinyl group was used. When the obtained laminated structure was examined for heat resistance up to 450 ° C., it showed extremely good characteristics without deterioration of via yield even when heated at 450 ° C. In addition, the effective relative permittivity was reduced by 10% compared to the semiconductor device using SiCH having a relative permittivity of 4.5 deposited using 3MS.

図2に記載の半導体装置の絶縁膜202、211、214、223として第3の実施の形態であるSiCHNを適用した。   SiCHN which is the third embodiment is applied as the insulating films 202, 211, 214, and 223 of the semiconductor device shown in FIG.

SiCHN膜として膜中にビニル基を含有した比誘電率4.2のものを用いた。得られた積層構造において、450℃までの耐熱性を調べたところ、450℃加熱後もビア歩留まりの劣化なく、きわめて良好な特性を示した。また実効比誘電率は、3MSを用いて堆積した比誘電率5のSiCHを用いた半導体装置に比べて10%の低減が見られた。
A SiCHN film having a relative dielectric constant of 4.2 containing a vinyl group was used. When the obtained laminated structure was examined for heat resistance up to 450 ° C., it showed very good characteristics without deterioration in via yield even after heating at 450 ° C. In addition, the effective relative permittivity was reduced by 10% compared to the semiconductor device using SiCH having a relative permittivity of 5 deposited using 3MS.

本発明は、低誘電率かつ高品質のSiOCH膜の製造方法を提供する。また上記SiOCH膜を半導体装置の多層配線の低誘電率絶縁膜に適用することにより、配線の信頼性を保ったまま、実効比誘電率が小さくなる構造を提供できる。   The present invention provides a method for producing a low-dielectric constant and high-quality SiOCH film. Further, by applying the SiOCH film to a low dielectric constant insulating film of a multilayer wiring of a semiconductor device, a structure with a small effective relative dielectric constant can be provided while maintaining the reliability of the wiring.

本発明の、低誘電率かつ高品質のSiCHおよびSiCHNバリア絶縁膜の製造方法を提供する。また上記SiCHおよびSiCHN膜を半導体装置の多層配線のバリア絶縁膜に適用することにより、配線の信頼性を保ったまま、実効比誘電率が小さくなる構造を提供できる。   The present invention provides a method for producing a low dielectric constant and high quality SiCH and SiCHN barrier insulating film. Further, by applying the SiCH and SiCHN films to the barrier insulating film of the multilayer wiring of the semiconductor device, it is possible to provide a structure with a small effective relative dielectric constant while maintaining the reliability of the wiring.

更に、出来上がった膜質が従来のSiC膜およびSiCN膜よりも膜中に含まれる炭素含有量が多いため、図21に示すように、SiOC膜、SiOCH膜に対し高いエッチング選択比が得られる。   Furthermore, since the completed film quality contains more carbon than the conventional SiC film and SiCN film, a high etching selectivity can be obtained with respect to the SiOC film and the SiOCH film as shown in FIG.

従来と本発明のSiOCH/SiCHN膜のエッチング選択比のそれぞれのデータを、図21に示す。エッチングガスはCF系のガスを用いた。3MSとNH3と、Heで成膜したSiCN膜は、膜中の炭素含有量が少なく、SiOC膜とのエッチング選択比が8と十分に得られなかった。それに対し、TMVSを用いたSiCHN膜は、約15と十分なエッチング選択比が得られた。そのときの0.2μmビア径の500K個チェーンの歩留まりを図22に示す。 FIG. 21 shows data on the etching selectivity of the SiOCH / SiCHN film of the prior art and the present invention. As the etching gas, a CF-based gas was used. The SiCN film formed with 3MS, NH 3 , and He had a low carbon content in the film, and the etching selectivity with respect to the SiOC film was 8, which was not sufficiently obtained. In contrast, the SiCHN film using TMVS has a sufficient etching selectivity of about 15. FIG. 22 shows the yield of 500K chains with 0.2 μm via diameter at that time.

図22は、ビアファースト法で形成したデュアルダマシン配線のビア歩留まりである。従来の3MSで形成したSiCN膜では、ビア歩留まりが約80%であったのに対し、TMVSで形成した、SiCHNでは。約98%の歩留まりが得られた。   FIG. 22 shows the via yield of the dual damascene wiring formed by the via first method. In the conventional SiCN film formed by 3MS, the via yield was about 80%, whereas in SiCHN formed by TMVS. A yield of about 98% was obtained.

ここでは、ビアファースト法によるDDのビア歩留まりのデータを示したが、ミドルファーストによる、DDでも、本発明のTMVSによるSiCH膜のほうが、高歩留まりが得られた。   Here, the via yield data of DD by the via first method is shown. However, the high yield of the SiCH film by TMVS of the present invention was also obtained by DD by middle first.

更に、トレンチファーストによるDD配線での配線抵抗を図23に示す。   Furthermore, FIG. 23 shows the wiring resistance in the DD wiring by trench first.

本発明のTMVSを用いたSiCHNの膜構造での層抵抗のばらつきで効果が確認された。層抵抗のばらつきの低減もエッチングストッパ膜のエッチング選択比が改善したことによるもので、図23に示すように、従来の3MSを用いたSiCHN膜のエッチングストッパは、75から90Ω間でばらつきがあったが本願のTMVSを用いたSiCHN膜ではその約半分のばらつきに抑えられた。
The effect was confirmed by variation in the layer resistance in the film structure of SiCHN using TMVS of the present invention. The reduction in the variation in the layer resistance is also due to the improvement in the etching selectivity of the etching stopper film. As shown in FIG. 23, the etching stopper for the SiCHN film using the conventional 3MS varies between 75 and 90Ω. However, the SiCHN film using TMVS of the present application was suppressed to about half of the variation.

ダマシン構造の説明図。Explanatory drawing of damascene structure. 本発明の第1の実施の形態図。The 1st Embodiment figure of this invention. 本発明のシングルダマシンのプロセスフローの説明図(1)。Explanatory drawing (1) of the process flow of the single damascene of this invention. 本発明のシングルダマシンのプロセスフローの説明図(2)。Explanatory drawing (2) of the process flow of the single damascene of this invention. 本発明のシングルダマシンのプロセスフローの説明図(3)。Explanatory drawing (3) of the process flow of the single damascene of this invention. 本発明のシングルダマシンのプロセスフローの説明図(4)。Explanatory drawing (4) of the process flow of the single damascene of this invention. 本発明の第2の実施の形態図Second embodiment of the present invention 本発明のデュアルダマシンのビアファーストプロセスフローの説明図(1)。Explanatory drawing (1) of the via first process flow of the dual damascene of this invention. 本発明のデュアルダマシンのビアファーストプロセスフローの説明図(2)。Explanatory drawing (2) of the via first process flow of the dual damascene of this invention. 本発明のデュアルダマシンのミドルファーストプロセスフローの説明図(1)。Explanatory drawing (1) of the middle first process flow of the dual damascene of this invention. 本発明のデュアルダマシンのミドルファーストプロセスフローの説明図(2)。Explanatory drawing (2) of the middle first process flow of the dual damascene of this invention. 本発明のデュアルダマシンのトレンチファーストプロセスフローの説明図(1)。Explanatory drawing (1) of the trench first process flow of the dual damascene of this invention. 本発明のデュアルダマシンのトレンチファーストプロセスフローの説明図(2)。Explanatory drawing (2) of the trench first process flow of the dual damascene of this invention. 本発明のデュアルダマシンのトレンチファーストプロセスフローの説明図(3)。Explanatory drawing (3) of the trench first process flow of the dual damascene of this invention. 従来のデュアルダマシンのビアファーストプロセスフローの説明図(1)。Explanatory drawing (1) of the via first process flow of the conventional dual damascene. 従来のデュアルダマシンのビアファーストプロセスフローの説明図(2)。Explanatory drawing (2) of the via first process flow of the conventional dual damascene. 本発明で用いた、平行平板型プラズマCVDの構成図。The block diagram of parallel plate type plasma CVD used by this invention. 各種ガスによるSiOCH膜の比誘電率。Relative permittivity of SiOCH film by various gases. 各種ガスによるSiCH膜の比誘電率。Dielectric constant of SiCH film by various gases. 原料ガス分子量と、SiCH膜の密度および組成の関係を示す図。The figure which shows the relationship between source gas molecular weight and the density and composition of a SiCH film | membrane. SiOCHとSiCHN膜のエッチング選択比。Etching selectivity of SiOCH and SiCHN films. 本発明と従来技術のビアチェーン歩留まり比較。Comparison of via chain yield between the present invention and the prior art. 本発明と従来技術の配線抵抗ばらつき比較。Comparison of wiring resistance variation between the present invention and the prior art.

符号の説明Explanation of symbols

0001 SiO2下地層間絶縁膜
0002 SiO2配線溝層間膜
0003 エッチングストッパSiN絶縁膜
0007 Cu配線
0012 SiN膜(拡散防止絶縁膜)
0010 絶縁膜
1 上部電極
2 下部電極
4 高周波電源
5 気体導入部
6 ガス排気部
201 下地絶縁膜
202 第1のエッチングストッパ膜
203 第1のSiOCH膜
204 第1のハードマスク膜
210 第1の銅配線
211 第1のバリア絶縁膜
212 第2のSiOCH膜
213 第2のハードマスク膜
214 第2のエッチングストッパ膜
217 第3のSiOCH膜
218 第3のハードマスク膜
223 第2のバアリア絶縁膜
224 第2の銅配線
228 銅プラグ
301 下地絶縁膜
302 第1のエッチングストッパ膜
303 第1のSiOCH膜
304 第1のハードマスク膜
305 第1のフォトレジスト
306 溝パターン
307 第1の配線溝パターン
308 第1のバリアメタル膜
309 第1の導体膜
310 第1の銅配線
311 第1のバリア絶縁膜
312 第2のSiOCH膜
313 第2のハードマスク膜
314 第2のエッチングストッパ
315 フォトレジスト
316 ビア用レジストパターン
317 第3のSiOCH膜
318 第3のハードマス
319 第3のフォトレジスト
320 第2の配線溝用レジストパターン
321 第3のバリアメタル
322 第3の導体膜
323 第2のバリア絶縁膜
324 第2の配線
325 反射防止膜
326 第2のバリアメタル膜
327 第2の導体膜
328 第1の導体プラグ
401 下地絶縁膜
402 第1のエッチングストッパ
403 第1のSiOCH膜
404 第1のハードマスク膜
410 第1の銅配線
411 第2のバリア絶縁膜
412 第2のSiOCH膜
413 第2のエッチングストッパ
414 第3のSiOCH膜
417 第2のハードマスク膜
422 第2の銅配線
423 第2のバリア絶縁膜
510 第1のCu配線
511 第2のSiCHN膜
512 第2のSiOCH膜
513 第3のSiCHN膜
514 第3のSiOCH膜
515 第2のSiO2
516 反射防止膜
517 フォトレジスト
518 ビア用レジストパターン
519 反射防止膜
520 フォトレジスト
521 第2の溝配線用レジストパターン
522 第2のTa/TaN膜
523 第2のCu膜
524 第2のCu配線
525 第4のSiCHN膜
610 第1のCu配線
611 第2のSiCH膜
612 第2のSiOCH膜
613 第3のSiCH膜
614 フォトレジスト
615 ビア用レジストパターン
616 第3のSiOCH膜
617 第3のSiO2
618 フォトレジスト
619 第2の溝配線用レジストパターン
620 第2のTa/TaN膜
621 第2のCu膜
622 第4のSiCH膜
623 第2のCu配線
710 第1の銅配線
711 第2のSiCH膜
712 第2のSiOCH膜
713 第3のSiCH膜
714 フォトレジスト
715 ビア用レジストパターン
716 第3のSiOCH膜
717 第1のSiO2
718 フォトレジスト
719 第2溝配線用レジストパターン
720 第2のTa/TaN膜
721 第2のCu膜
723 第2の銅配線
725 反射防止膜
801 下層絶縁膜
802 第1のSiC膜
803 第2のSiOCH膜
804 第1のSiO2
805 第1の銅配線
806 第2のSiCN膜
807 第2のSiOC膜
808 第3のSiCN膜
809 第3のSiOC膜
810 第2のSiO2
811 反射防止膜
812 フォトレジスト
813 ビアパターン用レジスト
818 フォトレジスト
819 第2の溝配線用レジストパターン
825 反射防止膜
831 銅の酸化膜
0001 SiO 2 base interlayer insulating film 0002 SiO 2 wiring trench interlayer film 0003 Etching stopper SiN insulating film 0007 Cu wiring 0012 SiN film (diffusion prevention insulating film)
0010 Insulating film
1 Upper electrode
2 Lower electrode
4 High frequency power supply
5 Gas introduction part
6 Gas exhaust part 201 Underlying insulating film 202 First etching stopper film 203 First SiOCH film 204 First hard mask film 210 First copper wiring 211 First barrier insulating film 212 Second SiOCH film 213 Second Hard mask film 214 second etching stopper film 217 third SiOCH film 218 third hard mask film 223 second barrier insulating film 224 second copper wiring 228 copper plug 301 base insulating film 302 first etching stopper Film 303 first SiOCH film 304 first hard mask film 305 first photoresist 306 groove pattern 307 first wiring groove pattern 308 first barrier metal film 309 first conductor film 310 first copper wiring 311 First barrier insulating film 312 Second SiOCH film 313 Second Hard mask film 314 Second etching stopper 315 Photoresist 316 Via resist pattern 317 Third SiOCH film 318 Third hard mass 319 Third photoresist 320 Second wiring groove resist pattern 321 Third barrier metal 322 3rd conductor film 323 2nd barrier insulating film 324 2nd wiring 325 Antireflection film 326 2nd barrier metal film 327 2nd conductor film 328 1st conductor plug 401 Base insulating film 402 1st etching stopper 403 first SiOCH film 404 first hard mask film 410 first copper wiring 411 second barrier insulating film 412 second SiOCH film 413 second etching stopper 414 third SiOCH film 417 second hard mask Film 422 Second copper wiring 423 Second barrier insulating film 510 first Cu interconnection 511 second SiCHN film 512 second SiOCH film 513 third SiCHN film 514 third SiOCH film 515 second SiO 2 film 516 antireflection film 517 photoresist 518 Via resist pattern 519 Antireflection film 520 Photo resist 521 Second trench wiring resist pattern 522 Second Ta / TaN film 523 Second Cu film 524 Second Cu wiring 525 Fourth SiCHN film 610 First Cu wiring 611 Second SiCH film 612 Second SiOCH film 613 Third SiCH film 614 Photoresist 615 Via resist pattern 616 Third SiOCH film 617 Third SiO 2 film 618 Photoresist 619 Second groove wiring Resist pattern 620 second T / TaN film 621 Second Cu film 622 Fourth SiCH film 623 Second Cu wiring 710 First copper wiring 711 Second SiCH film 712 Second SiOCH film 713 Third SiCH film 714 Photoresist 715 Via Resist pattern 716 Third SiOCH film 717 First SiO 2 film 718 Photoresist 719 Second groove wiring resist pattern 720 Second Ta / TaN film 721 Second Cu film 723 Second copper wiring 725 Antireflection Film 801 Lower insulating film 802 First SiC film 803 Second SiOCH film 804 First SiO 2 film 805 First copper wiring 806 Second SiCN film 807 Second SiOC film 808 Third SiCN film 809 First 3 SiOC film 810 Second SiO 2 film 811 Antireflection film 812 Photoresist 813 Resist for via pattern 818 Photoresist 819 Second resist pattern for trench wiring 825 Antireflection film 831 Copper oxide film

Claims (73)

少なくともC/Si比が5以上で、且つ、分子量が100以上の有機シランを原料として形成された
ことを特徴とする有機絶縁膜。
An organic insulating film formed from an organic silane having a C / Si ratio of 5 or more and a molecular weight of 100 or more as a raw material.
前記有機シランは、トリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランである
請求項1記載の有機絶縁膜。
The organic silane is one or more organic silanes selected from the group consisting of trimethylvinylsilane, triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, and triethylsilane. The organic insulating film according to claim 1.
前記有機シランは少なくとも一部にビニル基を有する
請求項1に記載の有機絶縁膜。
The organic insulating film according to claim 1, wherein the organosilane has a vinyl group at least partially.
少なくとも一部にビニル基を有する前記有機シランはトリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン及び、テトラビニルシランからなる群から選択される1以上の有機シランである
請求項3記載の有機絶縁膜。
The organic silane having a vinyl group at least partially is one or more organic silanes selected from the group consisting of trimethylvinylsilane, triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, and tetravinylsilane. The organic insulating film according to claim 3.
C=C結合を有している
ことを特徴とする請求項1に記載の有機絶縁膜。
The organic insulating film according to claim 1, wherein the organic insulating film has a C═C bond.
ビニル基を有している
ことを特徴とする請求項5に記載の有機絶縁膜。
6. The organic insulating film according to claim 5, which has a vinyl group.
前記有機絶縁膜は、SiCH膜、SiCHN膜又は、SiOCH膜である
請求項1から6のいずれか1項に記載の有機絶縁膜。
The organic insulating film according to claim 1, wherein the organic insulating film is a SiCH film, a SiCHN film, or a SiOCH film.
前記SiCH膜は、Si、C及び、H元素からなり、C/Si組成比が0.9以上である
ことを特徴とする請求項7に記載の有機絶縁膜。
The organic insulating film according to claim 7, wherein the SiCH film is made of Si, C, and H elements and has a C / Si composition ratio of 0.9 or more.
前記SiCH膜は、密度が1.4g/cm3未満である
ことを特徴とする請求項7又は8に記載の有機絶縁膜。
The organic insulating film according to claim 7, wherein the SiCH film has a density of less than 1.4 g / cm 3 .
前記SiCHN膜は、Si、C、H及び、N元素からなり、C/Si組成比が1.0以上である
ことを特徴とする請求項7に記載の有機絶縁膜。
8. The organic insulating film according to claim 7, wherein the SiCHN film is made of Si, C, H, and N elements and has a C / Si composition ratio of 1.0 or more.
前記SiCHN膜は、密度が1.6g/cm3未満である
ことを特徴とする請求項7又は10に記載の有機絶縁膜。
11. The organic insulating film according to claim 7, wherein the SiCHN film has a density of less than 1.6 g / cm 3 .
前記SiOCH膜は、少なくともSi、C、O及び、H元素からなり、C/Si組成比が0.8以上である
ことを特徴とする請求項7に記載の有機絶縁膜。
The organic insulating film according to claim 7, wherein the SiOCH film is made of at least Si, C, O, and H elements, and has a C / Si composition ratio of 0.8 or more.
前記SiOCH膜は、密度が1.2g/cm3未満である
ことを特徴とする請求項7又は12に記載の有機絶縁膜。
The organic insulating film according to claim 7, wherein the SiOCH film has a density of less than 1.2 g / cm 3 .
プラズマCVD法による成膜方法であって、原料ガスが少なくともC/Si比が5以上で、且つ、分子量が100以上の有機シランと酸化剤と不活性ガスである
ことを特徴とする有機絶縁膜の製造方法。
An organic insulating film characterized by being a film-forming method by plasma CVD, wherein the source gas is an organic silane having at least a C / Si ratio of 5 or more and a molecular weight of 100 or more, an oxidizing agent, and an inert gas Manufacturing method.
前記不活性ガスはヘリウム、アルゴン、キセノンのいずれかである
ことを特徴とする請求項14に記載の有機絶縁膜の製造方法。
The method of manufacturing an organic insulating film according to claim 14, wherein the inert gas is one of helium, argon, and xenon.
前記酸化剤が、O2、O3、H2O、CO、CO2のいずれかである
請求項14に記載の有機絶縁膜の製造方法。
The method for producing an organic insulating film according to claim 14, wherein the oxidizing agent is any one of O 2 , O 3 , H 2 O, CO, and CO 2 .
前記有機シランが、トリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランである
請求項14記載の有機絶縁膜。
The organic silane is one or more organic silanes selected from the group consisting of trimethylvinylsilane, triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, and triethylsilane. The organic insulating film according to claim 14.
前記有機シランは少なくとも一部にビニル基を有する
請求項14に記載の有機絶縁膜。
The organic insulating film according to claim 14, wherein the organosilane has a vinyl group at least partially.
少なくとも一部にビニル基を有する前記有機シランはトリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン及び、テトラビニルシランからなる群から選択される1以上の有機シランである
請求項18に記載の有機絶縁膜。
The organic silane having a vinyl group at least partially is one or more organic silanes selected from the group consisting of trimethylvinylsilane, triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, and tetravinylsilane. The organic insulating film according to claim 18.
前記有機絶縁膜が、少なくともSi、C、H、O元素からなるSiOCH膜である
ことを特徴とする請求項14に記載の有機絶縁膜の製造方法。
15. The method of manufacturing an organic insulating film according to claim 14, wherein the organic insulating film is a SiOCH film made of at least Si, C, H, and O elements.
プラズマCVD法による成膜方法であって、原料ガスがC/Si比が5以上で、且つ、分子量が100以上の有機シランとヘリウム、アルゴン、キセノンのいずれかである不活性ガスである
ことを特徴とする有機絶縁膜の製造方法。
It is a film-forming method by a plasma CVD method, and the source gas is an inert gas having an organic silane having a C / Si ratio of 5 or more and a molecular weight of 100 or more and helium, argon, or xenon. A method for producing an organic insulating film.
前記有機シランが、トリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランである
請求項21記載の有機絶縁膜。
The organic silane is one or more organic silanes selected from the group consisting of trimethylvinylsilane, triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, and triethylsilane. The organic insulating film according to claim 21.
前記有機シランは少なくとも一部にビニル基を有する
請求項21に記載の有機絶縁膜。
The organic insulating film according to claim 21, wherein the organosilane has a vinyl group at least partially.
少なくとも一部にビニル基を有する前記オルガノシランはトリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン及び、テトラビニルシランからなる群から選択される1以上の有機シランである
請求項24記載の有機絶縁膜。
The organosilane having a vinyl group at least partially is one or more organic silanes selected from the group consisting of trimethylvinylsilane, triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, and tetravinylsilane. The organic insulating film according to claim 24.
前記有機絶縁膜が、Si、C、H元素からなるSiCH膜である
ことを特徴とする請求項21に記載の有機絶縁膜の製造方法。
The method of manufacturing an organic insulating film according to claim 21, wherein the organic insulating film is a SiCH film made of Si, C, and H elements.
プラズマCVD法による成膜方法であって、原料ガスがC/Si比が5以上で、且つ、分子量が100以上の有機シランと窒素含有ガスとヘリウム、アルゴン、キセノンのいずれかである不活性ガスである
ことを特徴とする有機絶縁膜の製造方法。
A plasma CVD method for forming a film, wherein the source gas is an inert gas having a C / Si ratio of 5 or more, an organic silane having a molecular weight of 100 or more, a nitrogen-containing gas, and helium, argon, or xenon A method for producing an organic insulating film, wherein
前記窒素含有ガスが、アンモニア、N2、ヒドラジンのいずれかである
請求項26に記載の有機絶縁膜の製造方法。
It said nitrogen-containing gas, ammonia, N 2, the manufacturing method of the organic insulating film according to claim 26 is any one of hydrazine.
前記有機シランが、トリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン、テトラビニルシラン、テトラエチルシラン及び、トリエチルシランからなる群から選択される1以上の有機シランである
請求項26記載の有機絶縁膜の製造方法。
The organic silane is one or more organic silanes selected from the group consisting of trimethylvinylsilane, triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, tetravinylsilane, tetraethylsilane, and triethylsilane. The manufacturing method of the organic insulating film of Claim 26.
前記オルガノシランは少なくとも一部にビニル基を有する
請求項26に記載の有機絶縁膜の製造方法。
27. The method for producing an organic insulating film according to claim 26, wherein the organosilane has a vinyl group at least partially.
少なくとも一部にビニル基を有する前記有機シランはトリメチルビニルシラン、トリエチルビニルシラン、ジメチルジビニルシラン、ジエチルジビニルシラン、メチルトリビニルシラン、エチルトリビニルシラン及び、テトラビニルシランからなる群から選択される1以上の有機シランである
請求項29記載の有機絶縁膜の製造方法。
The organic silane having a vinyl group at least partially is one or more organic silanes selected from the group consisting of trimethylvinylsilane, triethylvinylsilane, dimethyldivinylsilane, diethyldivinylsilane, methyltrivinylsilane, ethyltrivinylsilane, and tetravinylsilane. 30. The method for producing an organic insulating film according to claim 29.
前記有機絶縁膜が、少なくともSi、C、H、N元素からなるSiCHN膜である
ことを特徴とする請求項26に記載の有機絶縁膜の製造方法。
27. The method of manufacturing an organic insulating film according to claim 26, wherein the organic insulating film is a SiCHN film made of at least Si, C, H, and N elements.
少なくとも層間絶縁膜、エッチングストッパ膜又は、金属のバリア絶縁膜のいずれか1の絶縁膜を有する半導体装置において、
前記絶縁膜、エッチングストッパ膜又は、金属のバリア絶縁膜が請求項1から5のいずれか1項に記載の有機絶縁膜である
ことを特徴とする半導体装置。
In a semiconductor device having at least one insulating film of an interlayer insulating film, an etching stopper film, or a metal barrier insulating film,
6. The semiconductor device according to claim 1, wherein the insulating film, the etching stopper film, or the metal barrier insulating film is the organic insulating film according to claim 1.
前記半導体装置が溝配線構造を有する
ことを特徴とする請求項32に記載の半導体装置。
The semiconductor device according to claim 32, wherein the semiconductor device has a trench wiring structure.
半導体基板上に形成された絶縁膜上に形成された第1の絶縁膜と、
前記第1の絶縁膜内に形成された第1の溝配線と、
第2の絶縁膜と、
第3の絶縁膜と、
前記第3の絶縁膜内に形成された第2の溝配線と、
前記第2の絶縁膜内に形成され、前記第1の溝配線と前記第2の溝配線とを接続する接続プラグとを有する溝配線構造を有する半導体装置において、
前記第1の絶縁膜、第2の絶縁膜及び、第3の絶縁膜は少なくとも請求項7に記載のSiOCH膜からなる
ことを特徴とする半導体装置。
A first insulating film formed on the insulating film formed on the semiconductor substrate;
A first trench wiring formed in the first insulating film;
A second insulating film;
A third insulating film;
A second trench wiring formed in the third insulating film;
In a semiconductor device having a groove wiring structure formed in the second insulating film and having a connection plug connecting the first groove wiring and the second groove wiring.
8. The semiconductor device according to claim 7, wherein the first insulating film, the second insulating film, and the third insulating film are made of at least the SiOCH film according to claim 7.
前記第1の絶縁膜は前記SiOCH膜とハードマスク膜からなる積層膜である
請求項34に記載の半導体装置。
35. The semiconductor device according to claim 34, wherein the first insulating film is a laminated film including the SiOCH film and a hard mask film.
前記第1の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜からなる積層膜で、
前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項34に記載の半導体装置。
The first insulating film is a laminated film composed of an etching stopper film, the SiOCH film, and a hard mask film,
The semiconductor device according to claim 34, wherein the etching stopper film is the SiCH film or the SiCHN film according to claim 7.
前記第2の絶縁膜はバリア絶縁膜と請求項7に記載のSiOCH膜とハードマスク膜とからなる積層膜で、
前記バリア絶縁膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項34に記載の半導体装置。
The second insulating film is a laminated film comprising a barrier insulating film, the SiOCH film according to claim 7, and a hard mask film.
The semiconductor device according to claim 34, wherein the barrier insulating film is the SiCH film or the SiCHN film according to claim 7.
前記第2の絶縁膜はバリア絶縁膜と前記SiOCH膜とからなる積層膜で、
前記バリア絶縁膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項34に記載の半導体装置。
The second insulating film is a laminated film composed of a barrier insulating film and the SiOCH film,
The semiconductor device according to claim 34, wherein the barrier insulating film is the SiCH film or the SiCHN film according to claim 7.
前記第2の絶縁膜はバリア絶縁膜と前記SiOCH膜とエッチングストッパ膜とからなる積層膜で、
前記バリア絶縁膜及びエッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項34に記載の半導体装置。
The second insulating film is a laminated film including a barrier insulating film, the SiOCH film, and an etching stopper film.
The semiconductor device according to claim 34, wherein the barrier insulating film and the etching stopper film are the SiCH film or the SiCHN film according to claim 7.
前記第3の絶縁膜は前記SiOCH膜とハードマスク膜とからなる積層膜である
請求項34に記載の半導体装置。
35. The semiconductor device according to claim 34, wherein the third insulating film is a laminated film including the SiOCH film and a hard mask film.
前記第3の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜とからなる積層膜で、
前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項34に記載の半導体装置。
The third insulating film is a laminated film composed of an etching stopper film, the SiOCH film, and a hard mask film.
The semiconductor device according to claim 34, wherein the etching stopper film is the SiCH film or the SiCHN film according to claim 7.
前記第2の溝配線はその上部がバリア絶縁膜で覆われており、
前記バリア絶縁膜は請求項7に記載のSiCH膜又は、SiCHN膜である
請求項34に記載の半導体装置。
The upper part of the second trench wiring is covered with a barrier insulating film,
The semiconductor device according to claim 34, wherein the barrier insulating film is a SiCH film or a SiCHN film according to claim 7.
前記エッチングストッパ膜が、請求項7に記載のSiCH膜とSiCHN膜との積層膜である
請求項36、39及び、41のいずれか1項に記載の半導体装置。
The semiconductor device according to any one of claims 36, 39, and 41, wherein the etching stopper film is a laminated film of the SiCH film and the SiCHN film according to claim 7.
前記バリア絶縁膜は、請求項7に記載のSiCH膜とSiCHN膜との積層膜である
請求項37、38、39及び、42のいずれか1項に記載の半導体装置。
The semiconductor device according to any one of claims 37, 38, 39, and 42, wherein the barrier insulating film is a laminated film of the SiCH film and the SiCHN film according to claim 7.
少なくとも前記溝配線又は、前記接続プラグの一方は、銅または、銅含有金属からなる
ことを特徴とする請求項34に記載の半導体装置。
35. The semiconductor device according to claim 34, wherein at least one of the trench wiring or the connection plug is made of copper or a copper-containing metal.
前記銅含有金属は、Si、Al、Ag、W、Mg、Be、Zn、Pd、Cd、Au、Hg、Pt、Zr、Ti、Sn、Ni、およびFeからなる群から選択された1以上の金属を含有する
ことを特徴とする請求項45に項記載の半導体装置。
The copper-containing metal is one or more selected from the group consisting of Si, Al, Ag, W, Mg, Be, Zn, Pd, Cd, Au, Hg, Pt, Zr, Ti, Sn, Ni, and Fe. 46. The semiconductor device according to claim 45, comprising a metal.
前記溝配線及び、前記接続プラグは、Ti、TiN、TiSiN、Ta、TaN、およびTaSiNからなる群の1以上のバリアメタル層を有する
ことを特徴とする請求項34に記載の半導体装置。
35. The semiconductor device according to claim 34, wherein the trench wiring and the connection plug include one or more barrier metal layers of the group consisting of Ti, TiN, TiSiN, Ta, TaN, and TaSiN.
少なくとも層間絶縁膜、エッチングストッパ膜又は、金属のバリア絶縁膜のいずれか1の絶縁膜を有する半導体装置の製造法において、
前記絶縁膜、エッチングストッパ膜又は、金属のバリア絶縁膜が請求項7に記載の有機絶縁膜である
ことを特徴とする半導体装置の製造方法。
In a method of manufacturing a semiconductor device having at least one insulating film of an interlayer insulating film, an etching stopper film, or a metal barrier insulating film,
The method for manufacturing a semiconductor device, wherein the insulating film, the etching stopper film, or the metal barrier insulating film is the organic insulating film according to claim 7.
前記半導体装置が溝配線構造を有する
ことを特徴とする請求項48に記載の半導体装置の製造方法。
49. The method of manufacturing a semiconductor device according to claim 48, wherein the semiconductor device has a trench wiring structure.
溝配線構造を有する半導体装置の製造方法において、
半導体基板上に第1絶縁膜を形成する工程と、
前記第1の絶縁膜を選択的にエッチングして第1の配線溝パターンを形成する工程と、
前記第1の配線溝パターンを金属により埋設し第1の溝配線を形成する工程と、
第2の絶縁膜を形成する工程と、
前記第2の絶縁膜を選択的にエッチングして前記第1の溝配線の上面に達する接続孔形成する工程と、
前記接続孔に金属を埋設し接続プラグを形成する工程と、
第3の絶縁膜を形成する工程と、
前記第3の絶縁膜を選択的にエッチングして少なくとも一部は前記接続プラグの上面に達する第2の溝パターを形成する工程と、
前記第2の配線溝パターンを金属により埋設し第2の溝配線を形成する工程と、
バリア絶縁膜を形成する工程と、を有する半導体装置の製造方法において、
前記第1、第2及び第3の絶縁膜の少なくとも1つの絶縁膜は、請求項7に記載のSiOCHからなる
ことを特徴とする半導体装置の製造方法。
In a method for manufacturing a semiconductor device having a trench wiring structure,
Forming a first insulating film on the semiconductor substrate;
Selectively etching the first insulating film to form a first wiring groove pattern;
Burying the first wiring groove pattern with metal to form a first groove wiring;
Forming a second insulating film;
Forming a connection hole reaching the upper surface of the first trench wiring by selectively etching the second insulating film;
Burying a metal in the connection hole to form a connection plug;
Forming a third insulating film;
Selectively etching the third insulating film to form a second groove pattern that reaches at least a part of the upper surface of the connection plug;
Burying the second wiring groove pattern with metal to form a second groove wiring;
Forming a barrier insulating film, and a method of manufacturing a semiconductor device,
8. The method of manufacturing a semiconductor device according to claim 7, wherein at least one of the first, second, and third insulating films is made of SiOCH.
前記第1の絶縁膜は前記SiOCH膜とハードマスク膜からなる積層膜である
請求項50に記載の半導体装置の製造方法。
51. The method of manufacturing a semiconductor device according to claim 50, wherein the first insulating film is a laminated film including the SiOCH film and a hard mask film.
前記第1の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜からなる積層膜で、
前記エッチングストッパ膜は、請求項5に記載のSiCH膜又は、SiCHN膜である
請求項50に記載の半導体装置の製造方法。
The first insulating film is a laminated film composed of an etching stopper film, the SiOCH film, and a hard mask film,
51. The method of manufacturing a semiconductor device according to claim 50, wherein the etching stopper film is the SiCH film or the SiCHN film according to claim 5.
前記第2の絶縁膜はバリア絶縁膜と前記SiOCH膜とハードマスク膜とからなる積層膜で、
前記バリア絶縁膜は、請求項7に記載のSiCH膜又は、SiCHN膜である請求項45に記載の半導体装置の製造方法。
The second insulating film is a laminated film composed of a barrier insulating film, the SiOCH film, and a hard mask film,
46. The method of manufacturing a semiconductor device according to claim 45, wherein the barrier insulating film is the SiCH film or the SiCHN film according to claim 7.
前記第3の絶縁膜は前記SiOCH膜とハードマスク膜とからなる積層膜である
請求項50に記載の半導体装置の製造方法。
51. The method of manufacturing a semiconductor device according to claim 50, wherein the third insulating film is a laminated film including the SiOCH film and a hard mask film.
前記第3の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜とからなる積層膜で、
前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項50に記載の半導体装置の製造方法。
The third insulating film is a laminated film composed of an etching stopper film, the SiOCH film, and a hard mask film.
The method for manufacturing a semiconductor device according to claim 50, wherein the etching stopper film is the SiCH film or the SiCHN film according to claim 7.
溝配線構造を有する半導体装置の製造方法において、
半導体基板上に第1絶縁膜を形成する工程と、
前記第1の絶縁膜を選択的にエッチングして第1の配線溝パターンを形成する工程と、
前記第1の配線溝パターンを金属により埋設し第1の溝配線を形成する工程と、
第2の絶縁膜と第3の絶縁膜を形成する工程と、
前記第2絶縁膜と第3の絶縁膜とを選択的にエッチングして前記第1絶縁膜の上面に達する接続孔を形成する工程と、
前記第3の絶縁膜を選択的にエッチングして前記第2の絶縁膜の上面に達する第2の配線溝を形成工程と、
前記接続孔と前記第2の配線溝を金属で埋設する工程と、
第4の絶縁膜を形成する工程とを有する半導体装置の製造方法において、
前記第1、第2及び第3の絶縁膜が少なくとも1つの絶縁膜は、請求項7に記載のSiOCHからなる
ことを特徴とする半導体装置の製造方法。
In a method for manufacturing a semiconductor device having a trench wiring structure,
Forming a first insulating film on the semiconductor substrate;
Selectively etching the first insulating film to form a first wiring groove pattern;
Burying the first wiring groove pattern with metal to form a first groove wiring;
Forming a second insulating film and a third insulating film;
Selectively etching the second insulating film and the third insulating film to form a connection hole reaching the upper surface of the first insulating film;
A step of selectively etching the third insulating film to form a second wiring groove reaching the upper surface of the second insulating film;
Burying the connection hole and the second wiring groove with metal;
In a method for manufacturing a semiconductor device including a step of forming a fourth insulating film,
8. The method of manufacturing a semiconductor device according to claim 7, wherein at least one of the first, second, and third insulating films is made of SiOCH.
溝配線構造を有する半導体装置の製造方法において、
半導体基板上に第1絶縁膜を形成する工程と、
前記第1の絶縁膜を選択的にエッチングして第1の配線溝パターンを形成する工程と、
前記第1の配線溝パターンを金属により埋設し第1の溝配線を形成する工程と、
第2の絶縁膜と第3の絶縁膜を形成する工程と、
前記第3の絶縁膜を選択的にエッチングして前記第2の絶縁膜の上面に達する第2の配線溝を形成する工程と、
前記第2の配線溝の底部の一部を選択的にエッチングして、第1の絶縁膜上部に達する接続孔を形成する工程と、
前記接続孔と前記第2の配線溝を金属で埋設する工程と、
第4の絶縁膜を形成する工程とを有する半導体装置の製造方法において、
前記第1、第2及び第3の絶縁膜の少なくとも1つの絶縁膜は、請求項7に記載のSiOCHからなる
ことを特徴とする半導体装置の製造方法。
In a method for manufacturing a semiconductor device having a trench wiring structure,
Forming a first insulating film on the semiconductor substrate;
Selectively etching the first insulating film to form a first wiring groove pattern;
Burying the first wiring groove pattern with metal to form a first groove wiring;
Forming a second insulating film and a third insulating film;
Selectively etching the third insulating film to form a second wiring groove reaching the upper surface of the second insulating film;
Selectively etching a part of the bottom of the second wiring trench to form a connection hole reaching the top of the first insulating film;
Burying the connection hole and the second wiring groove with metal;
In a method for manufacturing a semiconductor device including a step of forming a fourth insulating film,
8. The method of manufacturing a semiconductor device according to claim 7, wherein at least one of the first, second, and third insulating films is made of SiOCH.
前記第1の絶縁膜は前記SiOCH膜とハードマスク膜からなる積層膜である
請求項56又は57に記載の半導体装置の製造方法。
58. The method of manufacturing a semiconductor device according to claim 56, wherein the first insulating film is a laminated film including the SiOCH film and a hard mask film.
前記第1の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜からなる積層膜で、
前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項56又は57に記載の半導体装置の製造方法。
The first insulating film is a laminated film composed of an etching stopper film, the SiOCH film, and a hard mask film,
58. The method of manufacturing a semiconductor device according to claim 56, wherein the etching stopper film is the SiCH film or the SiCHN film according to claim 7.
前記第2の絶縁膜はバリア絶縁膜と前記SiOCH膜とからなる積層膜で、
前記バリア絶縁膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項56又は57に記載の半導体装置の製造方法。
The second insulating film is a laminated film composed of a barrier insulating film and the SiOCH film,
58. The method of manufacturing a semiconductor device according to claim 56, wherein the barrier insulating film is a SiCH film or a SiCHN film according to claim 7.
前記第2の絶縁膜はバリア絶縁膜と前記SiOCH膜とエッチングストッパ膜とからなる積層膜で、
前記バリア絶縁膜及びエッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項56又は57に記載の半導体装置の製造方法。
The second insulating film is a laminated film including a barrier insulating film, the SiOCH film, and an etching stopper film.
58. The method of manufacturing a semiconductor device according to claim 56 or 57, wherein the barrier insulating film and the etching stopper film are the SiCH film or the SiCHN film according to claim 7.
前記第3の絶縁膜は前記SiOCH膜とハードマスク膜とからなる積層膜である
請求項56又は57に記載の半導体装置の製造方法。
58. The method of manufacturing a semiconductor device according to claim 56, wherein the third insulating film is a laminated film including the SiOCH film and a hard mask film.
前記第3の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜とからなる積層膜で、
前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項56又は57に記載の半導体装置の製造方法。
The third insulating film is a laminated film composed of an etching stopper film, the SiOCH film, and a hard mask film.
58. The method of manufacturing a semiconductor device according to claim 56, wherein the etching stopper film is the SiCH film or the SiCHN film according to claim 7.
溝配線構造を有する半導体装置の製造方法において、
半導体基板上に第1絶縁膜を形成する工程と、
前記第1の絶縁膜を選択的にエッチングして第1の配線溝パターンを形成する工程と、
前記第1の配線溝パターンを金属により埋設し第1の溝配線を形成する工程と、
第2の絶縁膜を形成する工程と、
エッチングストッパ膜を形成する工程と、
前記エッチングストッパ膜に選択的に孔を開口する工程と、
第3の絶縁膜を形成する工程と、
前記第3の絶縁膜を選択的にエッチングして前記第2の絶縁膜の上面に達する第2の配線溝を形成するとともに、前記開口を介して前記第1の配線の上部に達する接続孔を形成する工程と、
前記接続孔と前記第2の配線溝を金属で埋設する工程と、
第4の絶縁膜を形成する工程とを有する半導体装置の製造方法において、
前記第1、第2及び第3の絶縁膜の少なくともいずれか1の絶縁膜は、請求項7に記載のSiOCHからなり、前記エッチングストッパ膜が、請求項7に記載のSiCH又は、SiCHNからなる
ことを特徴とする半導体装置の製造方法。
In a method for manufacturing a semiconductor device having a trench wiring structure,
Forming a first insulating film on the semiconductor substrate;
Selectively etching the first insulating film to form a first wiring groove pattern;
Burying the first wiring groove pattern with metal to form a first groove wiring;
Forming a second insulating film;
Forming an etching stopper film;
Selectively opening holes in the etching stopper film;
Forming a third insulating film;
The third insulating film is selectively etched to form a second wiring groove reaching the upper surface of the second insulating film, and a connection hole reaching the upper portion of the first wiring through the opening Forming, and
Burying the connection hole and the second wiring groove with metal;
In a method for manufacturing a semiconductor device including a step of forming a fourth insulating film,
At least one of the first, second, and third insulating films is made of SiOCH according to claim 7, and the etching stopper film is made of SiCH or SiCHN according to claim 7. A method for manufacturing a semiconductor device.
前記第1の絶縁膜は前記SiOCH膜とハードマスク膜からなる積層膜である
請求項64に記載の半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 64, wherein the first insulating film is a laminated film including the SiOCH film and a hard mask film.
前記第1の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜からなる積層膜で、
前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項64に記載の半導体装置の製造方法。
The first insulating film is a laminated film composed of an etching stopper film, the SiOCH film, and a hard mask film,
The method for manufacturing a semiconductor device according to claim 64, wherein the etching stopper film is the SiCH film or the SiCHN film according to claim 7.
前記第2の絶縁膜はバリア絶縁膜と前記SiOCH膜とからなる積層膜で、
前記バリア絶縁膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項64に記載の半導体装置の製造方法。
The second insulating film is a laminated film composed of a barrier insulating film and the SiOCH film,
The method for manufacturing a semiconductor device according to claim 64, wherein the barrier insulating film is the SiCH film or the SiCHN film according to claim 7.
前記第3の絶縁膜は前記SiOCH膜とハードマスク膜とからなる積層膜である
請求項64に記載の半導体装置の製造方法。
The method of manufacturing a semiconductor device according to claim 64, wherein the third insulating film is a laminated film including the SiOCH film and a hard mask film.
前記第3の絶縁膜はエッチングストッパ膜と前記SiOCH膜とハードマスク膜とからなる積層膜で、
前記エッチングストッパ膜は、請求項7に記載のSiCH膜又は、SiCHN膜である
請求項64に記載の半導体装置の製造方法。
The third insulating film is a laminated film composed of an etching stopper film, the SiOCH film, and a hard mask film.
The method for manufacturing a semiconductor device according to claim 64, wherein the etching stopper film is the SiCH film or the SiCHN film according to claim 7.
前記バリア絶縁膜は請求項7に記載のSiCH膜又は、SiCHN膜である
請求項64に記載の半導体装置の製造方法。
The method for manufacturing a semiconductor device according to claim 64, wherein the barrier insulating film is the SiCH film or the SiCHN film according to claim 7.
少なくとも前記溝配線又は、前記接続プラグの一方は、銅含有金属からなる
ことを特徴とする請求項64に記載の半導体装置。
The semiconductor device according to claim 64, wherein at least one of the trench wiring or the connection plug is made of a copper-containing metal.
前記銅含有金属は、Si、Al、Ag、W、Mg、Be、Zn、Pd、Cd、Au、Hg、Pt、Zr、Ti、Sn、Ni、およびFeからなる群から選択された1以上の金属を含有する
ことを特徴とする請求項56、57及び、64のいずれか1項に記載の半導体装置の製造方法。
The copper-containing metal is one or more selected from the group consisting of Si, Al, Ag, W, Mg, Be, Zn, Pd, Cd, Au, Hg, Pt, Zr, Ti, Sn, Ni, and Fe. 65. The method of manufacturing a semiconductor device according to any one of claims 56, 57, and 64, comprising a metal.
前記溝配線または、接続プラグは、Ti、TiN、TiSiN、Ta、TaN、およびTaSiNからなる群の1以上のバリアメタルを有する
ことを特徴とする請求項56、57及び、64のいずれか1項に記載の半導体装置の製造方法。
The groove wiring or the connection plug has one or more barrier metals of the group consisting of Ti, TiN, TiSiN, Ta, TaN, and TaSiN. The manufacturing method of the semiconductor device as described in 2 ..
JP2006294225A 2006-10-30 2006-10-30 Semiconductor device Expired - Fee Related JP4312785B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006294225A JP4312785B2 (en) 2006-10-30 2006-10-30 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006294225A JP4312785B2 (en) 2006-10-30 2006-10-30 Semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003006285A Division JP3898133B2 (en) 2003-01-14 2003-01-14 A method of forming a SiCHN film.

Publications (2)

Publication Number Publication Date
JP2007088495A true JP2007088495A (en) 2007-04-05
JP4312785B2 JP4312785B2 (en) 2009-08-12

Family

ID=37975086

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006294225A Expired - Fee Related JP4312785B2 (en) 2006-10-30 2006-10-30 Semiconductor device

Country Status (1)

Country Link
JP (1) JP4312785B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010113693A1 (en) * 2009-03-30 2010-10-07 株式会社マテリアルデザインファクトリ- Gas barrier film, electronic device including same, gas barrier bag, and method for manufacturing gas barrier film
JP2015092027A (en) * 2010-12-28 2015-05-14 麒麟麦酒株式会社 Gas barrier plastic molding

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010113693A1 (en) * 2009-03-30 2010-10-07 株式会社マテリアルデザインファクトリ- Gas barrier film, electronic device including same, gas barrier bag, and method for manufacturing gas barrier film
JP2010235979A (en) * 2009-03-30 2010-10-21 Material Design Factory:Kk Gas barrier film, electronic device including the same, gas barrier bag, and method for producing gas barrier film
US8871350B2 (en) 2009-03-30 2014-10-28 Material Design Factory Co., Ltd. Gas barrier film, electronic device including the same, gas barrier bag, and method for producing gas barrier film
JP2015092027A (en) * 2010-12-28 2015-05-14 麒麟麦酒株式会社 Gas barrier plastic molding

Also Published As

Publication number Publication date
JP4312785B2 (en) 2009-08-12

Similar Documents

Publication Publication Date Title
JP3898133B2 (en) A method of forming a SiCHN film.
KR100652243B1 (en) Semiconductor device and manufacturing method thereof
JP4425432B2 (en) Manufacturing method of semiconductor device
US8927442B1 (en) SiCOH hardmask with graded transition layers
JP4328725B2 (en) Structure and method for integrating ultra-low dielectric constant (k) dielectrics with improved reliability
US7371461B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
JP4045216B2 (en) Interconnect structure
JP4746829B2 (en) Semiconductor device and manufacturing method thereof
JP2001077196A (en) Manufacture of semiconductor device
TW200401339A (en) Bilayer HDP CVD/PE CVD cap in advanced BEOL interconnect structures and method thereof
JP3193335B2 (en) Method for manufacturing semiconductor device
JP2007281114A (en) Method of manufacturing semiconductor device, and semiconductor device
JP2004235548A (en) Semiconductor device and its fabricating method
US7282441B2 (en) De-fluorination after via etch to preserve passivation
JP2002203899A (en) Method for forming copper interconnection structure
JP4312785B2 (en) Semiconductor device
JP2003303880A (en) Wiring structure using insulating film structure between laminated layers and manufacturing method therefor
JP2004128050A (en) Semiconductor device and its manufacturing method
JPH11233630A (en) Semiconductor device and manufacture thereof
US7199038B2 (en) Method for fabricating semiconductor device
US20060110912A1 (en) Semiconductor devices with composite etch stop layers and methods of fabrication thereof
JP2010034517A (en) Semiconductor device and method of manufacturing the same
US6753607B1 (en) Structure for improving interlevel conductor connections
JP2007088017A (en) Organic insulation film and its manufacturing method, and semiconductor device using the same
JP2002134610A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090422

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090513

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120522

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4312785

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120522

Year of fee payment: 3

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120522

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130522

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140522

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees