JP2007048941A - Semiconductor device manufacturing method - Google Patents

Semiconductor device manufacturing method Download PDF

Info

Publication number
JP2007048941A
JP2007048941A JP2005231738A JP2005231738A JP2007048941A JP 2007048941 A JP2007048941 A JP 2007048941A JP 2005231738 A JP2005231738 A JP 2005231738A JP 2005231738 A JP2005231738 A JP 2005231738A JP 2007048941 A JP2007048941 A JP 2007048941A
Authority
JP
Japan
Prior art keywords
film
plasma
oxide film
liner
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005231738A
Other languages
Japanese (ja)
Inventor
Hirofumi Wataya
宏文 綿谷
Masaru Sugimoto
賢 杉本
Yasutake Inoue
健剛 井上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2005231738A priority Critical patent/JP2007048941A/en
Publication of JP2007048941A publication Critical patent/JP2007048941A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To reduce the influence of the compression stress due to a buried oxide film without greatly increasing the manufacturing process in a method of manufacturing a semiconductor device, and solves the trap of carriers due to a liner SiN film. <P>SOLUTION: After forming a polish stopper layer 2 on a semiconductor substrate 1 surface, element isolating trenches 3 are formed in the semiconductor substrate 1 through the stopper layer 2; a nitride liner film 4 composed of a SiN or SiON film is deposited to cover at least the inner surface of the trench 3, and then irradiated with plasma to reduce nitrogen in the liner film 4 deposited in the trenches 3. After an oxide film 7 is deposited to fill up the trenches 3, the oxide film 7 deposited to the stopper layer 2 is removed by polishing. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は半導体装置の製造方法に関し、特にシャロートレンチアイソレーション(STI:Shallow Trench Isolation)構造のトレンチ側壁に与える圧縮応力を低減するとともにトラップを低減するための構成に特徴のある半導体装置の製造方法に関するものである。   The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of manufacturing a semiconductor device characterized by a structure for reducing compressive stress applied to a trench sidewall of a shallow trench isolation (STI) structure and reducing traps. It is about.

半導体装置における素子分離方法の1つとして、半導体基板表面にトレンチを形成し、トレンチ内に絶縁物や多結晶シリコンを埋め込むトレンチアイソレーション技術が知られており、この方法は、従来、深い素子分離領域を必要とするバイポーラトランジスタLSIに用いられていた。   As one of element isolation methods in a semiconductor device, a trench isolation technique is known in which a trench is formed on the surface of a semiconductor substrate, and an insulator or polycrystalline silicon is embedded in the trench. It has been used in bipolar transistor LSIs that require area.

近年、トレンチアイソレーションのMOSトランジスタLSIの適用が進んでいるが、MOSトランジスタLSIでは、バイポーラトランジスタLSIほど深い素子分離は必要としないため、深さ0.1〜1.0μm程度の比較的浅い溝で素子分離を行うことができる。   In recent years, the application of trench isolation MOS transistor LSIs has progressed, but MOS transistor LSIs do not require element isolation as deep as bipolar transistor LSIs. Therefore, relatively shallow trenches having a depth of about 0.1 to 1.0 μm. The element isolation can be performed.

この構造はシャロートレンチアイソレーション(STI)と呼ばれており、ここで、図10乃至図12を参照して、STIの形成工程を説明する。
図10参照
まず、シリコン基板51の表面に熱酸化により、厚さが、例えば、10nmのバッファ酸化膜52を形成したのち、CVD(化学気相成長)法を用いて、厚さが、例えば、100〜150nmのストッパとなるSiN膜53を形成する。
This structure is called Shallow Trench Isolation (STI). Here, the STI formation process will be described with reference to FIGS.
See FIG.
First, after the buffer oxide film 52 having a thickness of, for example, 10 nm is formed on the surface of the silicon substrate 51 by thermal oxidation, the thickness is, for example, 100 to 150 nm by using a CVD (chemical vapor deposition) method. A SiN film 53 serving as a stopper is formed.

次いで、SiN膜53上にレジストパターン54を形成し、レジストパターン54をマスクとして反応性イオンエッチング(RIE)を施すことによって、深さが0.3μm程度のトレンチ55を形成する。
なお、この時、レジストパターン54直下のシリコン基板の領域は素子形成領域となる。
Next, a resist pattern 54 is formed on the SiN film 53, and reactive ion etching (RIE) is performed using the resist pattern 54 as a mask, thereby forming a trench 55 having a depth of about 0.3 μm.
At this time, the region of the silicon substrate immediately below the resist pattern 54 is an element formation region.

次いで、レジストパターン54を除去したのち、熱酸化を施すことによってトレンチ55内に露出したシリコン基板51の表面に、厚さが、例えば、10nmのライナー酸化膜56を形成する。   Next, after removing the resist pattern 54, a liner oxide film 56 having a thickness of, for example, 10 nm is formed on the surface of the silicon substrate 51 exposed in the trench 55 by performing thermal oxidation.

次いで、例えば、高密度プラズマ(HDP)CVD法により、SiO2 膜57を全面に堆積させてトレンチ55を完全に埋め込んだのち、例えば、窒素雰囲気中で900℃〜1100℃でアニール処理を行うことによって堆積させたSiO2 膜57を緻密化する。 Next, the SiO 2 film 57 is deposited on the entire surface by, for example, high density plasma (HDP) CVD method to completely fill the trench 55, and then, for example, annealing is performed at 900 ° C. to 1100 ° C. in a nitrogen atmosphere. The SiO 2 film 57 deposited by the above is densified.

次いで、SiN膜53をストッパとして、化学機械研磨(CMP)またはリアクティブイオンエッチングによるエッチバックを施すことによって、不要なSiO2 膜57を除去し、SiN膜53によって画定されるトレンチ55内にのみ、SiO2 膜57を残存させる。 Next, by using the SiN film 53 as a stopper, etch back by chemical mechanical polishing (CMP) or reactive ion etching is performed to remove the unnecessary SiO 2 film 57 and only into the trench 55 defined by the SiN film 53. The SiO 2 film 57 is left.

図11参照
次いで、熱燐酸を用いてSiN膜53をエッチング除去したのち、希フッ酸を用いて、シリコン基板51表面上のバッファ酸化膜52を除去する。
この時、トレンチ55を埋め込むSiO2 膜57もエッチングされる。
See FIG.
Next, after removing the SiN film 53 by etching using hot phosphoric acid, the buffer oxide film 52 on the surface of the silicon substrate 51 is removed using dilute hydrofluoric acid.
At this time, the SiO 2 film 57 filling the trench 55 is also etched.

次いで、シリコン基板51の露出表面を熱酸化し、表面に犠牲SiO2 膜58を形成したのち、犠牲SiO2 膜58を介してシリコン基板51の表面層に所望導電型の不純物をイオン注入し、活性化してシリコン基板51に所望導電型のウエル領域59を形成し、次いで、希フッ酸を用いて犠牲SiO2 膜58は除去する。
この犠牲SiO2 膜58の除去工程において、SiO2 膜57の露出部が再びエッチングされ、ディボット60が形成される。
Then, the exposed surface of the silicon substrate 51 is thermally oxidized after forming a sacrificial SiO 2 film 58 on the surface, a desired conductivity type impurity into the surface layer of the silicon substrate 51 by ion implantation through the sacrificial SiO 2 film 58, It is activated to form a well region 59 of a desired conductivity type on the silicon substrate 51, and then the sacrificial SiO 2 film 58 is removed using dilute hydrofluoric acid.
In the step of removing the sacrificial SiO 2 film 58, the exposed portion of the SiO 2 film 57 is etched again, and a divot 60 is formed.

次いで、露出したシリコン基板51の表面を熱酸化し、所望厚さのSiO2 膜からなるゲート絶縁膜61を形成したのち、全面に多結晶シリコン膜62を堆積する。 Next, the exposed surface of the silicon substrate 51 is thermally oxidized to form a gate insulating film 61 made of a SiO 2 film having a desired thickness, and then a polycrystalline silicon film 62 is deposited on the entire surface.

図12参照
次いで、多結晶シリコン膜62をパターニングすることによってゲート電極63を形成したのち、ゲート電極63をマスクとしてウエル領域59と逆導電型の不純物をイオン注入し、活性化することによってエクステンション領域64を形成し、次いで、ゲート電極63の側壁にサイドウォール65を形成したのち、このサイドウォール65をマスクとして再度ウエル領域59と逆導電型の不純物をイオン注入し、活性化して高濃度のソース・ドレイン領域66を形成する。
See FIG.
Next, after forming the gate electrode 63 by patterning the polycrystalline silicon film 62, the gate electrode 63 is used as a mask, and an impurity having a conductivity type opposite to that of the well region 59 is ion-implanted and activated to form the extension region 64. Then, after forming a sidewall 65 on the side wall of the gate electrode 63, ions of a conductivity type opposite to that of the well region 59 are ion-implanted again using the sidewall 65 as a mask, and activated to form a high concentration source / drain region. 66 is formed.

次いで、全面に層間絶縁膜67を堆積させたのち、ソース・ドレイン領域66に達するコンタクトホールを形成し、次いで、このコンタクトホールをバリヤメタルを介してW等で埋め込んでプラグ68を形成することによって、MOSFETの基本構成が完成する。   Next, after depositing an interlayer insulating film 67 on the entire surface, a contact hole reaching the source / drain region 66 is formed, and then this contact hole is filled with W or the like through a barrier metal to form a plug 68. The basic structure of the MOSFET is completed.

このようなSTIの素子分離は微細化に適しているが、図11の下段図に示すように、素子分離領域を構成するSiO2 膜57の肩部がエッチングされて、ゲート電極63より下側にディボット60が形成されると、チャネル幅方向において、シリコン基板51の素子形成領域肩部が上面のみならず側面からもゲート電極63で囲まれる。 Such STI element isolation is suitable for miniaturization, but as shown in the lower part of FIG. 11, the shoulder portion of the SiO 2 film 57 constituting the element isolation region is etched so that it is below the gate electrode 63. When the divot 60 is formed, the element formation region shoulder of the silicon substrate 51 is surrounded by the gate electrode 63 not only from the upper surface but also from the side surface in the channel width direction.

このような形状になると、素子形成領域の肩部は、ゲート電極に電圧を印加すると平坦部より電界集中を受け、より低い閾値電圧を有する寄生トランジスタを形成することになり、この寄生トランジスタはI−V特性にハンプ特性を発生させる原因となる。   In such a shape, the shoulder of the element formation region receives an electric field concentration from the flat portion when a voltage is applied to the gate electrode, and forms a parasitic transistor having a lower threshold voltage. This causes a hump characteristic in the -V characteristic.

また、コンタクトホールの形成工程において、マスクの位置ずれによりコンタクトホールが素子分離領域にかかった場合、SiO2 膜57にディボット60が形成されていると、コンタクトホールが活性領域表面より深くまで形成されて、プラグ68とソース・ドレイン領域66下のウエル領域59との間の距離が近くなり、トンネルなどでリーク電流が発生する可能性が生じる。
これらのリークにより飽和電流の減少の悪化を発生させる場合がある。
Further, in the contact hole forming process, when the contact hole covers the element isolation region due to the displacement of the mask, if the divot 60 is formed in the SiO 2 film 57, the contact hole is formed deeper than the surface of the active region. As a result, the distance between the plug 68 and the well region 59 under the source / drain region 66 is reduced, and there is a possibility that a leak current is generated in a tunnel or the like.
These leaks may cause deterioration of the decrease in saturation current.

さらに、トレンチ55内に埋め込んだSiO2 膜57の緻密化のための熱処理を行うと、SiO2 とSiとの熱膨張率の差により、SiO2 膜57で囲まれた素子形成領域は圧縮ストレスを受けることになる。 Further, when heat treatment for densification of the SiO 2 film 57 embedded in the trench 55 is performed, the element formation region surrounded by the SiO 2 film 57 is compressed due to the difference in thermal expansion coefficient between SiO 2 and Si. Will receive.

圧縮ストレスが印加されると、シリコン基板51の活性領域における電子の移動度が大きく低下するため、飽和ドレイン電流が低下し、特に、微細化にともないゲート幅が小さくなるにしたがって、埋め込み酸化膜起因も含めた圧縮応力が特にNMOSの飽和ドレイン電流の劣化に与える影響がますます深刻になっている。   When compressive stress is applied, the mobility of electrons in the active region of the silicon substrate 51 is greatly reduced, so that the saturation drain current is reduced. In particular, as the gate width is reduced with miniaturization, it is caused by the buried oxide film. In particular, the influence of compressive stress including the above on deterioration of the saturation drain current of NMOS is becoming more serious.

また、トレンチ内面上のライナー酸化膜56を介して、埋め込み酸化膜中の水分或いは後工程での高温での酸化処理により、トレンチ側面が再酸化される場合があるが、酸化が発生すると、酸化により体積が膨張しトレンチ側壁に圧縮の応力がさらにはたらき飽和ドレイン電流を劣化させることになる。   In addition, the side surface of the trench may be re-oxidized by the moisture in the buried oxide film or the high-temperature oxidation process in a later process through the liner oxide film 56 on the inner surface of the trench. As a result, the volume expands and a compressive stress further acts on the trench side wall, thereby degrading the saturated drain current.

そこで、ハンプ特性やリークを防止するために、トレンチ内面上にライナー酸化膜を介してライナーSiN膜を形成することが提案されている(例えば、特許文献1参照)。   Therefore, in order to prevent hump characteristics and leakage, it has been proposed to form a liner SiN film on the inner surface of the trench via a liner oxide film (see, for example, Patent Document 1).

SiN膜はSiに対して引張応力として作用するために、ライナーSiN膜を形成することによってSiO2 膜の圧縮応力と相殺し、トレンチ55の側壁部に作用する応力が軽減されて飽和ドレイン電流が劣化が抑制されることになる。
なお、ライナー窒化膜を設けた場合、再酸化が抑制されて圧縮応力の増大が抑制されることになるので、この点からも飽和ドレイン電流の劣化が抑制されると考えられる。
Since the SiN film acts as tensile stress on Si, forming the liner SiN film cancels the compressive stress of the SiO 2 film, and the stress acting on the side wall of the trench 55 is reduced, so that the saturated drain current is reduced. Deterioration is suppressed.
When the liner nitride film is provided, re-oxidation is suppressed and an increase in compressive stress is suppressed. From this point, it is considered that the deterioration of the saturation drain current is also suppressed.

また、素子分離領域の肩部においては、ゲート電極63は、ゲート絶縁膜61より厚いライナーSiN膜/ライナー酸化膜の積層構造を介することになるため、電界集中が緩和されて寄生トランジスタの発生が抑制されることになる。   In addition, since the gate electrode 63 has a liner SiN film / liner oxide film laminated structure thicker than the gate insulating film 61 at the shoulder portion of the element isolation region, electric field concentration is reduced and parasitic transistors are generated. Will be suppressed.

さらに、一般に、ゲート幅Wを狭くしていくとしきい値Vthが次第に減少する逆狭チャネル効果が現れるが、ライナーSiN膜を設けた場合に逆狭チャネル効果が見られないのでこの事情を図13を参照して説明する。 Further, generally, as the gate width W is reduced, the reverse narrow channel effect appears in which the threshold value Vth gradually decreases. However, when the liner SiN film is provided, the reverse narrow channel effect is not observed, so this situation is illustrated. Explanation will be made with reference to FIG.

図13参照
図13は、Vthのチャネル幅W依存性の説明図であり、ゲート幅Wを狭くしていくとしきい値Vthが次第に減少する逆狭チャネル効果が見られる。
しかし、ライナーSiN膜を設けた場合にはVthはほぼ一定であり、逆狭チャネル効果は見られないことが分かる。
これは、ライナーSiN膜を設けることによって、上述の寄生トランジスタの発生が抑制され、寄生トランジスタの寄与が小さいためと考えられる。
See FIG.
FIG. 13 is an explanatory diagram of the dependence of V th on the channel width W. As the gate width W is reduced, the reverse narrow channel effect is seen in which the threshold value V th gradually decreases.
However, it can be seen that when the liner SiN film is provided, Vth is substantially constant and the reverse narrow channel effect is not observed.
This is presumably because the provision of the liner SiN film suppresses the generation of the above-described parasitic transistor and the contribution of the parasitic transistor is small.

しかし、このように、ライナーSiN膜を設けた場合に、窒化膜は電子等の電荷をトラップしやすいため、ライナーSiN膜とゲート電極とが重なる素子形成領域の肩部においては、チャネルを流れるキャリアがライナーSiN膜に接近するため、ホットキャリア効果によって電子がライナーSiN膜にトラップされてしまい、Vthがシフトするという問題が発生する。 However, when the liner SiN film is provided as described above, the nitride film easily traps charges such as electrons. Therefore, in the shoulder of the element formation region where the liner SiN film and the gate electrode overlap, carriers flowing in the channel However, due to the proximity to the liner SiN film, electrons are trapped in the liner SiN film due to the hot carrier effect, causing a problem that V th shifts.

そこで、このような問題を解決するために、トレンチ内に埋め込んだSiO2 膜の上部を除去して、露出したライナーSiN膜を熱酸化することにより酸化膜に変換して、キャリアのトラップによるVthのシフトを抑制することが提案されている(例えば、特許文献2参照)。
特開2003−273206号公報 特開2004−311487号公報
Therefore, in order to solve such a problem, the upper portion of the SiO 2 film embedded in the trench is removed, and the exposed liner SiN film is thermally oxidized to be converted into an oxide film. It has been proposed to suppress th shift (see, for example, Patent Document 2).
JP 2003-273206 A JP 2004-311487 A

しかしながら、上記特許文献2の場合には、Vthのシフトは抑制されるものの、埋込酸化膜の除去工程、及び、熱酸化工程が必要になるとともに、さらに、埋込酸化膜の除去部をさらに酸化膜で埋め込む工程が必要になり、製造工程が増加し、スループットの低下及び製造コストの上昇とをもたらすという問題がある。 However, in the case of the above-mentioned Patent Document 2, although the shift of V th is suppressed, a buried oxide film removing step and a thermal oxidation step are required, and a buried oxide film removing portion is further provided. Furthermore, a process of embedding with an oxide film is required, which increases the number of manufacturing processes, resulting in a decrease in throughput and an increase in manufacturing cost.

したがって、本発明は、製造工程を大幅に増加することなく、埋込酸化膜に起因する圧縮応力の影響を低減するとともに、ライナーSiN膜に起因するキャリアのトラップを解消することを目的とする。   Accordingly, an object of the present invention is to reduce the influence of the compressive stress caused by the buried oxide film and eliminate the carrier trap caused by the liner SiN film without significantly increasing the number of manufacturing steps.

図1は本発明の原理的構成図であり、ここで図1を参照して、本発明における課題を解決するための手段を説明する。
図1参照
上記課題を解決するために、本発明は、半導体装置の製造方法において、半導体基板1表面上に研磨のストッパ層2を形成する工程、前記ストッパ層2を介して半導体基板1に素子分離用トレンチ3を形成する工程、少なくとも前記トレンチ3の内表面を覆うようにSiN膜或いはSiON膜からなる窒化系ライナー膜4を堆積する工程、プラズマ照射によって前記トレンチ3内に堆積した窒化系ライナー膜4中の窒素を減少させたのち、酸化膜7を堆積させてトレンチ3を埋め込む工程、及び、前記ストッパ層2上に堆積した前記酸化膜7を研磨により除去する工程を備えたことを特徴とする。
FIG. 1 is a diagram illustrating the basic configuration of the present invention. Means for solving the problems in the present invention will be described with reference to FIG.
In order to solve the above-described problem, the present invention provides a method of forming a polishing stopper layer 2 on a surface of a semiconductor substrate 1 in a method for manufacturing a semiconductor device, and an element formed on the semiconductor substrate 1 via the stopper layer 2. A step of forming the isolation trench 3, a step of depositing a nitride liner film 4 made of a SiN film or a SiON film so as to cover at least the inner surface of the trench 3, and a nitride liner deposited in the trench 3 by plasma irradiation A step of depositing an oxide film 7 after reducing nitrogen in the film 4 to fill the trench 3; and a step of removing the oxide film 7 deposited on the stopper layer 2 by polishing. And

このように、トレンチ3内を酸化膜7で埋め込む前に、プラズマ処理により窒化系ライナー膜4中の窒素濃度を減少させることによって、チャージトラップの元になるサイトを減少させホットキャリアによる劣化を抑制することができる。   In this way, before the trench 3 is filled with the oxide film 7, the nitrogen concentration in the nitride liner film 4 is reduced by plasma treatment, thereby reducing the sites that are the source of charge traps and suppressing deterioration due to hot carriers. can do.

窒化系ライナー膜4中の窒素濃度の減少により、極端な場合に窒素が検出されなくなった場合にも、飽和ドレイン電流の劣化が抑制されており、この結果は、窒素濃度が低下してもプラズマ処理ライナー膜6はバリア性に優れた高質の酸化膜7に変換されているため圧縮応力相殺の機能は損なわれていないと考えられる。   Even when nitrogen is no longer detected due to a decrease in the nitrogen concentration in the nitriding liner film 4, deterioration of the saturation drain current is suppressed. Since the treated liner film 6 is converted into a high-quality oxide film 7 having excellent barrier properties, it is considered that the compressive stress canceling function is not impaired.

また、窒素濃度が低下してもプラズマ処理ライナー膜6は存在しているため、素子形成領域の肩部においてはプラズマ処理ライナー膜6を介してゲート電極が設けられるため寄生トランジスタの発生が抑制される。   In addition, since the plasma treatment liner film 6 exists even when the nitrogen concentration is lowered, a gate electrode is provided through the plasma treatment liner film 6 in the shoulder portion of the element formation region, so that generation of a parasitic transistor is suppressed. The

この場合のプラズマ照射による窒化系ライナー膜4中の窒素の減少は、窒化系ライナー膜4中の窒素を膜中のSiに対する原子比で30%以下にすることが望ましく、それによって、チャージトラップによるホットキャリアの捕獲に起因する劣化を生ずることなく、圧縮応力を低減することができる。   In this case, it is desirable that the nitrogen in the nitride liner film 4 is reduced by the plasma irradiation so that the nitrogen in the nitride liner film 4 is 30% or less in terms of the atomic ratio to Si in the film. The compressive stress can be reduced without causing deterioration due to the capture of hot carriers.

また、プラズマ照射工程に使用するガス種としては、O2 、N2 O、He、Ar、CO2 、或いは、H2 いずれかまたはこれらの組合せのガスが望ましく、非酸化性プラズマの場合には、プラズマ照射後に酸化処理を行えば良い。 As the gas species used in the plasma irradiation process, O 2 , N 2 O, He, Ar, CO 2 , or a gas of H 2 or a combination thereof is desirable. In the case of non-oxidizing plasma, An oxidation treatment may be performed after the plasma irradiation.

また、酸化膜7の堆積工程においては、緻密な埋込酸化膜7を形成するためには、プラズマ気相化学成長法を用いることが望ましく、TEOS−O3 によるプラズマCVD法でも良いが、特に、SiH4 −O2 を用いた高密度プラズマ気相化学成長法(HDP−CVD法)が望ましい。 Further, in the step of depositing the oxide film 7, it is desirable to use a plasma vapor chemical growth method to form the dense buried oxide film 7, and a plasma CVD method using TEOS-O 3 may be used. A high-density plasma vapor chemical growth method (HDP-CVD method) using SiH 4 —O 2 is desirable.

また、プラズマ照射工程を、プラズマ気相化学成長装置内において行うことが望ましく、それによって、プラズマ処理後の基板を大気中に晒すことなく、連続して酸化膜7の堆積を行うことができるので、品質の向上とスループットの向上が可能になる。   In addition, it is desirable that the plasma irradiation process is performed in a plasma vapor phase chemical growth apparatus, so that the oxide film 7 can be continuously deposited without exposing the plasma-treated substrate to the atmosphere. , Improving quality and throughput.

なお、プラズマ照射工程の後に酸化処理を行う場合には、プラズマ気相化学成長装置内においてプラズマ照射工程の後に連続してプラズマ酸化処理を行うことが望ましい。   In addition, when performing an oxidation process after a plasma irradiation process, it is desirable to perform a plasma oxidation process continuously after a plasma irradiation process in a plasma vapor phase chemical growth apparatus.

本発明では、圧縮応力低減のための窒化系ライナー膜を成膜後に窒化系ライナー膜中の窒素を低減させているので、チャージトラップに起因するVth変動を発生させることなく圧縮応力の低減が可能になり、それによって、ハンプ効果や逆狭チャンネル効果の発生を抑制することができる。 In the present invention, since the nitrogen in the nitride liner film is reduced after the formation of the nitride liner film for reducing the compressive stress, the compressive stress can be reduced without causing the V th fluctuation due to the charge trap. This makes it possible to suppress the occurrence of the hump effect and the reverse narrow channel effect.

本発明は、半導体基板表面上に研磨のストッパ層を形成したのち、ストッパ層を介して半導体基板に素子分離用トレンチを形成し、次いで、トレンチの内表面を覆うようにSiN膜或いはSiON膜からなる窒化系ライナー膜を堆積させたのち、プラズマ照射によって、好適にはプラズマCVD装置内、特に、HDP−CVD装置内においてO2 、N2 O、He、Ar、CO2 、或いは、H2 いずれかまたはこれらの組合せのガスによるプラズマを照射して、トレンチ内に堆積した窒化系ライナー膜中の窒素を膜中のSiに対する原子比で30%以下に減少させ、次いで、酸化膜を堆積させてトレンチを埋め込んだのち、ストッパ層上に堆積した酸化膜を研磨、特に、化学機械研磨(CMP)により除去するものである。 In the present invention, after forming a polishing stopper layer on the surface of the semiconductor substrate, an element isolation trench is formed in the semiconductor substrate through the stopper layer, and then the SiN film or SiON film is formed so as to cover the inner surface of the trench. After depositing the nitride liner film to be formed, any of O 2 , N 2 O, He, Ar, CO 2 , or H 2 is preferably irradiated by plasma irradiation in a plasma CVD apparatus, particularly in an HDP-CVD apparatus. Irradiation with a plasma with a gas of these or a combination thereof reduces nitrogen in the nitride liner film deposited in the trench to 30% or less in terms of atomic ratio to Si in the film, and then deposits an oxide film. After filling the trench, the oxide film deposited on the stopper layer is removed by polishing, particularly chemical mechanical polishing (CMP).

ここで、図2乃至図4を参照して、本発明の実施例1のMOSFETの製造工程を説明する。
図2参照
まず、p型シリコン基板11の表面を例えば基板温度800℃でウェット酸化して厚さが、例えば、5nmのバッファ酸化膜12を形成し、続いて、例えば、基板温度775℃で原料として例えばジクロルシランとアンモニアを用いたCVD法により、厚さが、例えば、110nmのSiN膜からなるストッパ層13を形成する。
Here, with reference to FIG. 2 thru | or FIG. 4, the manufacturing process of MOSFET of Example 1 of this invention is demonstrated.
See Figure 2
First, the surface of the p-type silicon substrate 11 is wet oxidized at a substrate temperature of 800 ° C., for example, to form a buffer oxide film 12 having a thickness of, for example, 5 nm, and then, for example, dichlorosilane as a raw material at a substrate temperature of 775 ° C. The stopper layer 13 made of a SiN film having a thickness of, for example, 110 nm is formed by a CVD method using ammonia and ammonia.

次いで、ストッパ層13上に素子分離領域形成領域に対応する開口部15を有するレジストパターン14を形成し、このレジストパターン14をマスクとして反応性イオンエッチングを行うことによってSTI用のトレンチ16を形成する。   Next, a resist pattern 14 having an opening 15 corresponding to an element isolation region formation region is formed on the stopper layer 13, and reactive ion etching is performed using the resist pattern 14 as a mask to form an STI trench 16. .

この場合、レジストパターン14で画定される領域が素子形成領域となり、例えば、1μm×1μmのサイズとする。
また、近年の半導体装置の高集積化に伴い、半導体素子間も高密度化しており、ここでは、トレンチ16の幅を例えば140nmとし、深さを350nmとする。
In this case, the region defined by the resist pattern 14 becomes an element formation region, and has a size of 1 μm × 1 μm, for example.
In addition, with the recent high integration of semiconductor devices, the density of semiconductor elements is also increasing. Here, the width of the trench 16 is, for example, 140 nm and the depth is 350 nm.

次いで、レジストパターン14を除去したの、ドライ酸化によりトレンチ16内に露出したp型シリコン基板11の表面に厚さが、例えば、3nmのライナー酸化膜17を形成する。   Next, a liner oxide film 17 having a thickness of, for example, 3 nm is formed on the surface of the p-type silicon substrate 11 exposed in the trench 16 by dry oxidation after the resist pattern 14 is removed.

次いで、ソースガスとしてジクロルシランとアンモニアを用いたCVD法によって、全面に厚さが3〜20nm、例えば、6nmのライナーSiN膜18を形成する。
このライナーSiN膜18は、後述する埋込酸化膜の緻密化のための高温酸化雰囲気中における熱処理におけるトレンチ側壁の再酸化を抑制するために用いられる。
Next, a liner SiN film 18 having a thickness of 3 to 20 nm, for example, 6 nm, is formed on the entire surface by a CVD method using dichlorosilane and ammonia as source gases.
The liner SiN film 18 is used to suppress reoxidation of the trench side walls in a heat treatment in a high-temperature oxidizing atmosphere for densifying the buried oxide film described later.

埋め込み後にトレンチ側壁が再酸化されると、酸化膜形成時に界面の酸化膜領域がSiと反応して膨張し、トレンチ界面に圧縮応力をおよぼし、特性の劣化を引き起こすことになるが、再酸化を抑制することによって特性の劣化を防ぐことになる。   If the trench sidewall is re-oxidized after filling, the oxide film region at the interface reacts with Si during the oxide film formation and expands, causing compressive stress on the trench interface and causing deterioration of characteristics. Suppression suppresses deterioration of characteristics.

次いで、HDP−CVD装置(内容量:57000cc)内において、例えば、O2 を160sccm、Heを500sccmを流し、セラミックドームの外周にコイル状に導入した13.56MHz の高周波RF電力を成膜時よりも高い4500W供給し、450℃の基板温度で90秒プラズマ照射を行う。
このプラズマ照射によって、ライナーSiN膜中のNは減少し、置換酸化膜19に変換される。
Next, in the HDP-CVD apparatus (internal capacity: 57000 cc), for example, 160 sccm of O 2 and 500 sccm of He were flowed, and high frequency RF power of 13.56 MHz introduced in a coil shape on the outer periphery of the ceramic dome was from the time of film formation. Higher 4500 W is supplied, and plasma irradiation is performed at a substrate temperature of 450 ° C. for 90 seconds.
By this plasma irradiation, N in the liner SiN film is reduced and converted to the replacement oxide film 19.

図3参照
引き続いて、HDP−CVD装置内において、例えば、SiH4 を120sccm、O2 を160sccm、Heを500sccm流し、セラミックドームの外周にコイル状に導入した13.56MHz の高周波RF電力を3000W、静電チャックに400kHzの高周波RF電力を2000W供給し、トレンチ16内を完全に埋め込むように厚さが、例えば、450nmのプラズマ酸化膜20を堆積させる。
See Figure 3
Subsequently, in the HDP-CVD apparatus, for example, SiH 4 is flowed at 120 sccm, O 2 is flowed at 160 sccm, and He is flowed at 500 sccm. A high frequency RF power of 13.56 MHz introduced in a coil shape on the outer periphery of the ceramic dome is 3000 W, electrostatic chuck The plasma oxide film 20 having a thickness of, for example, 450 nm is deposited so as to completely fill the trench 16 with 2000 W of high frequency RF power of 400 kHz.

次いで、化学機械研磨によりストッパ層13上に堆積したプラズマ酸化膜20及び置換酸化膜19を除去し、トレンチ16内に埋込酸化膜21を形成する。
この時、ストッパ層13の一部も研磨して良い。
Next, the plasma oxide film 20 and the replacement oxide film 19 deposited on the stopper layer 13 are removed by chemical mechanical polishing, and a buried oxide film 21 is formed in the trench 16.
At this time, a part of the stopper layer 13 may be polished.

次いで、例えば、非酸化性雰囲気中で1000℃でアニール処理を行い、埋込酸化膜21を緻密化して耐フッ酸性を高め、後述するエッチング工程におけるディボットの発生をできるだけ抑制する。   Next, for example, annealing is performed at 1000 ° C. in a non-oxidizing atmosphere, the buried oxide film 21 is densified to improve hydrofluoric acid resistance, and the occurrence of divots in the etching process described later is suppressed as much as possible.

以降は、従来のMOSFETの製造工程と同様に、熱燐酸を用い、SiN膜からなるストッパ層13を除去したのち、露出したバッファ酸化膜12をフッ酸によりエッチング除去する。   Thereafter, similarly to the conventional MOSFET manufacturing process, hot phosphoric acid is used to remove the stopper layer 13 made of the SiN film, and then the exposed buffer oxide film 12 is removed by etching with hydrofluoric acid.

図4参照
次いで、露出したシリコン基板の表面にスルー酸化膜22を形成したのち、スルー酸化膜22を介してBをイオン注入することによってp型ウエル領域23を形成し、次いで、スルー酸化膜22を除去したのち、露出したp型ウエル領域23の表面に熱酸化により厚さが、例えば、3nmのゲート酸化膜24を形成したのち、全面に多結晶シリコン膜を形成し、次いで、パターニングすることによってゲート電極25を形成する。
See Figure 4
Next, after forming a through oxide film 22 on the exposed surface of the silicon substrate, a p-type well region 23 is formed by ion implantation of B through the through oxide film 22, and then the through oxide film 22 is removed. Thereafter, a gate oxide film 24 having a thickness of, for example, 3 nm is formed on the exposed surface of the p-type well region 23 by thermal oxidation, a polycrystalline silicon film is formed on the entire surface, and then patterned to form a gate electrode. 25 is formed.

次いで、ゲート電極25をマスクとしてAsイオンを注入することによってn型エクステンション領域26を形成したのち、全面にSiO2 膜を堆積し、反応性イオンエッチングにより異方性エッチングを行うことによってサイドウォール27を形成する。 Next, an n-type extension region 26 is formed by implanting As ions using the gate electrode 25 as a mask, and then an SiO 2 film is deposited on the entire surface, and anisotropic etching is performed by reactive ion etching to form the sidewall 27. Form.

次いで、サイドウォール27をマスクとして再びAsイオンを注入することによって、n+ 型ソース・ドレイン領域28を形成する。 Next, As ions are implanted again using the sidewall 27 as a mask, thereby forming n + type source / drain regions 28.

次いで、全面にCo膜を堆積させたのち、熱処理を行うことによってn+ 型ソース・ドレイン領域28及びゲート電極25の表面にCoシリサイド膜29,30を形成し、未反応のCo膜をウォッシュアウトしたのち、二次熱処理を行うことによってCoシリサイド膜29,30を低抵抗相のCoシリサイドに変換する。 Next, a Co film is deposited on the entire surface, and then heat treatment is performed to form Co silicide films 29 and 30 on the surfaces of the n + -type source / drain regions 28 and the gate electrode 25, and the unreacted Co film is washed out. After that, a secondary heat treatment is performed to convert the Co silicide films 29 and 30 into low resistance phase Co silicide.

次いで、全面にSiN膜からなるエッチングストッパー層31、及び、プラズマTEOS−NSG膜からなる層間絶縁膜32を順次形成したのち、層間絶縁膜32の表面を平坦化し、次いで、n+ 型ソース・ドレイン領域28に達するコンタクトホールを形成する。 Next, an etching stopper layer 31 made of a SiN film and an interlayer insulating film 32 made of a plasma TEOS-NSG film are sequentially formed on the entire surface, and then the surface of the interlayer insulating film 32 is flattened, and then n + type source / drain A contact hole reaching the region 28 is formed.

次いで、例えば、TiNからなるグルー層33を堆積した後、例えば、W層34をCVD法により堆積してコンタクトホール内を完全に埋め込み、次いで、層間絶縁膜32上の不要な金属層をCMPで除去してプラグ35を形成することによってMOSFETの基本構成が完成する。
以降は、必要に応じて多層配線構造を形成することによってMOS型LSIが完成する。
Next, for example, after depositing a glue layer 33 made of TiN, for example, a W layer 34 is deposited by CVD to completely fill the contact hole, and then an unnecessary metal layer on the interlayer insulating film 32 is formed by CMP. By removing and forming the plug 35, the basic structure of the MOSFET is completed.
Thereafter, a MOS type LSI is completed by forming a multilayer wiring structure as necessary.

次に、図5乃至図9を参照して、本発明の特徴点である、ライナーSiN膜のプラズマ処理の作用効果を説明する。
図5参照
図5は、ライナーSiN膜中の窒素濃度減少の処理条件依存性の説明図であり、厚さが6nmのSiN膜に対して各種の条件でプラズマ照射を行った場合のライナーSiN膜中の窒素濃度をXPS法によって測定した結果を示したものである。
Next, with reference to FIGS. 5 to 9, the effect of plasma treatment of the liner SiN film, which is a feature of the present invention, will be described.
See Figure 5
FIG. 5 is an explanatory diagram of the dependence of the nitrogen concentration reduction in the liner SiN film on the processing conditions. Nitrogen in the liner SiN film when plasma irradiation is performed on the SiN film having a thickness of 6 nm under various conditions. The result of having measured the density | concentration by XPS method is shown.

ここでは、プラズマガスとして、O2 +HeとHeの2種類を用い、13.56MHz の高周波RF電力を2500W、4500W、5500Wとし、照射時間を90秒に固定してプラズマ処理を行った。
なお、この場合のO2 +Heの場合には、O2 とHeの流量を250sccm及び500sccmとし、He単独の場合には500sccmとした。
Here, two types of plasma gas, O 2 + He and He, were used, and the plasma treatment was performed with a high frequency RF power of 13.56 MHz set to 2500 W, 4500 W, and 5500 W, and an irradiation time fixed to 90 seconds.
In this case, the flow rates of O 2 and He were 250 sccm and 500 sccm in the case of O 2 + He, and 500 sccm in the case of He alone.

図から明らかなように、ライナーSiN膜中のNの比率は、成長直後においては約40%であるのに対して、プラズマ照射によって大幅にNが減少していることがわかる。
またRF電力が高いほどN濃度減少の効果は高く、ガス種としてはHe単独の場合に比較してO2 を添加した方が減少の効果が高いことがわかる。
なお、He単独の場合の膜中のO2 比率は、プラズマ照射後に大気中に取り出した場合に、大気中のO2 或いはH2 Oの付着或いは、それらに起因する酸化によるものである。
As is apparent from the figure, the ratio of N in the liner SiN film is about 40% immediately after the growth, but it can be seen that N is significantly reduced by the plasma irradiation.
It can also be seen that the higher the RF power, the higher the N concentration reduction effect, and the addition of O 2 as a gas species has a higher reduction effect compared to the case of He alone.
Note that the O 2 ratio in the film in the case of He alone is due to adhesion of O 2 or H 2 O in the atmosphere or oxidation caused by them when taken out into the atmosphere after plasma irradiation.

因に、O2 を添加した系では、RFパワーを4500W以上にするとN濃度はほぼゼロレベルまで低減できることがわかった。
また処理時間にも感度あり、処理時間を延ばせば膜中の窒素濃度を低減できるが、先に述べたようにパワー・ガス種・処理時間の組み合わせにより、窒素濃度の低減は可能となることがわかり、より好適には、N濃度はほぼゼロレベルまで低減することが望ましい。
Incidentally, it was found that in the system to which O 2 was added, the N concentration could be reduced to almost zero level when the RF power was increased to 4500 W or more.
The processing time is also sensitive, and if the processing time is extended, the nitrogen concentration in the film can be reduced. However, as described above, the nitrogen concentration can be reduced by combining the power, gas type, and processing time. As can be seen, more preferably, the N concentration should be reduced to approximately zero level.

但し、STI構造でのトレンチ内面に敷いたライナーの窒化膜に対しては、プラズマ照射の効果が底面と側壁では異なることが考えられるので、実際のSTI構造のウェハを用いて、プラズマ照射条件を振ってライナー窒化膜の存在をTEM、EELSを用いて調査した。
一例としてHe;250Sccm、O2 ;500sccm、高周波電力出力を4500W,5500Wに対してそれぞれ90秒の処理をおこなった後に、高密度プラズマによる埋込酸化膜を成長して比較をおこなった。
However, for the liner nitride film laid on the inner surface of the trench in the STI structure, it is considered that the effect of plasma irradiation is different between the bottom surface and the side wall. The presence of the liner nitride film by shaking was investigated using TEM and EELS.
As an example, after processing 90 seconds for He; 250 Sccm, O 2 ; 500 sccm, and high-frequency power output of 4500 W and 5500 W, respectively, a buried oxide film by high-density plasma was grown and compared.

図6参照
図6は、RFパワーを4500Wとした場合の素子形成領域のTEM像(模写図)であり、Si素子形成領域41の側面に薄いライナーSiO2 膜42を介して1〜2nmのライナーSiN膜43の像が確認される。
この場合、ライナーSiN膜43のプラズマ処理前の膜厚は6nm程度であるのでかなりの減少が見られるが、これはプラズマエッチングにより減膜したのはなく、ライナーSiN膜43からNが脱離して酸化膜に置換されたためである。
See FIG.
FIG. 6 is a TEM image (copy) of the element formation region when the RF power is 4500 W, and a liner SiN film 43 of 1 to 2 nm is formed on the side surface of the Si element formation region 41 via a thin liner SiO 2 film 42. The image of is confirmed.
In this case, since the film thickness of the liner SiN film 43 before the plasma treatment is about 6 nm, a considerable decrease is observed, but this is not reduced by the plasma etching, but N is desorbed from the liner SiN film 43. This is because the oxide film is replaced.

図7参照
図7は、RFパワーを5500Wとした場合の素子形成領域のTEM像(模写図)であり、Si素子形成領域41の側面にライナーSiN膜43の像は全く見られない。
この場合には、ライナーSiN膜43が全て酸化膜置換されたものと考えられる。
See FIG.
FIG. 7 is a TEM image (copy) of the element formation region when the RF power is 5500 W, and no image of the liner SiN film 43 is seen on the side surface of the Si element formation region 41.
In this case, it is considered that the liner SiN film 43 is entirely replaced with an oxide film.

図8参照
図8は、RFパワーを4500Wとした場合のストッパ層除去及びその後の酸化膜エッチング後のTEM像(模写図)であり、図6の場合と同様にSi素子形成領域41の側面にライナーSiN膜43の像が見えるが、トレンチの底部においてライナーSiN膜43は確認されなかった。
これは、プラズマ照射の効果が平坦部よりも側壁部で活性種の照射が減少するためと考えられる。
See FIG.
FIG. 8 is a TEM image (schematic diagram) after removal of the stopper layer and subsequent etching of the oxide film when the RF power is 4500 W. Similar to the case of FIG. 6, the liner SiN film is formed on the side surface of the Si element formation region 41. Although an image of 43 is visible, the liner SiN film 43 is not confirmed at the bottom of the trench.
This is presumably because the effect of plasma irradiation decreases irradiation of active species at the side wall portion rather than at the flat portion.

次いで、それぞれのサンプルについてライナーSiN膜が存在する箇所についてEELS法を用いて、窒素の元素MAPで対応をとると4500WのサンプルではTEM像で確認された箇所に一致して窒素の反応が観察されるのに対して、5500Wのサンプルでは窒素の反応はバックグラウンドレベルでしか観察されず、5500Wのサンプルではライナー部にSiN膜としては存在していないことが確認できた。   Next, using the EELS method for the location where the liner SiN film exists for each sample, the nitrogen reaction is observed in the 4500 W sample in accordance with the location confirmed by the TEM image when the correspondence is taken with the nitrogen element MAP. In contrast, in the 5500 W sample, the reaction of nitrogen was observed only at the background level, and it was confirmed that the 5500 W sample did not exist as a SiN film in the liner portion.

図9参照
図9は、実施例1で作成したMOSFETの逆狭チャネル効果の説明図であり、従来のライナーSiN膜を設けたMOSFETと同様に、逆狭チャネル効果は殆ど見られなかった。
FIG. 9 is an explanatory diagram of the reverse narrow channel effect of the MOSFET prepared in Example 1, and almost no reverse narrow channel effect was observed as in the case of the MOSFET provided with the conventional liner SiN film.

このように、本発明の実施例1においては、ライナーSiN膜を設けたのち、プラズマ照射により窒素を低減しているので、チャージトラップによるホットキャリアに対する特性を改善とVth変動の抑制とを両立することができる。 As described above, in Example 1 of the present invention, after the liner SiN film is provided, nitrogen is reduced by plasma irradiation, so both improvement of characteristics against hot carriers due to charge traps and suppression of Vth fluctuations are achieved. can do.

以上、本発明の実施例を説明してきたが、本発明は実施例に記載された構成・条件等に限られるものではなく各種の変更が可能であり、例えば、実施例においてはnチャネル型MOSFETを例に説明しているが、pチャネル型MOSFETにも当然適用れるものである。   Although the embodiments of the present invention have been described above, the present invention is not limited to the configurations and conditions described in the embodiments, and various modifications are possible. For example, in the embodiments, an n-channel MOSFET is used. However, this is naturally applicable to p-channel MOSFETs.

また、上記の実施例においては、ライナーSiN膜の堆積工程において、ソースガスとしてジクロルシランとアンモニアを用いているが、ビスターシャルブチルアミノシラン
(BTBAS)とアンモニアを用いても良いものである。
In the above embodiment, dichlorosilane and ammonia are used as the source gas in the liner SiN film deposition step, but it is also possible to use binary butylaminosilane (BTBAS) and ammonia.

また、上記の実施例においては、ライナー膜をSiN膜で構成しているが、純粋なSiN膜である必要はなく、SiON膜をライナー膜として用いても良いものである。   In the above embodiment, the liner film is composed of the SiN film. However, the liner film is not necessarily a pure SiN film, and the SiON film may be used as the liner film.

また、上記の実施例においては、埋込酸化膜をHDP−CVD法により堆積しているが、TEOS−O2 を用いた通常のプラズマCVD法を用いても良いものである。 In the above embodiment, the buried oxide film is deposited by the HDP-CVD method, but a normal plasma CVD method using TEOS-O 2 may be used.

また、上記の実施例においては、窒素低減のためのプラズマ処理をO2 +Heプラズマを用いて行っているが、このようなプラズマに限られるものではなく、He単独でも良いし、Ar、N2 O、CO2 、或いは、H2 を単独で、或いは他のガス種と混合して用いても良いものである。 In the above embodiment, the plasma treatment for reducing nitrogen is performed using O 2 + He plasma. However, the plasma treatment is not limited to such plasma, and He alone or Ar, N 2 may be used. O, CO 2 , or H 2 may be used alone or mixed with other gas species.

例えば、HDP−CVD装置として平行平板型の装置を用いた場合、成膜時の酸化ガス種はシャワーヘッド内でのSiH4 との反応を抑制するためには反応性の低いN2 O或いはCO2 を用いることになるので、プラズマ処理もN2 O或いはCO2 を用いることにより、必要とするガス種の増加を抑制することができる。 For example, when a parallel plate type apparatus is used as the HDP-CVD apparatus, the oxidizing gas species at the time of film formation is low reactive N 2 O or CO in order to suppress the reaction with SiH 4 in the shower head. Since 2 is used, the plasma treatment can also suppress the increase in required gas species by using N 2 O or CO 2 .

なお、He、Ar、或いは、H2 等の非酸化性プラズマを用いてプラズマ処理する場合には、Nは低減するものの、ライナー膜がSiの還元されるので、プラズマ処理後に酸化処理を行う必要があり、特に、同じ、CVD装置内において連続的にプラズマ酸化することが望ましい。
また、H2 を用いた場合には、プラズマエッチング作用で多少減膜するので、減膜分を見込んでライナー窒化膜を成膜する必要がある。
When plasma processing is performed using non-oxidizing plasma such as He, Ar, or H 2 , although N is reduced, the liner film is reduced by Si, so that it is necessary to perform oxidation after the plasma processing. In particular, it is desirable to perform plasma oxidation continuously in the same CVD apparatus.
In addition, when H 2 is used, the film is slightly reduced by the plasma etching action, so it is necessary to form a liner nitride film in anticipation of the reduced film thickness.

また、上記の実施例においては、プラズマ照射と埋込酸化膜の堆積を同じプラズマCVD装置内において連続して行っているが、必ずしも同じ装置内で行う必要はなく、例えば、ゲートバルブを介してプラズマCVD装置に接続されたプラズマ処理装置を用いて行っても良いものである。   In the above embodiment, the plasma irradiation and the deposition of the buried oxide film are continuously performed in the same plasma CVD apparatus. However, it is not necessarily performed in the same apparatus, for example, via a gate valve. It may be performed using a plasma processing apparatus connected to a plasma CVD apparatus.

また、上記の実施例においては、層間絶縁膜をTEOSプラズマ酸化膜で構成しているが、PSG、BPSG、或いは、高密度プラズマ酸化膜等の他の酸化膜を用いても良いものである。   In the above embodiment, the interlayer insulating film is composed of the TEOS plasma oxide film, but other oxide films such as PSG, BPSG, or a high density plasma oxide film may be used.

ここで再び図1を参照して、本発明の詳細な特徴を改めて説明する。
再び、図1参照
(付記1) 半導体基板1表面上に研磨のストッパ層2を形成する工程、前記ストッパ層2を介して半導体基板1に素子分離用トレンチ3を形成する工程、少なくとも前記トレンチ3の内表面を覆うようにSiN膜或いはSiON膜からなる窒化系ライナー膜4を堆積する工程、プラズマ照射によって前記トレンチ3内に堆積した窒化系ライナー膜中の窒素を減少させたのち、酸化膜7を堆積させてトレンチ3を埋め込む工程、及び、前記ストッパ層2上に堆積した前記酸化膜7を研磨により除去する工程を備えたことを特徴とする半導体装置の製造方法。
(付記2) 上記プラズマ照射により、上記窒化系ライナー膜4中の窒素を膜中のSiに対する原子比で30%以下にしたことを特徴とする付記1記載の半導体装置の製造方法。
(付記3) 上記プラズマ照射工程が、O2 、N2 O、He、Ar、CO2 、或いは、H2 いずれかまたはこれらの組合せのガスのプラズマ5を照射する工程であることを特徴とする付記1または2に記載の半導体装置の製造方法。
(付記4) 上記酸化膜7の堆積工程において、プラズマ気相化学成長法を用いたことを特徴とする付記1乃至3のいずれか1に記載の半導体装置の製造方法。
(付記5) 上記プラズマ気相化学成長法が、高密度プラズマ気相化学成長法であることを特徴とする付記4半導体装置の製造方法。
(付記6) 上記プラズマ照射工程を、プラズマ気相化学成長装置内において行うことを特徴とする付記4または5に記載の半導体装置の製造方法。
(付記7) 上記プラズマ照射工程の後に、プラズマ酸化処理を行うことを特徴とする付記6記載の半導体装置の製造方法。
The detailed features of the present invention will be described again with reference to FIG. 1 again.
Again see Figure 1
(Additional remark 1) The process of forming the stopper layer 2 of grinding | polishing on the semiconductor substrate 1 surface, the process of forming the element isolation trench 3 in the semiconductor substrate 1 through the said stopper layer 2, the inner surface of the said trench 3 is covered at least In this way, the nitride liner film 4 made of SiN film or SiON film is deposited, the nitrogen in the nitride liner film deposited in the trench 3 is reduced by plasma irradiation, and then the oxide film 7 is deposited to form the trench. 3. A method for manufacturing a semiconductor device, comprising: a step of embedding 3 and a step of removing the oxide film 7 deposited on the stopper layer 2 by polishing.
(Supplementary note 2) The method of manufacturing a semiconductor device according to supplementary note 1, wherein nitrogen in the nitride-based liner film 4 is reduced to 30% or less by an atomic ratio with respect to Si in the film by the plasma irradiation.
(Supplementary Note 3) The plasma irradiation step, O 2, N 2 O, He, Ar, CO 2, or, characterized in that it is a step of irradiating the plasma 5 of H 2 any or a combination thereof gases A method for manufacturing a semiconductor device according to appendix 1 or 2.
(Additional remark 4) The manufacturing method of the semiconductor device of any one of additional remark 1 thru | or 3 using the plasma vapor phase chemical growth method in the deposition process of the said oxide film 7. FIG.
(Supplementary note 5) The method for producing a semiconductor device according to supplementary note 4, wherein the plasma vapor chemical growth method is a high-density plasma vapor chemical growth method.
(Additional remark 6) The said plasma irradiation process is performed within a plasma vapor phase chemical growth apparatus, The manufacturing method of the semiconductor device of Additional remark 4 or 5 characterized by the above-mentioned.
(Additional remark 7) The manufacturing method of the semiconductor device of Additional remark 6 characterized by performing a plasma oxidation process after the said plasma irradiation process.

本発明の活用例としては、MOS型集積回路装置が典型的なものであるが、バイポーラ型集積回路装置にも適用されるものである。   As a practical example of the present invention, a MOS type integrated circuit device is typical, but it is also applied to a bipolar type integrated circuit device.

本発明の原理的構成の説明図である。It is explanatory drawing of the fundamental structure of this invention. 本発明の実施例1のMOSFETの途中までの製造工程の説明図である。It is explanatory drawing of the manufacturing process to the middle of MOSFET of Example 1 of this invention. 本発明の実施例1のMOSFETの図2以降の途中までの製造工程の説明図である。It is explanatory drawing of the manufacturing process to the middle after FIG. 2 of MOSFET of Example 1 of this invention. 本発明の実施例1のMOSFETの図3以降の製造工程の説明図である。It is explanatory drawing of the manufacturing process after FIG. 3 of MOSFET of Example 1 of this invention. ライナーSiN膜中の窒素濃度減少の処理条件依存性の説明図である。It is explanatory drawing of process condition dependence of the nitrogen concentration reduction | decrease in a liner SiN film | membrane. RFパワーを4500Wとした場合の素子形成領域のTEM像(模写図)である。It is a TEM image (copy figure) of an element formation area when RF power is 4500W. RFパワーを5500Wとした場合の素子形成領域のTEM像(模写図)である。It is a TEM image (copy figure) of an element formation area when RF power is 5500W. RFパワーを4500Wとした場合のストッパ層除去及びその後の酸化膜エッチング後のTEM像(模写図)である。It is a TEM image (copy figure) after stopper layer removal and subsequent oxide film etching when the RF power is 4500 W. 実施例1で作成したMOSFETの逆狭チャネル効果の説明図である。It is explanatory drawing of the reverse narrow channel effect of MOSFET produced in Example 1. FIG. 従来のSTI構造の途中までの形成工程の説明図である。It is explanatory drawing of the formation process to the middle of the conventional STI structure. 従来のSTI構造の図10以降の途中までの形成工程の説明図である。It is explanatory drawing of the formation process until the middle of FIG. 10 after the conventional STI structure. 従来のSTI構造の図11以降の形成工程の説明図である。It is explanatory drawing of the formation process after FIG. 11 of the conventional STI structure. thのチャネル幅W依存性の説明図である。It is explanatory drawing of the channel width W dependence of Vth .

1 半導体基板
2 ストッパ層
3 トレンチ
4 窒化系ライナー膜
5 プラズマ
6 プラズマ処理ライナー膜
7 酸化膜
11 p型シリコン基板
12 バッファ酸化膜
13 ストッパ層
14 レジストパターン
15 開口部
16 トレンチ
17 ライナー酸化膜
18 ライナーSiN膜
19 置換酸化膜
20 プラズマ酸化膜
21 埋込酸化膜
22 スルー酸化膜
23 p型ウエル領域
24 ゲート酸化膜
25 ゲート電極
26 n型エクステンション領域
27 サイドウォール
28 n+ 型ソース・ドレイン領域
29 Coシリサイド膜
30 Coシリサイド膜
31 エッチングストッパー層
32 層間絶縁膜
33 グルー層
34 W層
35 プラグ
41 Si素子形成領域
42 ライナーSiO2
43 ライナーSiN膜
44 バッファ酸化膜
45 ストッパ層
46 埋込酸化膜
47 ディボット
51 シリコン基板
52 バッファ酸化膜
53 SiN膜
54 レジストパターン
55 トレンチ
56 ライナー酸化膜
57 SiO2
58 犠牲SiO2
59 ウエル領域
60 ディボット
61 ゲート絶縁膜
62 多結晶シリコン膜
63 ゲート電極
64 エクステンション領域
65 サイドウォール
66 ソース・ドレイン領域
67 層間絶縁膜
68 プラグ
DESCRIPTION OF SYMBOLS 1 Semiconductor substrate 2 Stopper layer 3 Trench 4 Nitride-type liner film 5 Plasma 6 Plasma processing liner film 7 Oxide film 11 P-type silicon substrate 12 Buffer oxide film 13 Stopper layer 14 Resist pattern 15 Opening 16 Trench 17 Liner oxide film 18 Liner SiN Film 19 Replacement oxide film 20 Plasma oxide film 21 Buried oxide film 22 Through oxide film 23 P-type well region 24 Gate oxide film 25 Gate electrode 26 n-type extension region 27 Side wall 28 n + type source / drain region 29 Co silicide film 30 Co silicide film 31 Etching stopper layer 32 Interlayer insulating film 33 Glue layer 34 W layer 35 Plug 41 Si element formation region 42 Liner SiO 2 film 43 Liner SiN film 44 Buffer oxide film 45 Stopper layer 46 Buried oxide film 47 Divot G 51 Silicon substrate 52 Buffer oxide film 53 SiN film 54 Resist pattern 55 Trench 56 Liner oxide film 57 SiO 2 film 58 Sacrificial SiO 2 film 59 Well region 60 Divot 61 Gate insulating film 62 Polycrystalline silicon film 63 Gate electrode 64 Extension region 65 Side wall 66 Source / drain region 67 Interlayer insulating film 68 Plug

Claims (5)

半導体基板表面上に研磨のストッパ層を形成する工程、前記ストッパ層を介して半導体基板に素子分離用トレンチを形成する工程、少なくとも前記トレンチの内表面を覆うようにSiN膜或いはSiON膜からなる窒化系ライナー膜を堆積する工程、プラズマ照射によって前記トレンチ内に堆積した窒化系ライナー膜中の窒素を減少させたのち、酸化膜を堆積させてトレンチを埋め込む工程、及び、前記ストッパ層上に堆積した前記酸化膜を研磨により除去する工程を備えたことを特徴とする半導体装置の製造方法。 A step of forming a polishing stopper layer on the surface of the semiconductor substrate, a step of forming an element isolation trench in the semiconductor substrate via the stopper layer, and a nitridation made of a SiN film or a SiON film so as to cover at least the inner surface of the trench Depositing a base liner film, reducing nitrogen in the nitride liner film deposited in the trench by plasma irradiation, depositing an oxide film and embedding the trench, and depositing on the stopper layer A method of manufacturing a semiconductor device, comprising a step of removing the oxide film by polishing. 上記プラズマ照射工程が、O2 、N2 O、He、Ar、CO2 、或いは、H2 いずれかまたはこれらの組合せのガスのプラズマを照射する工程であることを特徴とする請求項1記載の半導体装置の製造方法。 2. The plasma irradiation step according to claim 1, wherein the plasma irradiation step is a step of irradiating plasma of a gas of any one of O 2 , N 2 O, He, Ar, CO 2 , H 2 , or a combination thereof. A method for manufacturing a semiconductor device. 上記酸化膜の堆積工程において、プラズマ気相化学成長法を用いたことを特徴とする請求項1または2に記載の半導体装置の製造方法。 3. The method of manufacturing a semiconductor device according to claim 1, wherein plasma oxide chemical growth is used in the oxide film deposition step. 上記プラズマ気相化学成長法が、高密度プラズマ気相化学成長法であることを特徴とする請求項3半導体装置の製造方法。 4. The method of manufacturing a semiconductor device according to claim 3, wherein the plasma vapor chemical growth method is a high density plasma vapor chemical growth method. 上記プラズマ照射工程を、プラズマ気相化学成長装置内において行うことを特徴とする請求項3または4に記載の半導体装置の製造方法。 5. The method of manufacturing a semiconductor device according to claim 3, wherein the plasma irradiation step is performed in a plasma vapor phase chemical growth apparatus.
JP2005231738A 2005-08-10 2005-08-10 Semiconductor device manufacturing method Pending JP2007048941A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005231738A JP2007048941A (en) 2005-08-10 2005-08-10 Semiconductor device manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005231738A JP2007048941A (en) 2005-08-10 2005-08-10 Semiconductor device manufacturing method

Publications (1)

Publication Number Publication Date
JP2007048941A true JP2007048941A (en) 2007-02-22

Family

ID=37851524

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005231738A Pending JP2007048941A (en) 2005-08-10 2005-08-10 Semiconductor device manufacturing method

Country Status (1)

Country Link
JP (1) JP2007048941A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244059A (en) * 2007-03-27 2008-10-09 Renesas Technology Corp Manufacturing method of semiconductor device
CN102446742A (en) * 2011-08-29 2012-05-09 上海华力微电子有限公司 Method for preventing photoresist from losing efficacy in double-stress silicon nitride process
US8368169B2 (en) 2009-11-12 2013-02-05 Samsung Electronics Co., Ltd. Semiconductor device having a device isolation structure
CN104217944A (en) * 2014-09-15 2014-12-17 上海华力微电子有限公司 Manufacture method of semiconductor device
US9136227B2 (en) 2010-03-08 2015-09-15 Ps4 Luxco S.A.R.L. Semiconductor device with buried bit line
KR101779097B1 (en) * 2009-07-02 2017-09-19 주식회사 원익아이피에스 Method of mamufacturing a semiconductor device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208609A (en) * 1999-01-11 2000-07-28 Samsung Electronics Co Ltd Trench element solation method for semiconductor element and semiconductor element using the same
JP2002222855A (en) * 2000-12-01 2002-08-09 Samsung Electronics Co Ltd Semiconductor device having shallow trench isolating structure, and its manufacturing method
JP2003045957A (en) * 2001-05-18 2003-02-14 Samsung Electronics Co Ltd Method of isolating elements of semiconductor device
JP2004311487A (en) * 2003-04-02 2004-11-04 Hitachi Ltd Method of manufacturing semiconductor device
JP2005150637A (en) * 2003-11-19 2005-06-09 Canon Inc Treatment method and apparatus
JP2006032892A (en) * 2004-07-13 2006-02-02 Hynix Semiconductor Inc Element isolation film manufacturing method of semiconductor device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208609A (en) * 1999-01-11 2000-07-28 Samsung Electronics Co Ltd Trench element solation method for semiconductor element and semiconductor element using the same
JP2002222855A (en) * 2000-12-01 2002-08-09 Samsung Electronics Co Ltd Semiconductor device having shallow trench isolating structure, and its manufacturing method
JP2003045957A (en) * 2001-05-18 2003-02-14 Samsung Electronics Co Ltd Method of isolating elements of semiconductor device
JP2004311487A (en) * 2003-04-02 2004-11-04 Hitachi Ltd Method of manufacturing semiconductor device
JP2005150637A (en) * 2003-11-19 2005-06-09 Canon Inc Treatment method and apparatus
JP2006032892A (en) * 2004-07-13 2006-02-02 Hynix Semiconductor Inc Element isolation film manufacturing method of semiconductor device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244059A (en) * 2007-03-27 2008-10-09 Renesas Technology Corp Manufacturing method of semiconductor device
KR101779097B1 (en) * 2009-07-02 2017-09-19 주식회사 원익아이피에스 Method of mamufacturing a semiconductor device
US8368169B2 (en) 2009-11-12 2013-02-05 Samsung Electronics Co., Ltd. Semiconductor device having a device isolation structure
US9136227B2 (en) 2010-03-08 2015-09-15 Ps4 Luxco S.A.R.L. Semiconductor device with buried bit line
CN102446742A (en) * 2011-08-29 2012-05-09 上海华力微电子有限公司 Method for preventing photoresist from losing efficacy in double-stress silicon nitride process
CN104217944A (en) * 2014-09-15 2014-12-17 上海华力微电子有限公司 Manufacture method of semiconductor device

Similar Documents

Publication Publication Date Title
US7208812B2 (en) Semiconductor device having STI without divot and its manufacture
JP4984558B2 (en) Manufacturing method of semiconductor device
US7442620B2 (en) Methods for forming a trench isolation structure with rounded corners in a silicon substrate
US6261921B1 (en) Method of forming shallow trench isolation structure
US7037803B2 (en) Manufacture of semiconductor device having STI and semiconductor device manufactured
JP4813778B2 (en) Semiconductor device
US7902628B2 (en) Semiconductor device with trench isolation structure
JP2005251973A (en) Semiconductor device and manufacturing method thereof
JP2006269789A (en) Semiconductor device and manufacturing method thereof
KR20020054895A (en) Method for fabricating trench isolation having sidewall oxide layers with a different thickness
JP2004022902A (en) Method for manufacturing semiconductor device
JP2009021569A (en) Semiconductor device having sti structure and method of manufacturing the same
JP2003017555A (en) Semiconductor integrated circuit device and method of manufacturing same
JP2007048941A (en) Semiconductor device manufacturing method
JP2004047599A (en) Semiconductor device and its manufacture
JP2006216815A (en) Formation of field oxide film
JP4529025B2 (en) Manufacturing method of semiconductor device
JP2003229577A (en) Manufacturing method for semiconductor device
KR100691016B1 (en) Method for forming isolation layer of semiconductor device
KR20030088235A (en) Method for forming isolation layer of semiconductor device
JP2003332413A (en) Semiconductor element isolation layer and method for forming insulated gate transistor
KR100920048B1 (en) Method of manufacturing semiconductor device
KR100587065B1 (en) thin layer in semiconductor device, and method for forming the same, and method for forming an isolation and a gate electrode using the same
JP2005222977A (en) Method for manufacturing semiconductor device
JP2007142156A (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
A621 Written request for application examination

Effective date: 20080605

Free format text: JAPANESE INTERMEDIATE CODE: A621

A711 Notification of change in applicant

Effective date: 20080730

Free format text: JAPANESE INTERMEDIATE CODE: A712

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110125

A131 Notification of reasons for refusal

Effective date: 20110208

Free format text: JAPANESE INTERMEDIATE CODE: A131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110309

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110802

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20110915