JP2007019529A - Device for forming semiconductor thin film - Google Patents

Device for forming semiconductor thin film Download PDF

Info

Publication number
JP2007019529A
JP2007019529A JP2006228727A JP2006228727A JP2007019529A JP 2007019529 A JP2007019529 A JP 2007019529A JP 2006228727 A JP2006228727 A JP 2006228727A JP 2006228727 A JP2006228727 A JP 2006228727A JP 2007019529 A JP2007019529 A JP 2007019529A
Authority
JP
Japan
Prior art keywords
thin film
semiconductor thin
substrate
film forming
forming apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006228727A
Other languages
Japanese (ja)
Inventor
Hiroshi Tanabe
浩 田邉
Tomoyuki Akashi
友行 明石
Yoshi Watabe
嘉 渡部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
NEC Corp
Sumitomo Heavy Industries Ltd
Original Assignee
Canon Anelva Corp
NEC Corp
Sumitomo Heavy Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp, NEC Corp, Sumitomo Heavy Industries Ltd filed Critical Canon Anelva Corp
Priority to JP2006228727A priority Critical patent/JP2007019529A/en
Publication of JP2007019529A publication Critical patent/JP2007019529A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a device for forming a semiconductor thin film which projection-exposes an exposure pattern formed on a photo-mask onto a semiconductor thin film on a substrate mounted on a substrate stage, and for upgrading the quality of a given region of the semiconductor thin film. <P>SOLUTION: A device for forming a semiconductor thin film projection-exposes an exposure pattern formed on a photo-mask onto a semiconductor thin film on a substrate mounted on a substrate stage, and upgrades the quality of a given region of the semiconductor thin film. The exposure pattern is sequentially scanned by driving separately the photo-mask or the substrate stage. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、結晶性シリコン薄膜トランジスタに用いるシリコン薄膜及び電界効果型トランジスタに応用するための良質な半導体−絶縁膜界面を形成する装置に関する。また本発明は、パルスレーザ光を用いたシリコンゲルマニウム(SiGe)、シリコンカーバイド(SiC)等のシリコン化合物、GaAs、GaN、CuInSe2、ZnSe等の化合物半導体等の半導体薄膜の製造装置に関する。さらに本発明は、上記半導体薄膜あるいは電界効果型薄膜トランジスタにより構成されるディスプレイ、センサー等の駆動素子または駆動回路を製造するための装置に関する。 The present invention relates to a silicon thin film used for a crystalline silicon thin film transistor and an apparatus for forming a high-quality semiconductor-insulating film interface for application to a field effect transistor. The present invention also relates to an apparatus for manufacturing a semiconductor thin film such as a silicon compound such as silicon germanium (SiGe) or silicon carbide (SiC) using a pulsed laser beam, or a compound semiconductor such as GaAs, GaN, CuInSe 2 , or ZnSe. Furthermore, the present invention relates to an apparatus for manufacturing a driving element or a driving circuit such as a display or a sensor constituted by the semiconductor thin film or the field effect thin film transistor.

ガラス基板上に薄膜トランジスタ(TFT)を形成する代表的な技術として、水素化アモルファスシリコンTFT技術及び、多結晶シリコンTFT技術が挙げられる。前者は作製プロセス最高温度300℃程度であり、移動度1cm2/Vsec程度のキャリア移動度を実現している。この技術は、アクティブマトリクス型(AM)液晶ディスプレイ(LCD)における各画素のスイッチングトランジスタとして用いられ、画面周辺に配置されたドライバー集積回路(IC、単結晶シリコン基板上に形成されたLSI)によって駆動される。各画素毎にスイッチング素子TFTがついているため、周辺ドライバ回路から液晶駆動用の電気信号を送るパッシブマトリクス型LCDに比べ、クロストーク等が低減され良好な画像品質を得られるという特徴を有する。一方後者は、例えば石英基板を用い1000℃程度のLSIと類似した高温プロセスを用いることで、キャリア移動度30〜100cm2/Vsecの性能を得ることができる。このような高いキャリア移動度の実現は、たとえば液晶ディスプレイに応用した場合、各画素を駆動する画素TFTと同時に、周辺駆動回路部までもが同一ガラス基板上に同時に形成することができるという製造プロセスコストの低減、小型化に関する利点がある。小型化、高解像度化によりAM−LCD基板と周辺ドライバー集積回路の接続ピッチが狭小化し、タブ接続やワイヤボンディング法では対処しきれないからである。ところが、多結晶シリコンTFT技術において、上述のような高温プロセスを用いる場合、前者のプロセスが用いることができる安価な低軟化点ガラスを用いることができない。そこで多結晶シリコンTFTプロセスの温度低減が必要になっており、レーザ結晶化技術を応用した多結晶シリコン膜の低温形成技術が研究・開発されている。 Typical techniques for forming a thin film transistor (TFT) on a glass substrate include a hydrogenated amorphous silicon TFT technique and a polycrystalline silicon TFT technique. The former has a maximum manufacturing process temperature of about 300 ° C. and realizes a carrier mobility of about 1 cm 2 / Vsec. This technology is used as a switching transistor for each pixel in an active matrix type (AM) liquid crystal display (LCD), and is driven by a driver integrated circuit (IC, LSI formed on a single crystal silicon substrate) arranged around the screen. Is done. Since each pixel is provided with a switching element TFT, it has a feature that crosstalk and the like can be reduced and good image quality can be obtained as compared with a passive matrix LCD that transmits an electric signal for driving liquid crystal from a peripheral driver circuit. On the other hand, the latter can obtain a carrier mobility of 30 to 100 cm 2 / Vsec by using a high temperature process similar to an LSI of about 1000 ° C. using a quartz substrate, for example. The realization of such high carrier mobility is a manufacturing process in which, for example, when applied to a liquid crystal display, the pixel TFT for driving each pixel and the peripheral drive circuit section can be simultaneously formed on the same glass substrate. There are advantages relating to cost reduction and downsizing. This is because the connection pitch between the AM-LCD substrate and the peripheral driver integrated circuit is narrowed by downsizing and high resolution, and the tab connection or wire bonding method cannot cope with it. However, in the polycrystalline silicon TFT technology, when the high temperature process as described above is used, an inexpensive low softening point glass that can be used by the former process cannot be used. Therefore, it is necessary to reduce the temperature of the polycrystalline silicon TFT process, and a technique for forming a polycrystalline silicon film at a low temperature by applying a laser crystallization technique is being researched and developed.

一般に、これらのレーザ結晶化は図1に示すような構成のパルスレーザ照射装置により実現される。パルスレーザ光源1101から供給されるレーザ光はミラー1102,1103,1105及び空間的な強度の均一化を行うべく設置されるビームホモジナイザ1104等の光学素子群によって規定される光路 1106を介し、被照射体であるガラス基板1109上のシリコン薄膜1107に到達する。一般にガラス基板に比べ1照射範囲が小さいため、xyステージ1109 上のガラス基板を移動させることにより基板上の任意の位置へのレーザ照射が行われている。xyステージの代わりに、上述の光学素子群を移動させることや、光学素子群とステージを組み合わせる方法も可能である。   In general, these laser crystallizations are realized by a pulse laser irradiation apparatus having a configuration as shown in FIG. Laser light supplied from the pulsed laser light source 1101 is irradiated through an optical path 1106 defined by mirrors 1102, 1103, 1105 and an optical element group such as a beam homogenizer 1104 installed to make the spatial intensity uniform. The silicon thin film 1107 on the glass substrate 1109 which is a body is reached. Since one irradiation range is generally smaller than that of a glass substrate, laser irradiation is performed on an arbitrary position on the substrate by moving the glass substrate on the xy stage 1109. Instead of the xy stage, it is possible to move the above-described optical element group or to combine the optical element group and the stage.

非特許文献1のFigure 6に、基板がx-方向ステージに、ホモジナイザがy-方向ステージに載置されることが示されている。   FIG. 6 of Non-Patent Document 1 shows that the substrate is placed on the x-direction stage and the homogenizer is placed on the y-direction stage.

レーザ照射が真空チャンバ内で真空中あるいは高純度ガス雰囲気下で行われることもある。また、必要に応じてシリコン薄膜付きガラス基板入りカセット 1110と基板搬送機構 1111 を有し、機械的にカセットとステージ間の基板の取りだし収納を行うこともできる。   Laser irradiation may be performed in a vacuum chamber or in a high purity gas atmosphere in a vacuum chamber. In addition, if necessary, it has a cassette 1110 containing a glass substrate with a silicon thin film and a substrate transport mechanism 1111, and the substrate can be mechanically taken out and stored between the cassette and the stage.

また、短波長パルスレーザ光を照射し非晶質基板上の非晶質シリコン薄膜を結晶化し、薄膜トランジスタに応用する技術が特許文献1に開示されている。本手法によれば基板全体を高温にすることなく非晶質シリコンの結晶化が可能であるため、液晶ディスプレイ等の大面積かつガラス等の安価な基板上への半導体素子、半導体集積回路を作製できるという利点がある。ところが上記特許文献1においても述べられているように、短波長レーザによる非晶質シリコン薄膜の結晶化には50 - 500 mJ/cm2程度の照射強度が必要である。一方、現在一般に入手できるパルスレーザ装置の発光出力は最大1 J/pulse程度であり、単純換算によっても一度に照射できる面積は2 - 20 cm2程度にすぎない。したがって、たとえば基板サイズ47 x 37 cm基板全面をレーザ結晶化するためには、少なくとも87 - 870箇所にレーザ照射が必要となる。1m角というように、基板サイズが拡大すれば、同様に照射箇所数が増加する。一般に、これらのレーザ結晶化は上述のように図1に示すような構成のパルスレーザ照射装置により実現される。 Further, Patent Document 1 discloses a technique in which an amorphous silicon thin film on an amorphous substrate is crystallized by irradiating a short wavelength pulse laser beam and applied to a thin film transistor. According to this method, it is possible to crystallize amorphous silicon without increasing the temperature of the entire substrate. Therefore, a semiconductor element or a semiconductor integrated circuit is manufactured on a large area such as a liquid crystal display and an inexpensive substrate such as glass. There is an advantage that you can. However, as described in Patent Document 1, the irradiation intensity of about 50 to 500 mJ / cm 2 is required for crystallization of the amorphous silicon thin film by the short wavelength laser. On the other hand, the light emission output of currently available pulse laser devices is about 1 J / pulse at maximum, and the area that can be irradiated at one time is only about 2 to 20 cm 2 even by simple conversion. Therefore, for example, in order to laser-crystallize the entire substrate size of 47 × 37 cm, it is necessary to irradiate at least 87 to 870 locations with laser. If the substrate size is increased, such as a 1 m square, the number of irradiation points is similarly increased. In general, these laser crystallizations are realized by the pulse laser irradiation apparatus having the structure shown in FIG. 1 as described above.

上記の方法で大面積基板上に薄膜半導体素子群を均一に形成するためには、特許文献2に開示されているような、素子群をレーザのビームサイズよりも小さく分割し、ステップアンドリピートにより数パルス照射+照射領域の移動+数パルス照射+照射領域の移動+…・を繰り返す方法が有効であることが知られている。図2(2)に示すように、レーザの発振とステージ(すなわち基板もしくはビーム)の移動とが交互に行われる方法である。ところが、本手法によっても現在入手しうる発振強度均一性±5〜10%(連続発振時)程度のパルスレーザ装置を用い、たとえば1パルス/場所〜20パルス/場所程度の照射を繰り返す場合、発振強度バラツキが±5〜10%を超え、結果として得られる多結晶シリコン薄膜並びに多結晶シリコン薄膜トランジスタ特性が十分な均一性を有さないという問題があった。特にスパイキングと呼ばれる、レーザ発振初期の放電の不安定に起因した、強光あるいは弱光の発生が不均一化の問題となっている。この補正を行うべく、積算強度結果により次の発振時の印加電圧を制御するような方法では、スパイキングの発生は抑制できるもののかえって弱光を発振してしまうという問題があった。すなわち、図3に示すように、照射時間と非発振時間とが交互に連続する場合、各照射時間に発振される第1のパルス強度が、最も不安定でありバラツキやすく、また照射箇所によって照射強度履歴が異なるため、基板面内でのトランジスタ素子及び薄膜集積回路の十分な均一性が得られないという問題があった。このようなスパイキングの回避方法としては、図2(1)に示すように、レーザ発振を、素子形成領域への照射開始以前から開始することにより回避する方法が知られているが、図2(2)に示すようなレーザの発振とステージの移動とが断続的に繰り返す場合には応用できないという問題があった。さらにこれらの問題を回避すべく、特許文献3ではパルスレーザ光源を連続発振させると共にステージの移動期間には光遮蔽装置を用いて基板への照射を防ぐ方法が提案されている。すなわち、図2(3)に示すようにレーザをある周波数で連続発振させ、所望の照射位置へのステージの移動と光路の遮蔽を同期させることによって、強度の安定したレーザ光を所望の照射位置へ照射を可能にした。ところが、本方法によればレーザビームの安定した基板への照射が可能になるものの、多結晶シリコン薄膜形成に寄与することのない無駄なレーザ発振が増え、高価なレーザ光源や励起ガスの寿命に対する多結晶シリコン薄膜の生産性及び、レーザ発振に要する電力等に対する多結晶シリコン薄膜の生産効率が低下するため、生産コストの上昇を招くという問題があった。また、レーザが露光される基板も、照射強度ばらつきによって所望の値に比べ過度な強光が照射されると、基板ダメージが生じる。LCD等のイメージングデバイスでは基板を透過する光が、基板上のダメージを受けた領域において散乱等を引き起こし画像品質の低下が生じるという問題があった。   In order to uniformly form a thin film semiconductor element group on a large-area substrate by the above method, the element group is divided smaller than the laser beam size as disclosed in Patent Document 2, and step and repeat are performed. It is known that a method of repeating several pulse irradiation + movement of irradiation region + several pulse irradiation + movement of irradiation region +... Is effective. As shown in FIG. 2B, this is a method in which laser oscillation and stage (ie, substrate or beam) movement are performed alternately. However, when a pulsed laser apparatus having an oscillation intensity uniformity of about ± 5 to 10% (during continuous oscillation), which is currently available by this method, is used, for example, when irradiation of about 1 pulse / location to about 20 pulses / location is repeated, oscillation occurs. There was a problem that the intensity variation exceeded ± 5 to 10%, and the resulting polycrystalline silicon thin film and polycrystalline silicon thin film transistor characteristics did not have sufficient uniformity. In particular, the generation of strong light or weak light, which is called spiking, due to instability of discharge at the early stage of laser oscillation is a problem of non-uniformity. In order to perform this correction, the method of controlling the applied voltage at the next oscillation based on the integrated intensity result has a problem in that weak light is oscillated, although spiking can be suppressed. That is, as shown in FIG. 3, when the irradiation time and the non-oscillation time are alternately continued, the first pulse intensity oscillated at each irradiation time is the most unstable and likely to vary, and the irradiation is performed depending on the irradiation location. Since the strength histories are different, there is a problem that sufficient uniformity of the transistor elements and the thin film integrated circuit in the substrate surface cannot be obtained. As a method for avoiding such spiking, as shown in FIG. 2A, there is known a method for avoiding laser oscillation by starting laser irradiation before the start of irradiation of the element formation region. When laser oscillation and stage movement as shown in (2) are repeated intermittently, there is a problem that it cannot be applied. Furthermore, in order to avoid these problems, Patent Document 3 proposes a method of continuously oscillating a pulse laser light source and preventing irradiation of the substrate using a light shielding device during the stage movement period. That is, as shown in FIG. 2 (3), the laser is continuously oscillated at a certain frequency, and the movement of the stage to the desired irradiation position and the shielding of the optical path are synchronized, so that the laser beam having a stable intensity can be applied to the desired irradiation position. Enabled irradiation. However, according to this method, although it is possible to irradiate a stable substrate with a laser beam, useless laser oscillation that does not contribute to the formation of a polycrystalline silicon thin film increases, and the lifetime of an expensive laser light source or excitation gas is reduced. Since the productivity of the polycrystalline silicon thin film and the production efficiency of the polycrystalline silicon thin film with respect to the power required for laser oscillation and the like are lowered, there is a problem that the production cost is increased. Further, the substrate to which the laser is exposed also causes substrate damage when excessively strong light is irradiated as compared with a desired value due to variations in irradiation intensity. In an imaging device such as an LCD, there is a problem in that light transmitted through the substrate causes scattering or the like in a damaged area on the substrate, resulting in a decrease in image quality.

光マスク上のパターンをシリコン薄膜上に縮小投影しレーザ結晶化する技術は、非特許文献2及び、非特許文献3に開示されている。本文献によれば、308nm excimer laser, variable-energy attenuator, variable-focus field lens, patterned-mask, two-element imaging lens, sub-micrometer-precision translation stageを用いて、1:5程度の縮小投影を行うことによって、μmオーダのビームサイズとμmオーダの基板ステージの移動ピッチを実現している。ところが本方法を上記のような大型の基板処理に用いた場合、光マスク上に照射されるレーザビームが光源に依存した空間的な強度プロファイルを持つため、例えばマスク上の中心と周辺とを透過した露光パターンに致命的な強度分布が生じ、所望の均一性を持った結晶性シリコン薄膜を得ることができないという問題があった。さらに、波長の短い紫外光を縮小投影するためビームの焦点深度が小さく、基板のそり、たわみによる照射深度ずれが生じやすいという問題があった。また、基板が大きくなるにつれてステージの機械的精度を確保することが困難であり、ステージの傾きや移動時のステージ上での基板のずれが、所望のレーザ照射条件を妨げるという問題もあった。   Non-patent document 2 and non-patent document 3 disclose techniques for reducing and projecting a pattern on an optical mask onto a silicon thin film for laser crystallization. According to this document, a reduced projection of about 1: 5 using a 308 nm excimer laser, variable-energy attenuator, variable-focus field lens, patterned-mask, two-element imaging lens, sub-micrometer-precision translation stage. By doing so, a beam size of the order of μm and a moving pitch of the substrate stage of the order of μm are realized. However, when this method is used for large substrate processing as described above, the laser beam irradiated on the optical mask has a spatial intensity profile that depends on the light source. There is a problem that a critical intensity distribution is generated in the exposed pattern, and a crystalline silicon thin film having a desired uniformity cannot be obtained. Furthermore, since the ultraviolet light having a short wavelength is reduced and projected, the depth of focus of the beam is small, and there is a problem that the irradiation depth shift is likely to occur due to the warp or deflection of the substrate. Further, as the substrate becomes larger, it is difficult to ensure the mechanical accuracy of the stage, and there is a problem that the tilt of the stage and the displacement of the substrate on the stage during the movement hinder the desired laser irradiation conditions.

さて上記のようなレーザ照射を行う際に、複数のパルスをある遅延時間をもたせて照射する方法が非特許文献4に開示されている。これによれば、レーザ再結晶化プロセスにおける溶融シリコンの結晶化固化速度は1m/sec以上であり、良好な結晶成長を得るためには、固化速度の低減が必要である。固化が完了した直後に第2のレーザパルスを照射することにより第2の照射によってより固化速度の小さな再結晶化過程を得られるというものである。さて、図4に示すようなシリコンの温度変化(時間履歴曲線)によれば、レーザエネルギー(例えば図5に示す強度パルス)の照射とともにシリコンの温度が上昇し、出発材料がa−Siの場合、a−Siの融点を経た後さらに温度が上昇、エネルギーの供給が温度上昇に必要な値を下回ると、冷却が始まる。結晶Siの凝固点において、凝固時間を経て固化が終了した後、雰囲気温度まで冷却される。ここで、シリコンの固化がシリコン−基板界面を起点に膜厚方向に進むとすると、上記固化速度の平均値は以下のような式で表される。   A method of irradiating a plurality of pulses with a certain delay time when performing laser irradiation as described above is disclosed in Non-Patent Document 4. According to this, the crystallization and solidification rate of molten silicon in the laser recrystallization process is 1 m / sec or more, and it is necessary to reduce the solidification rate in order to obtain good crystal growth. By irradiating the second laser pulse immediately after the solidification is completed, a recrystallization process with a lower solidification rate can be obtained by the second irradiation. Now, according to the temperature change (time history curve) of silicon as shown in FIG. 4, the temperature of silicon rises with the irradiation of laser energy (for example, the intensity pulse shown in FIG. 5), and the starting material is a-Si. When the temperature further rises after the melting point of a-Si and the supply of energy falls below a value necessary for the temperature rise, cooling starts. At the freezing point of the crystalline Si, after solidification is completed after the solidification time, it is cooled to the ambient temperature. Here, if the solidification of silicon proceeds in the film thickness direction starting from the silicon-substrate interface, the average value of the solidification rate is expressed by the following equation.

固化速度の平均値=シリコンの膜厚/凝固時間
すなわち、シリコンの膜厚が一定であれば、固化速度を小さくするためには凝固時間の長時間化が有効である。したがって、熱平衡学的に理想的な状態を維持したプロセスであれば、理想的な投入するエネルギーすなわちレーザ照射エネルギーを大きくすることで、凝固時間の拡大が可能である。ところが上記公知文書においても指摘されているとおり、照射エネルギーの増大は膜の非晶質化、微結晶化を引き起こすという問題があった。現実的な溶融・再結晶化工程においては図4のような理想的な温度変化を示さず、加熱時には温度の過上昇、冷却時には過冷却過程を経て安定状態に到達する。特に冷却時の冷却速度が大きく過度の過冷却を経る場合、凝固点近傍での結晶化が生ずることなく、急速冷却固化によりアモルファス(非晶質)固体が形成されるためである。薄膜においては上記非特許文献4中でも述べられているとおり、条件によってアモルファスではなく、微結晶体を形成することもある。微結晶体は、多結晶薄膜あるいは単結晶薄膜に比べその粒径が極端に小さいために、粒界ポテンシャルの大きな結晶粒界が多数存在し、たとえば薄膜トランジスタへの応用ではオン電流の低下、あるいはオフリーク電流の増大を招くといった問題を有する。
Average value of solidification rate = silicon film thickness / solidification time More specifically, if the silicon film thickness is constant, it is effective to increase the solidification time in order to reduce the solidification rate. Therefore, if the process maintains the ideal state in terms of thermal equilibrium, the solidification time can be extended by increasing the ideal energy to be input, that is, the laser irradiation energy. However, as pointed out in the above-mentioned known documents, there is a problem that an increase in irradiation energy causes the film to become amorphous or microcrystalline. In an actual melting / recrystallization process, an ideal temperature change as shown in FIG. 4 is not shown, and a stable state is reached through a supercooling process during heating and a supercooling process during cooling. This is because, in particular, when the cooling rate during cooling is large and excessive supercooling is performed, crystallization near the freezing point does not occur, and an amorphous (amorphous) solid is formed by rapid cooling and solidification. As described in Non-Patent Document 4 above, the thin film may form a microcrystal rather than an amorphous depending on conditions. A microcrystal has an extremely small grain size compared to a polycrystalline thin film or a single crystal thin film, and therefore there are many crystal grain boundaries with a large grain boundary potential. There is a problem that current increases.

一方で、被レーザ照射材料であるa-Si薄膜の形成工程、レーザ照射工程、プラズマ水素化工程、ゲート絶縁膜の形成工程を順次あるいは順を変えて、大気暴露することなく行う技術が、下記の特許文献に開示されている。   On the other hand, the technology to perform the a-Si thin film forming process, laser irradiation process, plasma hydrogenation process, and gate insulating film forming process in order or in sequence, without exposure to the atmosphere, is the following: In the patent literature.

特許文献4:非晶質半導体薄膜を加熱処理した後、レーザを照射する工程を大気暴露することなく行う。   Patent Document 4: After a heat treatment of an amorphous semiconductor thin film, a step of irradiating a laser is performed without exposing to the atmosphere.

特許文献5:レーザ結晶化多結晶シリコン薄膜を有する基板を大気暴露することなくプラズマ水素化、ゲート絶縁膜の形成工程に基板搬送する。   Patent Document 5: A substrate having a laser-crystallized polycrystalline silicon thin film is transported to a plasma hydrogenation and gate insulating film forming step without being exposed to the atmosphere.

特許文献6:レーザ結晶化多結晶シリコン薄膜を有する基板を大気暴露することなくゲート絶縁膜の形成工程に基板搬送する。   Patent Document 6: A substrate having a laser-crystallized polycrystalline silicon thin film is transported to a gate insulating film forming step without being exposed to the atmosphere.

特許文献7:レーザ結晶化多結晶シリコン薄膜を有する基板を大気暴露することなくゲート絶縁膜の形成工程に基板搬送し多結晶シリコン表面への不純物の付着を防ぐ。   Patent Document 7: A substrate having a laser-crystallized polycrystalline silicon thin film is transported to a gate insulating film forming step without exposing the substrate to the atmosphere to prevent impurities from adhering to the polycrystalline silicon surface.

特許文献8:非晶質シリコン薄膜の形成、レーザ結晶化、水素化、ゲート絶縁膜の形成を大気暴露することなく連続して行う。   Patent Document 8: Amorphous silicon thin film formation, laser crystallization, hydrogenation, and gate insulating film formation are continuously performed without exposure to the atmosphere.

特許文献9:非晶質シリコン薄膜の形成、レーザ結晶化、水素化、ゲート絶縁膜の形成を大気暴露することなく連続して行う。   Patent Document 9: Amorphous silicon thin film formation, laser crystallization, hydrogenation, and gate insulating film formation are continuously performed without exposure to the atmosphere.

特許文献10:非晶質シリコン薄膜の形成、レーザ結晶化、水素化、ゲート絶縁膜の形成を大気暴露することなく連続して行う。   Patent Document 10: Amorphous silicon thin film formation, laser crystallization, hydrogenation, and gate insulating film formation are continuously performed without exposure to the atmosphere.

特許文献11:非晶質シリコン薄膜の形成、レーザ結晶化、ゲート絶縁膜の形成、ゲート電極の形成を大気暴露することなく連続して行う。   Patent Document 11: Amorphous silicon thin film formation, laser crystallization, gate insulating film formation, and gate electrode formation are continuously performed without exposure to the atmosphere.

これらの思想、技術は、レーザ結晶化によって形成されたシリコン表面が非常に活性であるため大気中に曝すことにより不純物が付着しやすくなり、結果として形成されるTFTの特性を劣化させる、あるいはその特性にばらつきを生じさせるという問題を解決するために考案されている。そこで、出願人らはエキシマレーザ結晶化技術と酸化シリコン膜形成技術を同一装置(大気に曝さず別の装置に基板を搬送することを含む)で行い、いったん大気に曝した場合との性能比較を行った。その結果、ゴミ、パーティクルの付着防止効果による製品の歩留まり率の向上には大きな効果があったものの、この効果はクリーンルーム環境のクリーン度を高めることである程度同等の効果が得られることがわかった。歩留まり率の向上には、成膜装置よりも同一装置内に基板の洗浄機構を組み込んだものが最も効果が大きい。例えばa-Siの形成工程の形成条件によっては成膜中に基板上にパーティクルが付着し、いったん大気中に解放して洗浄工程を必要とするものもあった。一方で、薄膜トランジスタの性能に着目すると、上記製造プロセスの違いは顕著な差異をもたらさなかった。この理由は以下のように考察できる。本出願人らは、例えば、非特許文献5において、300〜350℃程度の温度でプラズマを用いて形成されるシリコン酸化膜や600℃程度の熱処理を経て形成されるシリコン酸化膜の固定酸化膜電荷密度(1011〜1012 cm-2)や、シリコン基板との間の界面準位密度(〜 6 x 1010 cm-2eV-2)を開示している。この場合、上記シリコン基板は一般にRCA洗浄と呼ばれる硫酸/過酸化水素水、塩酸/過酸化水素水/水、アンモニア/過酸化水素水/水、フッ酸/水等の酸性(必要に応じて加熱)洗浄液をもちいて洗浄、水洗後、成膜装置内に導入される。したがって、上記界面準位密度値は、単結晶シリコン基板ではあるものの、清浄界面形成(洗浄)後いったん大気に曝され、成膜工程に移った試料から得たものである。ここで一方のレーザ結晶化シリコン膜のトラップ準位密度に注目する。本出願人らは、例えば、非特許文献6において、レーザ結晶化シリコン膜を有する薄膜トランジスタから、結晶化シリコン膜中のトラップ準位密度(1012〜1013 cm-2)を開示している。 しかもこれらのトランジスタが示す電界効果移動度は40〜140 cm2/vsecと良好な特性を示している。 These thoughts and techniques are that the silicon surface formed by laser crystallization is very active, so that it is easy for impurities to adhere to it when exposed to the atmosphere, resulting in deterioration of the characteristics of the resulting TFT, or It has been devised to solve the problem of causing variations in characteristics. Therefore, the applicants performed excimer laser crystallization technology and silicon oxide film formation technology in the same device (including transporting the substrate to another device without exposing it to the atmosphere), and compared the performance with the case where it was once exposed to the air Went. As a result, it was found that although the yield rate of the product was greatly improved by the effect of preventing the adhesion of dust and particles, this effect was obtained to some extent by increasing the cleanliness of the clean room environment. In order to improve the yield rate, a substrate cleaning mechanism incorporated in the same apparatus is more effective than a film forming apparatus. For example, depending on the formation conditions of the a-Si formation process, particles may adhere to the substrate during film formation, and once released into the atmosphere, a cleaning process is required. On the other hand, when focusing on the performance of the thin film transistor, the difference in the manufacturing process did not bring about a significant difference. The reason for this can be considered as follows. The present applicants, for example, in Non-Patent Document 5, a silicon oxide film formed using plasma at a temperature of about 300 to 350 ° C. or a fixed oxide film of a silicon oxide film formed through a heat treatment of about 600 ° C. A charge density (10 11 to 10 12 cm −2 ) and an interface state density (˜6 × 10 10 cm −2 eV −2 ) between the silicon substrate and the silicon substrate are disclosed. In this case, the silicon substrate is generally acidified such as sulfuric acid / hydrogen peroxide solution, hydrochloric acid / hydrogen peroxide solution / water, ammonia / hydrogen peroxide solution / water, hydrofluoric acid / water, which is called RCA cleaning (heating as necessary). ) After using a cleaning solution and washing with water, it is introduced into the film forming apparatus. Therefore, although the interface state density value is a single crystal silicon substrate, the interface state density value is obtained from a sample which has been once exposed to the atmosphere after the formation (cleaning) of the clean interface and moved to the film forming process. Here, attention is focused on the trap level density of one of the laser crystallized silicon films. For example, Non-Patent Document 6 discloses the trap level density (10 12 to 10 13 cm −2 ) in a crystallized silicon film from the thin film transistor having a laser crystallized silicon film. In addition, the field effect mobility exhibited by these transistors is as high as 40 to 140 cm 2 / vsec.

さて、上記シリコン膜中のトラップ準位密度と、界面準位密度(あるいは固定酸化膜電荷密度)を比較すると明らかにトラップ準位密度の値のほうが大きい。すなわち、同一装置内で大気の曝すことなくシリコン膜/ゲート絶縁膜を形成した試料において、その清浄性の効果を得るためには、シリコン膜の性能(トラップ準位密度)が十分ではないという問題があることが判明した。   When the trap state density in the silicon film is compared with the interface state density (or fixed oxide film charge density), the value of the trap state density is clearly larger. That is, in a sample in which a silicon film / gate insulating film is formed in the same apparatus without being exposed to the atmosphere, the performance of the silicon film (trap level density) is not sufficient to obtain the cleanliness effect. Turned out to be.

次に、プラズマダメージを低減し良質なゲート絶縁膜を形成する手段としてリモートプラズマCVD(化学的気相成長)法が提案されている。例えば、特許文献12には、プラズマ発生室と基板処理室を分離する構成が開示されている。このような構成をとることにより、上述のような低固定酸化膜電荷密度(1011〜1012 cm-2)や、低界面準位密度(〜 6 x 1010 cm-2eV-2)が実現できると推察できるが、この効果は上述のように予め形成されるシリコン膜の性能に制限されてしまうという問題があった。 Next, a remote plasma CVD (chemical vapor deposition) method has been proposed as a means for reducing plasma damage and forming a high-quality gate insulating film. For example, Patent Document 12 discloses a configuration in which a plasma generation chamber and a substrate processing chamber are separated. By adopting such a configuration, the low fixed oxide film charge density (10 11 to 10 12 cm -2 ) and the low interface state density (~ 6 x 10 10 cm -2 eV -2 ) as described above can be obtained. Although it can be inferred that this can be realized, there is a problem that this effect is limited by the performance of the silicon film formed in advance as described above.

特公平7−118443号公報Japanese Patent Publication No.7-118443 特開平5−211167号公報Japanese Patent Laid-Open No. 5-211167 特開平5−90191号公報JP-A-5-90191 特開平5−182923号公報JP-A-5-182923 特開平7−99321号公報JP-A-7-99321 特開平9−7911号公報Japanese Patent Laid-Open No. 9-7911 特開平9−17729号公報Japanese Patent Laid-Open No. 9-17729 特開平9−148246号公報JP-A-9-148246 特開平10−116989号公報JP-A-10-116989 特開平10−149984号公報JP-A-10-149984 特開平11−17185号公報Japanese Patent Laid-Open No. 11-17185 特開平5−21393号公報JP-A-5-21393 J. Im and R. Sposili, Crystalline Si films for integrated active-matrix-liquid-crystal displays", Materials Research Society Bulletin誌, vol. 21, (1996), 39J. Im and R. Sposili, Crystalline Si films for integrated active-matrix-liquid-crystal displays ", Materials Research Society Bulletin, vol. 21, (1996), 39 R. Sposili and J. Im, "Sequential lateral solidification of thin silicon films on SiO2", Applied Physics Letters誌、vol. 69, (1996), 2864R. Sposili and J. Im, "Sequential lateral solidification of thin silicon films on SiO2", Applied Physics Letters, vol. 69, (1996), 2864 J. Im, R. Sposili and M. Crowder, "Single-crystal Si films for thin film transistor devices", Applied Physics Letters誌、vol. 70, (1997), 3434J. Im, R. Sposili and M. Crowder, "Single-crystal Si films for thin film transistor devices", Applied Physics Letters, vol. 70, (1997), 3434 Ryoichi Ishihara et al. "Effects of light pulse duration on excimer laser crystallization characteristics of silicon thin films", Japanese journal of applied physics, vol. 34, No.4A, (1995) pp1759Ryoichi Ishihara et al. "Effects of light pulse duration on excimer laser crystallization characteristics of silicon thin films", Japanese journal of applied physics, vol. 34, No.4A, (1995) pp1759 K. Yuda et al. "Improvement of structural and electrical properties in low-temperature gate-oxides for poly-Si TFTs by controlling O2/SiH4 ratios", Digest of technical papers 1997 international workshop on active matrix liquid crystal displays, September 11-12, 1997, Kogakuin Univ., Tokyo, Japan- ,87K. Yuda et al. "Improvement of structural and electrical properties in low-temperature gate-oxides for poly-Si TFTs by controlling O2 / SiH4 ratios", Digest of technical papers 1997 international workshop on active matrix liquid crystal displays, September 11- 12, 1997, Kogakuin Univ., Tokyo, Japan-, 87 H. Tanabe et al., "Excimer laser crystallization of amorphous silicon films", NEC Research and Development誌, vol. 35, (1994), 254H. Tanabe et al., "Excimer laser crystallization of amorphous silicon films", NEC Research and Development, vol. 35, (1994), 254

本発明の目的は、上記述べてきた問題を克服すべく、トラップ準位密度の小さい半導体薄膜を光照射によって形成する技術を提供すると共に、大面積基板上に再現性よくその技術を応用するための技術/装置を提供することにある。   An object of the present invention is to provide a technique for forming a semiconductor thin film having a small trap level density by light irradiation in order to overcome the above-described problems and to apply the technique on a large area substrate with good reproducibility. The technology / apparatus is provided.

本発明のもう一つの目的は、それらの良質な半導体膜上に良質なゲート絶縁膜を形成する手段を提供し、良好な半導体−絶縁膜界面すなわち優れた特性を有する電界効果型トランジスタを製造する装置を提供することにある。   Another object of the present invention is to provide means for forming a high-quality gate insulating film on these high-quality semiconductor films, and to manufacture a field effect transistor having a good semiconductor-insulating film interface, that is, excellent characteristics. To provide an apparatus.

(1)本発明によれば、光マスク上に形成した露光パターンを、基板ステージに保持された基板上の半導体薄膜に投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、光マスクまたは基板ステージを個別に駆動することにより、露光パターンを順次走査する機構を有することを特徴とする半導体薄膜形成装置が得られる。光マスクによって基板上に投影露光できる面積が基板サイズに比べ小さい場合、露光領域への基板の移動を基板ステージによって行う。基板を固定した状態でレーザの照射に合わせてマスクステージを移動させることによって、所望の領域に順次露光する。   (1) According to the present invention, a semiconductor thin film forming apparatus which modifies a predetermined region of a semiconductor thin film by projecting and exposing an exposure pattern formed on an optical mask onto a semiconductor thin film on a substrate held on a substrate stage. The semiconductor thin film forming apparatus is characterized by having a mechanism for sequentially scanning the exposure pattern by individually driving the optical mask or the substrate stage. When the area that can be projected and exposed on the substrate by the optical mask is smaller than the substrate size, the substrate is moved to the exposure region by the substrate stage. By sequentially moving the mask stage in accordance with the laser irradiation while the substrate is fixed, the desired area is exposed.

(2)本発明によれば、光マスク上に形成した露光パターンを半導体薄膜に投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、露光パターンを半導体薄膜に投影露光する際の、露光パターンの半導体薄膜の所定の領域への焦点合わせを行う焦点合わせ機構を有することを特徴とする半導体薄膜形成装置が得られる。露光領域への基板の移動を基板ステージによって行う場合、基板のそり、たわみ、厚さのばらつきなど、あるいは基板ステージの露光軸に対する垂直度などのずれによって、基板中心部と基板周辺部等で焦点位置からのずれが生じても、随時焦点合わせを行うことによって、再現性よく基板全面に所望の露光を行うことができる。   (2) According to the present invention, an exposure pattern formed on an optical mask is projected and exposed onto a semiconductor thin film, and the exposure pattern is projected onto the semiconductor thin film in a semiconductor thin film forming apparatus for modifying a predetermined region of the semiconductor thin film. In this case, a semiconductor thin film forming apparatus having a focusing mechanism for focusing the exposure pattern on a predetermined region of the semiconductor thin film can be obtained. When the substrate is moved to the exposure area by the substrate stage, it is focused on the center of the substrate and the periphery of the substrate due to substrate warpage, deflection, thickness variation, or deviation of the perpendicularity to the exposure axis of the substrate stage. Even if a deviation from the position occurs, desired exposure can be performed on the entire surface of the substrate with good reproducibility by performing focusing at any time.

(3)本発明によれば、光マスク上に形成したパターンを半導体薄膜に露光ビームにより投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、露光ビームの半導体薄膜に対する傾きを補正する傾き補正機構を有することを特徴とする半導体薄膜形成装置が得られる。露光領域への基板の移動を基板ステージによって行う場合、基板のそり、たわみ、厚さのばらつきなど、あるいは基板ステージの露光軸に対する垂直度などのずれによって、基板中心部と基板周辺部等で露光軸からのずれが生じても、随時傾き補正を行うことによって、再現性よく基板全面に所望の露光を行うことができる。   (3) According to the present invention, in a semiconductor thin film forming apparatus for modifying a predetermined region of a semiconductor thin film by projecting and exposing a pattern formed on an optical mask onto the semiconductor thin film with an exposure beam, the exposure beam is applied to the semiconductor thin film. A semiconductor thin film forming apparatus having an inclination correction mechanism for correcting the inclination is obtained. When moving the substrate to the exposure area using the substrate stage, exposure is performed at the center of the substrate and the periphery of the substrate due to substrate warpage, deflection, variation in thickness, etc., or deviation in the perpendicularity to the exposure axis of the substrate stage. Even if a deviation from the axis occurs, desired correction can be performed on the entire surface of the substrate with high reproducibility by correcting the tilt as needed.

(4)本発明によれば、光マスク上に形成したパターンを半導体薄膜に露光ビームにより投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、半導体膜が堆積された基板上に形成されたマークに対し、露光ビームの位置合わせを行うアライメント機能を有することを特徴とする半導体薄膜形成装置が得られる。予め設けられたアライメントマークを基準に露光領域を決定することによって、所望の場所に所望の露光条件で露光改質された半導体薄膜を形成することができるため、例えばトランジスタのチャネル領域のみを露光改質することができる。すなわち改質した領域に対応して、ソース・ドレイン、チャネル領域を順次次の工程でパターン形成することができる。   (4) According to the present invention, a semiconductor film is deposited in a semiconductor thin film forming apparatus for modifying a predetermined region of a semiconductor thin film by projecting and exposing a pattern formed on an optical mask onto the semiconductor thin film with an exposure beam. A semiconductor thin film forming apparatus having an alignment function for aligning an exposure beam with respect to a mark formed on a substrate can be obtained. By determining the exposure region based on the alignment mark provided in advance, a semiconductor thin film that has been exposed and modified under desired exposure conditions can be formed at a desired location. For example, only the channel region of a transistor is exposed and modified. Can be quality. That is, corresponding to the modified region, the source / drain and channel regions can be sequentially formed in the following process.

(5)本発明によれば、光マスク上に形成したパターンを半導体薄膜に投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、半導体膜が堆積された基板をステージ上に保持する機能を有することを特徴とする半導体薄膜形成装置が得られる。光マスクによって基板上に投影露光できる面積が基板サイズに比べ小さい場合、露光領域への基板の移動を基板ステージによって行う。基板を固定した状態でレーザの照射に合わせてマスクステージを移動させることによって、所望の領域に順次露光する。このような場合、基板ステージの移動等でステージ上の基板がずれる。特に回転補正(θ補正)が必要な場合、ずれが発生したときにその都度補正を行なうことはスループットの妨げになるため、基板を保持することが必要になる。またステージ上で基板加熱を行うような場合、加熱により基板のそり、たわみが発生するため、それによる焦点ずれや露光軸からの傾きが発生することを防止する。   (5) According to the present invention, in a semiconductor thin film forming apparatus for modifying a predetermined region of a semiconductor thin film by projecting and exposing a pattern formed on an optical mask onto the semiconductor thin film, the substrate on which the semiconductor film is deposited is staged. A semiconductor thin film forming apparatus characterized by having a function of holding on is obtained. When the area that can be projected and exposed on the substrate by the optical mask is smaller than the substrate size, the substrate is moved to the exposure region by the substrate stage. By sequentially moving the mask stage in accordance with the laser irradiation while the substrate is fixed, the desired area is exposed. In such a case, the substrate on the stage is displaced due to movement of the substrate stage or the like. In particular, when rotation correction (θ correction) is required, it is necessary to hold the substrate because correction is performed every time a deviation occurs, which hinders throughput. Further, when the substrate is heated on the stage, the substrate is warped or bent by the heating, thereby preventing the occurrence of defocus or tilt from the exposure axis.

(6)本発明によれば、光マスク上に形成したパターンを半導体薄膜に露光ビームにより投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、複数のレーザ光を前記露光ビームとして合成する合成機構を有することを特徴とする半導体薄膜形成装置が得られる。   (6) According to the present invention, in a semiconductor thin film forming apparatus for modifying a predetermined region of a semiconductor thin film by projecting and exposing a pattern formed on an optical mask onto the semiconductor thin film with an exposure beam, a plurality of laser beams are emitted from the laser thin film. A semiconductor thin film forming apparatus having a synthesizing mechanism for synthesizing as an exposure beam is obtained.

(7)本発明によれば、上記(6)に記載の半導体薄膜形成装置において、前記複数のレーザ光が第1および第2のレーザ光であり、前記合成機構は、第1のレーザ光に対し第2のレーザ光が遅延して半導体薄膜に照射されるように、第1および第2のレーザ光を前記露光ビームとして合成することを特徴とする半導体薄膜形成装置が得られる。   (7) According to the present invention, in the semiconductor thin film forming apparatus according to (6), the plurality of laser beams are first and second laser beams, and the synthesizing mechanism includes the first laser beam. On the other hand, a semiconductor thin film forming apparatus characterized in that the first and second laser lights are combined as the exposure beam so that the second laser light is irradiated to the semiconductor thin film with a delay.

図6に膜厚75nmのシリコン薄膜に波長308nmのエキシマレーザを照射した場合の、数値計算から求めた最大冷却速度(Cooling rate, K/sec)と、レーザ照射後の膜のSEM観察から得られた結晶化−微結晶化の照射強度のしきい値を示す。図5は実験に用いたレーザの発光パルス波形である。3つの主ピークを有し発光時間は約120nsecに及ぶ。このようなパルス波形は、上記非特許文献6に記載されているパルス幅21.4nsecの矩形パルスに比べ5倍以上の発光時間を有することから、単一パルス照射であっても上記非特許文献6中で述べられているような固化速度の低減といった効果が期待できる。さて、このようなパルス波形を用いたレーザ再結晶化時の数値計算から求めたシリコンの温度−時間曲線は図7に示すようになる。図7はシリコン膜厚75nm、基板にSiO2、XeClレーザ(波長308nm)照射強度が450mJ/cmである時のシリコン薄膜の温度変化を示す。第2の発光ピークがほぼ終了する約60nsec後に最高温度に達し冷却へと転じる。(なお、本数値計算では溶融・凝固点として非晶質シリコンの値を用いており、凝固点付近の振る舞いは現実のものとは異なる。特に結晶化膜が得られる場合は、結晶シリコンの凝固点で結晶化が完了する。)いったん大きな傾きを持って冷却が開始されるが、第3のピークが存在する100nsec程度の傾きは非常に小さくなることがわかる。完全に発光が終了する120nsec以降では、再び急速な冷却過程を経て凝固する。一般に、熱平衡過程を大きくはずれるような“急冷”を経た液体からの固化過程の場合、結晶構造の形成に必要な十分な凝固時間を得ることができず、アモルファス(非晶質)固体を形成する。図7に示すようなシリコンの温度−時間曲線から各照射強度に対し発光終了後の最大冷却速度を見積もった結果を図6に示す。照射強度の増大とともに冷却速度が増加することがわかる。一方、レーザ照射後のシリコン薄膜の構造を走査型電子顕微鏡を用いて観察したところ、照射強度の増大とともにいったん粒径は増大するものの、470mJ/cm程度の設定照射強度条件において、微結晶化が観測された。同様に照射パルス数を3パルスにした場合、470mJ/cm程度の設定照射強度条件においても、部分的に微結晶化領域が残るものの1パルスの時とは異なり粒径の飛躍的な増大が観測された(図8)。なお、実照射強度は、エキシマレーザの特に最初の数パルスにおいて、設定値に比べ5〜10%程度高くなるため、微結晶化が生じるしきい強度は500mJ/cm程度と見積もることができる。以上のような結果から、図6の500mJ/cm条件から冷却速度を見積もることにより、微結晶化は約1.6x1010℃/sec以上の冷却速度条件で生じることがわかった。被照射膜がa−Siの場合、約500mJ/cm以上の照射強度で微結晶化が、同様に、被照射膜がpoly−Siの場合にこの冷却速度を当てはめると、a−Siに比べ約30mJ/cm大きい照射強度が示唆される。したがって、冷却速度を1.6x1010℃/sec以下に制御することによって、微結晶化、アモルファス化を防ぐことができ、良好な結晶成長過程を得ることが可能になる。 Figure 6 shows the maximum cooling rate (Cooling rate, K / sec) obtained from numerical calculation and the SEM observation of the film after laser irradiation when an excimer laser with a wavelength of 308 nm is irradiated onto a 75 nm-thick silicon thin film. The threshold value of irradiation intensity of crystallization-microcrystallization is shown. FIG. 5 shows the emission pulse waveform of the laser used in the experiment. It has three main peaks and the emission time is about 120 nsec. Since such a pulse waveform has a light emission time that is five times or more that of the rectangular pulse having a pulse width of 21.4 nsec described in Non-Patent Document 6, the non-patent document described above can be used even with single pulse irradiation. The effect of reducing the solidification rate as described in 6 can be expected. Now, a temperature-time curve of silicon obtained from numerical calculation at the time of laser recrystallization using such a pulse waveform is as shown in FIG. FIG. 7 shows the temperature change of the silicon thin film when the silicon film thickness is 75 nm and the substrate is irradiated with SiO 2 and XeCl laser (wavelength 308 nm) at 450 mJ / cm 2 . About 60 nsec after the second emission peak is almost completed, the maximum temperature is reached and cooling starts. (In this numerical calculation, the value of amorphous silicon is used as the melting and freezing point, and the behavior near the freezing point is different from the actual one. In particular, when a crystallized film is obtained, the crystalline silicon is crystallized at the freezing point. The cooling is once started with a large gradient, but it can be seen that the gradient of about 100 nsec where the third peak exists is very small. After 120 nsec when light emission is completely completed, it solidifies again through a rapid cooling process. In general, in the case of a solidification process from a liquid that has undergone “quenching” that greatly deviates from the thermal equilibrium process, sufficient solidification time necessary to form a crystal structure cannot be obtained, and an amorphous solid is formed. . FIG. 6 shows the result of estimating the maximum cooling rate after the end of light emission for each irradiation intensity from the temperature-time curve of silicon as shown in FIG. It can be seen that the cooling rate increases as the irradiation intensity increases. On the other hand, when the structure of the silicon thin film after laser irradiation was observed using a scanning electron microscope, although the particle size once increased with increasing irradiation intensity, microcrystallization was performed under a setting irradiation intensity condition of about 470 mJ / cm 2 . Was observed. Similarly, when the number of irradiation pulses is set to 3, even under the setting irradiation intensity condition of about 470 mJ / cm 2 , although the microcrystallized region remains partially, the particle size increases dramatically unlike the case of 1 pulse. Observed (FIG. 8). Since the actual irradiation intensity is higher by about 5 to 10% than the set value in the first few pulses of the excimer laser, the threshold intensity at which microcrystallization occurs can be estimated to be about 500 mJ / cm 2 . From the above results, it was found that by estimating the cooling rate from the condition of 500 mJ / cm 2 in FIG. 6, microcrystallization occurs at a cooling rate of about 1.6 × 10 10 ° C./sec or more. When the irradiated film is a-Si, microcrystallization occurs at an irradiation intensity of about 500 mJ / cm 2 or more. Similarly, when this cooling rate is applied when the irradiated film is poly-Si, compared to a-Si. An irradiation intensity of about 30 mJ / cm 2 is suggested. Therefore, by controlling the cooling rate to 1.6 × 10 10 ° C./sec or less, microcrystallization and amorphization can be prevented, and a good crystal growth process can be obtained.

第2のレーザ光を第1のレーザ光に遅延して導入した場合について述べる。すでに述べたように、発光後期のレーザ光が冷却速度の増大を緩和するとともに、発光終了後の冷却速度が結晶化を支配する。すなわち、最終的に投入されたエネルギーによりそれ以前の冷却過程は初期化されると考えられる。更に付加的なエネルギーを投入することによって、それ以前の固化過程において急冷による非晶質化、微結晶化が生じていても、エネルギーは保存されている(ナノ秒オーダと短時間のため、基板への熱伝導、雰囲気への放射は小さいと考えられる。もちろん十分な熱の放出が可能な時間は考慮しない)ため一旦初期化され、再度固化過程を繰り返すものと考えられる。したがって再度投入されたエネルギーによる2次加熱終了後の冷却速度に注目することによって、良好な結晶成長が期待できる。図9に示すように、遅延時間を制御することによって冷却速度を所望の値にコントロールする。   A case where the second laser beam is introduced with a delay to the first laser beam will be described. As already described, the laser light in the late stage of light emission moderates the increase in cooling rate, and the cooling rate after the end of light emission dominates crystallization. That is, it is considered that the previous cooling process is initialized by the energy finally input. Furthermore, by adding additional energy, energy is preserved even if amorphization or microcrystallization due to rapid cooling occurs in the previous solidification process (because of nanosecond order and short time, the substrate is It is considered that the heat conduction and the radiation to the atmosphere are small. (Of course, the time during which sufficient heat can be released is not taken into account.) Therefore, good crystal growth can be expected by paying attention to the cooling rate after the end of the secondary heating by the energy input again. As shown in FIG. 9, the cooling rate is controlled to a desired value by controlling the delay time.

さて、照射されるビームの空間的な強度分布について次に述べる。複数のスリットを用いたレーザ照射においても、スリット内の空間分布が一定でありかつスリット間の強度空間分布が一定であることが望ましいが、光学素子設計や光学素子作製上の制限から、±数%〜十数%程度のばらつきが生じてしまう。エキシマレーザ光の経時変化や光学系の消耗、光学素子への異物の付着等も考慮するとその分布は±数十%になる場合もある。図10は、図8に示すような顕微鏡写真から求めた、照射強度と照射回数(照射パルス回数)Nに依存した平均結晶粒径d(d=KN,ここで、Kは定数、nは傾きを示す)の変化を示している。図10が示唆するように、照射強度が450mJ/cm2程度を境に照射回数Nに対する粒径変化の傾きnが変化する。所望の作製条件を照射強度と場所あたりの照射回数Nで設計する場合、空間的な強度分布がn=1/4となるような条件とn=1/7となるような条件とを混在させないことが望ましい。したがって、空間的なばらつきが生じた場合でも例えば521〜470mJ/cm2の範囲(平均強度495.5mJ/cm2の約±5.2%以内の範囲)あるいは424〜339mJ/cm2の範囲(平均強度381.5mJ/cm2の約±11.2%以内の範囲)に分布が収まるように照射を行えば、極端な平均粒径の相違を抑制したSi薄膜のレーザ結晶化が可能になる。 Now, the spatial intensity distribution of the irradiated beam will be described next. Even in laser irradiation using a plurality of slits, it is desirable that the spatial distribution in the slits is constant and the intensity spatial distribution between the slits is constant, but due to limitations in optical element design and optical element fabrication, ± several % To about 10 to several tens%. In consideration of changes in the excimer laser light with time, wear of the optical system, adhesion of foreign matter to the optical element, the distribution may be ± several tens of percent. FIG. 10 shows an average crystal grain size d (d = KN n , where K is a constant and n is a constant, which depends on the irradiation intensity and the number of irradiations (number of irradiation pulses) N, obtained from a micrograph as shown in FIG. Change). As suggested by FIG. 10, the gradient n of the particle size change with respect to the number of irradiations N changes at the irradiation intensity of about 450 mJ / cm 2 . When designing the desired fabrication conditions with the irradiation intensity and the number of irradiations N per place, do not mix the condition where the spatial intensity distribution is n = 1/4 and the condition where n = 1/7. It is desirable. Accordingly, even when spatial variation occurs, for example, a range of 521 to 470 mJ / cm 2 (a range within about ± 5.2% of the average intensity 495.5 mJ / cm 2 ) or a range of 424 to 339 mJ / cm 2 ( If irradiation is performed so that the distribution falls within the range of about ± 11.2% of the average intensity of 381.5 mJ / cm 2 ), the laser crystallization of the Si thin film in which the difference in the extreme average particle diameter is suppressed is possible. .

(8)本発明によれば、光マスク上に形成したパターンを基板上の半導体薄膜に投影露光して、半導体薄膜の所定の領域を改質するための処理室を有する半導体薄膜形成装置において、大気に暴露することなく別の処理室に基板を搬送する機構を有することを特徴とする半導体薄膜形成装置が得られる。   (8) According to the present invention, in the semiconductor thin film forming apparatus having the processing chamber for modifying the predetermined region of the semiconductor thin film by projecting and exposing the pattern formed on the optical mask onto the semiconductor thin film on the substrate, A semiconductor thin film forming apparatus having a mechanism for transporting a substrate to another processing chamber without being exposed to the atmosphere can be obtained.

(9)本発明によれば、上記(8)に記載の半導体薄膜形成装置において、前記別の処理室が基板に絶縁膜を形成するための絶縁膜形成室であることを特徴とする半導体薄膜形成装置が得られる。   (9) According to the present invention, in the semiconductor thin film forming apparatus according to the above (8), the another processing chamber is an insulating film forming chamber for forming an insulating film on a substrate. A forming device is obtained.

半導体膜中のトラップ準位密度が、界面準位密度と同等かそれ以下になるために、同一装置内で大気の曝すことなく半導体膜/ゲート絶縁膜を形成することによって、その清浄性維持の効果を十分活かし、良好な半導体−絶縁膜界面を得ることが可能になる。   Since the trap state density in the semiconductor film is equal to or less than the interface state density, it is possible to maintain the cleanliness by forming the semiconductor film / gate insulating film in the same device without exposure to the atmosphere. It is possible to obtain a good semiconductor-insulating film interface by fully utilizing the effect.

(10)本発明によれば、上記(8)に記載の半導体薄膜形成装置において、前記別の処理室が基板に半導体膜を形成するための半導体膜形成室であることを特徴とする半導体薄膜形成装置が得られる。   (10) According to the present invention, in the semiconductor thin film forming apparatus according to (8), the another processing chamber is a semiconductor film forming chamber for forming a semiconductor film on a substrate. A forming device is obtained.

(11)本発明によれば、上記(8)に記載の半導体薄膜形成装置において、前記別の処理室が基板に加熱処理を施すための加熱処理室であることを特徴とする半導体薄膜形成装置が得られる。   (11) According to the present invention, in the semiconductor thin film forming apparatus according to (8), the another processing chamber is a heat treatment chamber for performing heat treatment on the substrate. Is obtained.

(12)本発明によれば、上記(8)に記載の半導体薄膜形成装置において、前記別の処理室が基板にプラズマ処理を施すためのプラズマ処理室であることを特徴とする半導体薄膜形成装置が得られる。   (12) According to the present invention, in the semiconductor thin film forming apparatus according to the above (8), the another processing chamber is a plasma processing chamber for performing plasma processing on the substrate. Is obtained.

(13)本発明によれば、上記(8)に記載の半導体薄膜形成装置において、前記処理室が、前記光マスク上に形成したパターンを前記基板上の半導体薄膜にレーザビームにより投影露光して、半導体薄膜の前記所定の領域を改質するためのレーザ処理室であり、前記別の処理室がもう一つのレーザ処理室であることを特徴とする半導体薄膜形成装置が得られる。   (13) According to the present invention, in the semiconductor thin film forming apparatus according to (8), the processing chamber projects and exposes a pattern formed on the optical mask onto the semiconductor thin film on the substrate with a laser beam. A semiconductor thin film forming apparatus is obtained, which is a laser processing chamber for modifying the predetermined region of the semiconductor thin film, and the other processing chamber is another laser processing chamber.

このような構成をとることによって、高性能、多機能半導体形成装置の提供、低コスト、高再現性薄膜トランジスタ製造プロセスの提供、及び高性能薄膜トランジスタの提供がそれぞれ可能になる。   By adopting such a configuration, it is possible to provide a high-performance, multifunctional semiconductor forming apparatus, a low-cost, highly reproducible thin film transistor manufacturing process, and a high-performance thin film transistor.

具体的には、
1)薬液による洗浄工程削減が可能な安定性の高い半導体薄膜プロセス装置の提供
2)同一装置において多工程を処理可能な多機能型装置を提供することによって、トータルの工場設置面積を小さくできる、省スペース半導体プロセス装置の提供
3)シリコン清浄表面(界面)を、薬液を用いることなく維持可能な低コスト、高性能薄膜トランジスタの製造方法の提供
がそれぞれ可能になる。
In particular,
1) Providing highly stable semiconductor thin film process equipment that can reduce the cleaning process using chemicals 2) By providing a multi-function device that can process multiple processes in the same equipment, the total factory installation area can be reduced. Provision of a space-saving semiconductor process apparatus 3) A low-cost and high-performance thin-film transistor manufacturing method capable of maintaining a silicon clean surface (interface) without using a chemical solution can be provided.

(14)本発明によれば、上記(8)〜(12)のいずれかに記載の半導体薄膜形成装置において、前記別の処理室は、該別の処理室内の所定の領域にプラズマを発生させるためのプラズマ発生源を有し、前記別の処理室内の前記所定の領域外の領域に基板が配置されることを特徴とする半導体薄膜形成装置が得られる。   (14) According to the present invention, in the semiconductor thin film forming apparatus according to any one of (8) to (12), the another processing chamber generates plasma in a predetermined region in the other processing chamber. The semiconductor thin film forming apparatus is characterized in that the substrate is disposed in a region outside the predetermined region in the another processing chamber.

(15)本発明によれば、上記(12)に記載の半導体薄膜形成装置において、前記別の処理室は、該別の処理室内の所定の領域にプラズマを発生させるためのプラズマ発生源を有し、前記別の処理室は、前記所定の領域の前記プラズマにより励起されたガスと、前記所定の領域を介さずに前記別の処理室内に導入される別のガスとを反応させることにより、前記基板に前記プラズマ処理を施すものであることを特徴とする半導体薄膜形成装置が得られる。   (15) According to the present invention, in the semiconductor thin film forming apparatus according to the above (12), the another processing chamber has a plasma generation source for generating plasma in a predetermined region in the other processing chamber. Then, the other processing chamber reacts the gas excited by the plasma in the predetermined region with another gas introduced into the other processing chamber without passing through the predetermined region, A semiconductor thin film forming apparatus characterized in that the plasma treatment is performed on the substrate.

プラズマ発生室と基板処理室を分離する構成によって、プラズマダメージを低減し良質なゲート絶縁膜を形成する手段に加えて、シリコン膜中のトラップ準位密度が、界面準位密度と同等かそれ以下になるために、良好な半導体−絶縁膜界面を得ることが可能になる。   In addition to means for reducing plasma damage and forming a good quality gate insulating film by separating the plasma generation chamber and the substrate processing chamber, the trap state density in the silicon film is equal to or less than the interface state density. Therefore, a good semiconductor-insulating film interface can be obtained.

以下に本発明の効果を列挙する。   The effects of the present invention are listed below.

(1)光マスク上に形成した露光パターンを、基板ステージに保持された基板上の半導体薄膜に投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、光マスクまたは基板ステージを個別または同時に駆動することにより、露光パターンを順次走査することにした結果、基板上の任意の領域を順次高スループットで改質することが可能になった。LCDのようなイメージングデバイスへの応用時にも光源の強度ばらつきに起因した基板ダメージ、それによる画像品質の低下を防ぐことが可能になった。また、トラップ準位密度が1012 cm-2より低い値を示す結晶化シリコン膜の提供が可能になった。 (1) In a semiconductor thin film forming apparatus for modifying a predetermined region of a semiconductor thin film by projecting and exposing an exposure pattern formed on the optical mask onto a semiconductor thin film on the substrate held on the substrate stage, the optical mask or the substrate As a result of sequentially scanning the exposure pattern by driving the stages individually or simultaneously, any region on the substrate can be sequentially reformed with high throughput. Even when applied to an imaging device such as an LCD, it has become possible to prevent damage to the substrate due to variations in the intensity of the light source, and thereby deterioration in image quality. In addition, it has become possible to provide a crystallized silicon film having a trap level density lower than 10 12 cm -2 .

(2)光マスク上に形成した露光パターンを半導体薄膜に投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、露光パターンを半導体薄膜に投影露光する際の、露光パターンの半導体薄膜の前記所定の領域への焦点合わせを行う焦点合わせ機構を有することにより、改質処理の信頼性、再現性の高い半導体薄膜形成装置を提供することができた。   (2) An exposure pattern when projecting and exposing an exposure pattern onto a semiconductor thin film in a semiconductor thin film forming apparatus for projecting and exposing an exposure pattern formed on an optical mask onto a semiconductor thin film and modifying a predetermined region of the semiconductor thin film By providing a focusing mechanism for focusing the semiconductor thin film on the predetermined region, it was possible to provide a semiconductor thin film forming apparatus with high reliability and reproducibility of the modification process.

(3)光マスク上に形成したパターンを半導体薄膜に露光ビームにより投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、露光ビームの半導体薄膜に対する傾きを補正する傾き補正機構を有することにより、改質処理の信頼性、再現性の高い半導体薄膜形成装置を提供することができた。   (3) Inclination correction for correcting the inclination of the exposure beam with respect to the semiconductor thin film in a semiconductor thin film forming apparatus that modifies a predetermined region of the semiconductor thin film by projecting and exposing a pattern formed on the optical mask onto the semiconductor thin film with an exposure beam. By having the mechanism, it was possible to provide a semiconductor thin film forming apparatus with high reliability and reproducibility of the modification treatment.

(4)光マスク上に形成したパターンを半導体薄膜に露光ビームにより投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、半導体膜が堆積された基板上に形成されたマークに対し、露光ビームの位置合わせを行うアライメント機能を有することにより、所望の領域へμmオーダ以上の位置精度を持たせて露光することを可能にした。LCDのようなイメージングデバイスへの応用時にも光源の強度ばらつきに起因した基板ダメージ、それによる画像品質の低下を防ぐことが可能になった。   (4) In a semiconductor thin film forming apparatus for modifying a predetermined region of a semiconductor thin film by projecting and exposing the pattern formed on the optical mask onto the semiconductor thin film with an exposure beam, the pattern is formed on the substrate on which the semiconductor film is deposited. By providing an alignment function for aligning the exposure beam with respect to the mark, it is possible to expose a desired region with a positional accuracy of the order of μm or more. Even when applied to an imaging device such as an LCD, it has become possible to prevent damage to the substrate due to variations in the intensity of the light source, and thereby deterioration in image quality.

(5)光マスク上に形成したパターンを半導体薄膜に投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、半導体膜が堆積された基板をステージ上に保持する機能を有するにより、改質処理の信頼性、再現性の高い半導体薄膜形成装置を提供することができた。   (5) In a semiconductor thin film forming apparatus for projecting and exposing a pattern formed on an optical mask onto a semiconductor thin film to modify a predetermined region of the semiconductor thin film, a function of holding the substrate on which the semiconductor film is deposited on the stage As a result, it was possible to provide a semiconductor thin film forming apparatus with high reliability and reproducibility of the modification treatment.

(6)光マスク上に形成したパターンを半導体薄膜に露光ビームにより投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、複数のレーザ光を前記露光ビームとして合成する合成機構を有することによって、パターンが露光される所望の領域において、均一性の高い高品質な半導体薄膜の改質を行うことができた。併せて、基板上の任意の領域を順次高スループットで改質することが可能になった。   (6) A composition in which a plurality of laser beams are combined as the exposure beam in a semiconductor thin film forming apparatus that projects and exposes a pattern formed on an optical mask onto a semiconductor thin film with an exposure beam to modify a predetermined region of the semiconductor thin film. By having a mechanism, it was possible to modify a high-quality semiconductor thin film with high uniformity in a desired region where a pattern is exposed. At the same time, it has become possible to sequentially modify an arbitrary region on the substrate with high throughput.

(7)上記(6)に記載の半導体薄膜形成装置形成装置において、前記複数のレーザ光が第1および第2のレーザ光であり、前記合成機構は、第1のレーザ光に対し第2のレーザ光が遅延して半導体薄膜に照射されるように、第1および第2のレーザ光を前記露光ビームとして合成することによって、パターンが露光される所望の領域において、均一性の高い高品質な半導体薄膜の改質を行うことができた。併せて、基板上の任意の領域を順次高スループットで改質することが可能になった。   (7) In the semiconductor thin film forming apparatus forming apparatus according to (6), the plurality of laser beams are first and second laser beams, and the synthesizing mechanism has a second function for the first laser beam. By synthesizing the first and second laser lights as the exposure beam so that the laser light is delayed and irradiated onto the semiconductor thin film, high uniformity and high quality can be obtained in a desired region where the pattern is exposed. The semiconductor thin film could be modified. At the same time, it has become possible to sequentially modify an arbitrary region on the substrate with high throughput.

(8)光マスク上に形成したパターンを基板上の半導体薄膜に投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、大気に暴露することなく別の処理室に基板を搬送する機構を有する半導体薄膜形成装置を提供することによって、単結晶半導体薄膜と同等の高品質かつ化学的に活性な表面を有する半導体薄膜を、不純物やゴミによる汚染を受けることなく次工程に送ることが可能となり、洗浄工程の削減による半導体装置製造コストの低減や、各真空装置内での排気時間や洗浄時間の削減によるスループットを向上させることができた。   (8) In a semiconductor thin film forming apparatus for projecting and exposing a pattern formed on an optical mask onto a semiconductor thin film on a substrate to modify a predetermined region of the semiconductor thin film, the substrate is placed in another processing chamber without being exposed to the atmosphere. By providing a semiconductor thin film forming device having a mechanism for transporting semiconductors, a semiconductor thin film having a high quality and chemically active surface equivalent to that of a single crystal semiconductor thin film can be transferred to the next process without being contaminated by impurities or dust. As a result, the semiconductor device manufacturing cost can be reduced by reducing the cleaning process, and the throughput can be improved by reducing the exhaust time and cleaning time in each vacuum apparatus.

(9)上記(8)に記載の半導体薄膜形成装置形成装置において、前記別の処理室が基板に絶縁膜を形成するための絶縁膜形成室であることを特徴とする半導体薄膜形成装置を提供することによって、単結晶半導体薄膜と同等の高品質かつ化学的に活性な表面を有する半導体薄膜を、不純物やゴミによる汚染を受けることなくゲート絶縁膜形成工程に送ることができ、シリコンとシリコン熱酸化との界面に形成されるような良好な半導体−絶縁体界面を有する半導体デバイス製造を、600℃以下の低温プロセスで実現した。トラップ準位密度が1012 cm-2より低い値を示す結晶化シリコン膜の提供が可能になるとともに低界面準位密度を示すシリコン−絶縁膜界面の提供を可能にした。 (9) The semiconductor thin film forming apparatus forming apparatus according to (8), wherein the another processing chamber is an insulating film forming chamber for forming an insulating film on a substrate. As a result, a semiconductor thin film having a high quality and chemically active surface equivalent to that of a single crystal semiconductor thin film can be sent to the gate insulating film formation process without being contaminated by impurities and dust. Manufacturing of a semiconductor device having a good semiconductor-insulator interface as formed at the interface with oxidation was realized by a low-temperature process of 600 ° C. or lower. A crystallized silicon film having a trap state density lower than 10 12 cm -2 can be provided, and a silicon-insulator film interface having a low interface state density can be provided.

(10)上記(8)に記載の半導体薄膜形成装置形成装置において、前記別の処理室が基板に半導体膜を形成するための半導体膜形成室であることを特徴とする半導体薄膜形成装置を提供することによって、単結晶半導体薄膜と同等の高品質かつ化学的に活性な表面を有する半導体薄膜を形成するために必要な前記半導体膜を、不純物やゴミによる汚染を受けることなく光照射工程に送ることが可能となり、洗浄工程の削減による半導体装置製造コストの低減や、各真空装置内での排気時間や洗浄時間の削減によるスループットを向上させることができた。   (10) A semiconductor thin film forming apparatus according to (8), wherein the another processing chamber is a semiconductor film forming chamber for forming a semiconductor film on a substrate. Thus, the semiconductor film necessary for forming a semiconductor thin film having a high quality and chemically active surface equivalent to that of a single crystal semiconductor thin film is sent to the light irradiation process without being contaminated by impurities and dust. As a result, the semiconductor device manufacturing cost can be reduced by reducing the cleaning process, and the throughput can be improved by reducing the exhaust time and the cleaning time in each vacuum apparatus.

(11)上記(8)に記載の半導体薄膜形成装置形成装置において、前記別の処理室が基板に加熱処理を施すための加熱処理室であることを特徴とする半導体薄膜形成装置を得ることができた。   (11) In the semiconductor thin film forming apparatus forming apparatus described in (8) above, it is possible to obtain a semiconductor thin film forming apparatus, wherein the another processing chamber is a heat treatment chamber for performing heat treatment on the substrate. did it.

(12)上記(8)に記載の半導体薄膜形成装置形成装置において、前記別の処理室が基板にプラズマ処理を施すためのプラズマ処理室であることを特徴とする半導体薄膜形成装置を提供することによって、単結晶半導体薄膜と同等の高品質かつ化学的に活性な表面を有する半導体薄膜を、不純物やゴミによる汚染を受けることなく次工程に送ることが可能となり、洗浄工程の削減による半導体装置製造コストの低減や、各真空装置内での排気時間や洗浄時間の削減によるスループットを向上させることができた。   (12) A semiconductor thin film forming apparatus according to (8), wherein the another processing chamber is a plasma processing chamber for performing plasma processing on a substrate. Makes it possible to send a semiconductor thin film with a high quality and chemically active surface equivalent to that of a single crystal semiconductor thin film to the next process without being contaminated by impurities or dust. Through the reduction of cost and the reduction of exhaust time and cleaning time in each vacuum device, the throughput could be improved.

(13)上記(8)に記載の半導体薄膜形成装置形成装置において、前記処理室が、前記光マスク上に形成したパターンを前記基板上の半導体薄膜にレーザビームにより投影露光して、半導体薄膜の前記所定の領域を改質するためのレーザ処理室であり、前記別の処理室がもう一つのレーザ処理室であることを特徴とする半導体薄膜形成装置を提供することによって、単結晶半導体薄膜と同等の高品質かつ化学的に活性な表面を有する半導体薄膜を、不純物やゴミによる汚染を受けることなく次工程に送ることが可能となり、洗浄工程の削減による半導体装置製造コストの低減や、各真空装置内での排気時間や洗浄時間の削減によるスループットを向上させることができた。   (13) In the semiconductor thin film forming apparatus forming apparatus according to (8), the processing chamber projects and exposes a pattern formed on the optical mask onto the semiconductor thin film on the substrate with a laser beam, and By providing a semiconductor thin film forming apparatus, which is a laser processing chamber for modifying the predetermined region, and the another processing chamber is another laser processing chamber, Semiconductor thin films with the same high-quality and chemically active surface can be sent to the next process without being contaminated by impurities and dust, reducing the manufacturing cost of semiconductor devices by reducing the cleaning process, and each vacuum Throughput can be improved by reducing exhaust time and cleaning time in the equipment.

(14)上記(8)〜(12)に記載の半導体薄膜形成装置において、前記別の処理室は、該別の処理室内の所定の領域にプラズマを発生させるためのプラズマ発生源を有し、前記別の処理室内の前記所定の領域外の領域に基板が配置されることを特徴とする半導体薄膜形成装置を提供することによって、不純物やゴミによる汚染を受けることなく次工程に送られた単結晶半導体薄膜と同等の高品質かつ化学的に活性な表面を有する半導体薄膜へのプラズマダメージを抑制することを実現した。   (14) In the semiconductor thin film forming apparatus according to (8) to (12), the another processing chamber includes a plasma generation source for generating plasma in a predetermined region in the other processing chamber. By providing a semiconductor thin film forming apparatus in which a substrate is disposed in a region outside the predetermined region in the another processing chamber, a single unit sent to the next process without being contaminated by impurities or dust. It has been achieved to suppress plasma damage to semiconductor thin films with high quality and chemically active surfaces equivalent to crystalline semiconductor thin films.

(15)上記(8)〜(12)に記載の半導体薄膜形成装置において、前記別の処理室は、該別の処理室内の所定の領域にプラズマを発生させるためのプラズマ発生源を有し、前記別の処理室は、前記所定の領域の前記プラズマにより励起されたガスと、前記所定の領域を介さずに前記別の処理室内に導入される別のガスとを反応させることにより、前記基板に前記プラズマ処理を施すものであることを特徴とする半導体薄膜形成装置を提供することによって、シリコンとシリコン熱酸化との界面に形成されるような良好な半導体−絶縁体界面を有する半導体デバイス製造を、400℃以下の低温プロセスで実現した。   (15) In the semiconductor thin film forming apparatus according to (8) to (12), the another processing chamber has a plasma generation source for generating plasma in a predetermined region in the other processing chamber, The another processing chamber reacts the gas excited by the plasma in the predetermined region with another gas introduced into the other processing chamber without passing through the predetermined region. Semiconductor device manufacturing having a good semiconductor-insulator interface formed at the interface between silicon and silicon thermal oxidation by providing a semiconductor thin film forming apparatus characterized in that the plasma treatment is performed on Was realized by a low-temperature process of 400 ° C. or lower.

次に本発明の実施の形態について図面を参照して説明する。   Next, embodiments of the present invention will be described with reference to the drawings.

図11は本発明の実施の形態を表した例である。第1のエキシマレーザEL1及び第2のエキシマレーザEL2から供給されるパルスUV光は、ミラー類opt3, opt3'、レンズ類opt4を介してホモジナイザopt20'に導かれる。ここでビームの強度プロファイルが光学マスクopt21で所望の均一度、例えば面内分布±5%、になるように整形する。(エキシマレーザから供給されるオリジナルなビームはその強度プロファイルや総エネルギー量が、パルス間毎に変化する場合があるため、光学マスク上での強度が、空間的分布、パルス間ばらつきについて、より均一化されるための機構が設けられることが望ましい。ホモジナイザとしては、フライアイレンズやシリンドリカルレンズを用いたものが一般的に用いられる。)上記光学マスクによって形成された光パターンは縮小投影露光装置opt23'、レーザ導入窓W0を介して、真空チャンバC0内に設置されたsub0基板に照射される。上記基板は、基板ステージS0上に載置されており、基板ステージの動作によって所望の領域、例えばパターン転写領域ex0に光パターンを露光することができる。図11では縮小投影光学系を示したが、場合によっては等倍、拡大投影を行ってもかまわない。基板ステージの移動(図内X−Y)によって基板上の任意の領域に照射が行われる。また、上記光学マスクはマスクステージ(図示せず)上に設置され、露光可能領域内であれば、上記光学マスクを移動して基板上に照射されるビームを操作することも可能である。   FIG. 11 is an example showing an embodiment of the present invention. The pulsed UV light supplied from the first excimer laser EL1 and the second excimer laser EL2 is guided to the homogenizer opt20 ′ via mirrors opt3 and opt3 ′ and lenses opt4. Here, the beam intensity profile is shaped by the optical mask opt21 so as to have a desired uniformity, for example, in-plane distribution ± 5%. (The intensity profile and total energy of the original beam supplied from the excimer laser may vary from pulse to pulse, so the intensity on the optical mask is more uniform in terms of spatial distribution and variations between pulses. It is desirable that a mechanism using a fly-eye lens or a cylindrical lens is generally used as a homogenizer.) The light pattern formed by the optical mask is a reduction projection exposure apparatus opt23 ', The sub0 substrate placed in the vacuum chamber C0 is irradiated through the laser introduction window W0. The substrate is placed on the substrate stage S0, and the optical pattern can be exposed to a desired region, for example, the pattern transfer region ex0, by the operation of the substrate stage. Although the reduction projection optical system is shown in FIG. 11, enlargement projection may be performed at the same magnification in some cases. Irradiation is performed on an arbitrary region on the substrate by moving the substrate stage (X-Y in the figure). Further, the optical mask is placed on a mask stage (not shown), and it is also possible to operate the beam irradiated onto the substrate by moving the optical mask as long as it is within the exposure area.

次に所望の光パターンを所望の条件で基板上に照射するために必要な機構について例示する。光軸の調整には微妙な調整が必要となるため、いったん調整を終えた光軸を固定して基板の位置を調整する方法を示す。光軸に対する基板照射面の位置は、焦点(Z)方向位置及び光軸に対する垂直度を補正する必要がある。したがって、図中θxy傾き補正方向、θxz傾き補正方向、θyz傾き補正方向、X露光領域移動方向、Y露光領域移動方向、Z焦点合わせ方向で示すうち、θxy傾き補正方向、θxz傾き補正方向、θyz傾き補正方向の調整により光軸に対する垂直度を補正する。また、Z焦点合わせ方向を調整することにより光学系の焦点深度にあった位置に基板照射面を配置制御する。   Next, a mechanism necessary for irradiating a substrate with a desired light pattern under desired conditions will be exemplified. Since the adjustment of the optical axis requires delicate adjustment, a method for adjusting the position of the substrate by fixing the optical axis that has been adjusted once will be described. The position of the substrate irradiation surface with respect to the optical axis needs to correct the position in the focal (Z) direction and the perpendicularity to the optical axis. Therefore, among the θxy inclination correction direction, θxz inclination correction direction, θyz inclination correction direction, X exposure area movement direction, Y exposure area movement direction, and Z focusing direction in the figure, θxy inclination correction direction, θxz inclination correction direction, θyz The degree of perpendicularity to the optical axis is corrected by adjusting the tilt correction direction. In addition, by adjusting the Z focusing direction, the substrate irradiation surface is arranged and controlled at a position corresponding to the focal depth of the optical system.

図12は上記の調整や基板のアライメント機構の側面図について例示した。露光軸L0に対し、光学マスクopt21、縮小投影露光装置opt23'、レーザ導入窓W0が図のように配置される。真空チャンバC0内に配置された基板sub0は、基板吸着機構付きヒータH0、基板XYZθxyθxzθyzステージS0'上に配置される。真空チャンバを用いているが実際の光照射は真空排気後置換された不活性ガス、水素、酸素、窒素等の雰囲気中で行われることが望ましく、雰囲気圧も大気圧前後の圧力であってもよい。基板吸着機構付きヒータを用いることによって光照射時に、室温〜400℃程度の基板加熱条件を選ぶことができる。上記のように雰囲気圧を大気圧力程度にすることによって、真空チャック機能による基板の吸着ができるため、チャンバ内での基板ステージの移動等があってもずれを防止でき、投入された基板に多少のそり、たわみがあっても基板ステージに固定することができる。さらに加熱による基板のそり、たわみによる焦点深度ずれを最小限に抑えることができる。   FIG. 12 illustrates a side view of the above adjustment and substrate alignment mechanism. An optical mask opt21, a reduced projection exposure apparatus opt23 ′, and a laser introduction window W0 are arranged as shown in the figure with respect to the exposure axis L0. The substrate sub0 disposed in the vacuum chamber C0 is disposed on the heater H0 with a substrate suction mechanism and the substrate XYZθxyθxzθyz stage S0 ′. Although a vacuum chamber is used, actual light irradiation is preferably performed in an atmosphere of inert gas, hydrogen, oxygen, nitrogen or the like replaced after evacuation, and the atmospheric pressure may be about atmospheric pressure. Good. By using a heater with a substrate adsorption mechanism, substrate heating conditions of about room temperature to 400 ° C. can be selected during light irradiation. By setting the atmospheric pressure to the atmospheric pressure as described above, the substrate can be adsorbed by the vacuum chuck function, so that the displacement can be prevented even if the substrate stage moves in the chamber. Even if there is sled or deflection, it can be fixed to the substrate stage. Further, it is possible to minimize the depth of focus shift due to the warp or deflection of the substrate due to heating.

レーザ干渉計i1, i2は、測長用窓W-i、測長用ミラーopt-iを介して、基板のアライメント及び基板のZ方向位置の測定を行う。アライメントには、基板上のアライメントマークをオフアクシス顕微鏡m0、顕微鏡用光源Lm、顕微鏡用素子opt-mを用いて計測し、レーザ干渉系による基板位置情報を用いて所望の露光位置を計測できる。図12ではオフアクシス法を例示したが、Through The Lens方式やThrough The Mask (Reticle)方式を応用することも可能である。また、複数の計測地点から線形座標を、最小2乗法を用いて決定することにより、計測時に生じる測定誤差を平均化する手段をとることもできる。   The laser interferometers i1 and i2 measure the alignment of the substrate and the position in the Z direction of the substrate through the measurement window Wi and the measurement mirror opt-i. For alignment, an alignment mark on the substrate is measured using an off-axis microscope m0, a microscope light source Lm, and a microscope element opt-m, and a desired exposure position can be measured using substrate position information obtained by a laser interference system. Although the off-axis method is illustrated in FIG. 12, the Through The Lens method and the Through The Mask (Reticle) method can also be applied. Further, by determining linear coordinates from a plurality of measurement points using the least square method, it is possible to take means for averaging measurement errors that occur during measurement.

図13(A)〜(C)にマスクパターンとアライメントマークの関係について示した。マスクはマスク(非露光部)mask1とマスク(露光部)mask2とから構成される。例えばエキシマレーザを光源にする場合、紫外光が透過する石英基板上にアルミニウム、クロム、タングステンなどの金属や、誘電体多層膜といった紫外光を吸収、反射する膜を形成し、フォトリソグラフィとエッチング技術を用いてパターンを形成する。マスク上の所望のパターン(図13(A)において白色部で示される)に応じて、シリコン膜が露光され図13(B)に示されるように非露光Si(Si1)内に露光Si部(Si2)が形成される。このとき、必要に応じてマスク上マークmark1が基板上マークmark2に一致するようにアライメント調整後露光することによって、シリコン薄膜上の予め設計された位置を露光することが可能となる。また、上記シリコン薄膜を用いた薄膜トランジスタ形成工程において、露光プロセスが位置決めを必要とする第1工程の場合(すなわちアライメントマークが予め形成されていない場合)、シリコン薄膜への露光工程時に露光形成マークmark3を同時に露光することによって、a-Siと結晶Siとの光学的色差を利用したアライメントマークが形成できる。したがってこのマークを基準に後工程におけるフォトリソグラフィ等を行うことによって、露光改質された所望の領域に、トランジスタや所望の機構、機能を作り込むことができる。露光工程後シリコン薄膜上にSi酸化膜を形成し、シリコン層の所望の領域がエッチング除去された状態を図13(C)に示す。Si除去部(Si3)は積層されたシリコン膜とSi酸化膜がエッチング除去された領域であり、非露光Si (Si1)と露光Si (Si2)上にSi酸化膜(Si4, Si5)が積層された形状が示されている。このように酸化膜で覆われたシリコン膜からなる島状構造を作り込むことによって素子間分離された薄膜トランジスタのチャネル/ソース・ドレイン領域や後工程のアライメントに必要なマークを形成することができる。   FIGS. 13A to 13C show the relationship between the mask pattern and the alignment mark. The mask includes a mask (non-exposed portion) mask1 and a mask (exposed portion) mask2. For example, when an excimer laser is used as a light source, a film that absorbs and reflects ultraviolet light, such as a metal such as aluminum, chromium, and tungsten, or a dielectric multilayer film, is formed on a quartz substrate that transmits ultraviolet light. Is used to form a pattern. The silicon film is exposed in accordance with a desired pattern on the mask (indicated by a white portion in FIG. 13A), and an exposed Si portion (non-exposed Si (Si1)) (as shown in FIG. 13B). Si2) is formed. At this time, it is possible to expose a predesigned position on the silicon thin film by performing exposure after alignment adjustment so that the mark on the mask mark1 matches the mark on the substrate mark2 as necessary. Further, in the thin film transistor forming process using the silicon thin film, when the exposure process is the first process that requires positioning (that is, when the alignment mark is not formed in advance), the exposure forming mark mark3 is formed during the silicon thin film exposure process. By simultaneously exposing these, an alignment mark using the optical color difference between a-Si and crystalline Si can be formed. Therefore, a transistor, a desired mechanism, and a function can be formed in a desired region subjected to exposure modification by performing photolithography or the like in a later process using this mark as a reference. FIG. 13C shows a state where a Si oxide film is formed on the silicon thin film after the exposure process and a desired region of the silicon layer is removed by etching. The Si removal part (Si3) is a region where the laminated silicon film and Si oxide film are removed by etching. The Si oxide film (Si4, Si5) is laminated on the unexposed Si (Si1) and exposed Si (Si2). The shape is shown. Thus, by forming an island-shaped structure made of a silicon film covered with an oxide film, channel / source / drain regions of thin film transistors separated between elements and marks necessary for alignment in subsequent steps can be formed.

図14(1)(2)に主要動作のタイミングチャートを示す。制御例1では基板ステージの動作により所望の露光位置に基板を移動させる。次に焦点合わせやアライメント動作を行い精密に露光位置を調整する。このとき、例えば0.1μm〜100μm程度といった、所望の設定誤差精度にはいるように調整する。その動作が完了した時点で、基板への光照射が実行される。これらの一連の動作を終了した時点で次の露光領域へ基板が移動し、基板上の必要な箇所を照射終了した後、基板が交換され第2の処理基板上で所定の一連の処理を行う。制御例2では基板ステージの動作により所望の露光位置に基板を移動させる。次に焦点合わせやアライメント動作を行い精密に露光位置を調整する。このとき、例えば0.1μm〜100μm程度といった、所望の設定誤差精度にはいるように調整する。その動作が完了した時点で、マスクステージの動作を始動する。始動時の移動ステップ量のばらつきを避けるために、基板への光照射はマスクステージ動作の開始よりもあとから開始されるチャートである。もちろんステージの移動によりアライメント位置から離れた地点に露光されるため、その分のオフセット量は予め考慮する必要があることはいうまでもない。基板への光照射よりも早く光源の運転を開始し、光源の出力強度の安定性が高まった時点で、シャッタ等を開き基板への光照射を行うことも可能である。特にエキシマレーザを光源に用い、発振期間と停止期間とが繰り返されるような使用法をとった場合、初期の数10パルスが特に不安定なことが知られており、これらの不安定なレーザパルスを照射したくない場合には、マスクステージの動作に合わせてビームを遮断する方式をとることができる。これらの一連の動作を終了した時点で次の露光領域へ基板が移動し、基板上の必要な箇所を照射終了した後、基板が交換され第2の処理基板上で所定の一連の処理を行う。   14 (1) and 14 (2) show timing charts of main operations. In Control Example 1, the substrate is moved to a desired exposure position by the operation of the substrate stage. Next, focusing and alignment operations are performed to precisely adjust the exposure position. At this time, for example, adjustment is made so as to fall within a desired setting error accuracy such as about 0.1 μm to 100 μm. When the operation is completed, light irradiation to the substrate is performed. When these series of operations are completed, the substrate moves to the next exposure region, and after irradiation of necessary portions on the substrate is completed, the substrate is replaced and a predetermined series of processing is performed on the second processing substrate. . In Control Example 2, the substrate is moved to a desired exposure position by the operation of the substrate stage. Next, focusing and alignment operations are performed to precisely adjust the exposure position. At this time, for example, adjustment is made so as to fall within a desired setting error accuracy such as about 0.1 μm to 100 μm. When the operation is completed, the operation of the mask stage is started. In order to avoid variation in the amount of movement step at the start, the light irradiation to the substrate is a chart that is started after the start of the mask stage operation. Of course, since exposure is performed at a point away from the alignment position due to the movement of the stage, it is needless to say that the amount of offset needs to be considered in advance. It is also possible to start the operation of the light source earlier than the light irradiation to the substrate, and when the stability of the output intensity of the light source increases, the shutter or the like is opened to perform the light irradiation to the substrate. In particular, when an excimer laser is used as a light source and a usage method in which an oscillation period and a stop period are repeated, it is known that the initial several tens of pulses are particularly unstable. When it is not desired to irradiate the beam, a method of blocking the beam in accordance with the operation of the mask stage can be adopted. When these series of operations are completed, the substrate moves to the next exposure region, and after irradiation of necessary portions on the substrate is completed, the substrate is replaced and a predetermined series of processing is performed on the second processing substrate. .

膜厚75nmのa-Si薄膜に対して1mm x 50μmのビームを短軸方向に0.5μmピッチで走査した。一つの光源を用いてレーザ照射強度は照射面で470mJ/cm2としたところ、走査方向に連続する単結晶シリコン薄膜が得られた。さらに、第2光源を照射面で150mJ/cm2となるように、100nsec遅延させて照射した条件では1.0μmの走査ピッチ条件でも走査方向に連続する単結晶シリコン薄膜が得られた。上記結晶化シリコン膜中のトラップ準位密度は1012 cm-2より低い値を示した。 An a-Si thin film with a thickness of 75 nm was scanned with a 1 mm × 50 μm beam at a 0.5 μm pitch in the minor axis direction. When a single light source was used and the laser irradiation intensity was 470 mJ / cm 2 on the irradiated surface, a single crystal silicon thin film continuous in the scanning direction was obtained. In addition, a single crystal silicon thin film continuous in the scanning direction was obtained even under a scanning pitch condition of 1.0 μm under the condition that the second light source was irradiated with a delay of 100 nsec so that the irradiation surface was 150 mJ / cm 2 . The trap level density in the crystallized silicon film was lower than 10 12 cm -2 .

図15は、本発明の実施の形態を示す半導体薄膜形成装置の側面図である。プラズマCVD室C2、レーザ照射室C5、基板搬送室C7から構成され、ゲートバルブGV2, GV5を介して基板の搬送が装置外部の雰囲気に触れることなく真空中、不活性ガス、窒素、水素、酸素等の雰囲気かつ高真空、減圧、加圧状態で可能である。レーザ照射室においては400℃程度まで加熱可能なS5基板ステージ上にチャック機構を用いて基板が設置される。プラズマCVD室では、400℃程度まで加熱可能な基板ホルダーS2上に基板が設置される。この例ではガラス基板Sub0上にシリコン薄膜(Si 1)が形成された状態でレーザ照射室に導入され、表面のシリコン薄膜がレーザ照射により結晶性シリコン薄膜(Si 2)に改質され、プラズマCVD室に搬送された状態を示している。   FIG. 15 is a side view of a semiconductor thin film forming apparatus showing an embodiment of the present invention. Consists of a plasma CVD chamber C2, a laser irradiation chamber C5, and a substrate transfer chamber C7, and the transfer of the substrate through the gate valves GV2 and GV5 does not touch the atmosphere outside the apparatus in vacuum, inert gas, nitrogen, hydrogen, oxygen Etc., and in a high vacuum, reduced pressure, and pressurized state. In the laser irradiation chamber, a substrate is set using a chuck mechanism on an S5 substrate stage that can be heated to about 400 ° C. In the plasma CVD chamber, the substrate is placed on the substrate holder S2 that can be heated to about 400 ° C. In this example, a silicon thin film (Si 1) formed on a glass substrate Sub0 is introduced into a laser irradiation chamber, and the silicon thin film on the surface is modified to a crystalline silicon thin film (Si 2) by laser irradiation, and plasma CVD is performed. The state conveyed to the chamber is shown.

レーザ照射室に導入されるレーザ光は、エキシマレーザ1(EL 1)、エキシマレーザ2(EL 2)から供給されるビームが第1のビームラインL 1、第2のビームラインL 2を通り、レーザ合成光学装置opt 1、ミラーopt 11、透過ミラーopt 12、レーザ照射光学装置opt 2、ホモジナイザopt 20、光学マスクステージopt 22に固定された光学マスクopt 21、投影光学装置opt 23、レーザ導入窓W 1を介して基板表面に到達する。ここでは2台のエキシマレーザを図示したが、光源としては1台以上所望の台数を設置することもできる。またエキシマレーザに限らず、炭酸ガスレーザ、YAGレーザ等のパルスレーザや、アルゴンレーザ等のCW光源と高速シャッタを用いてパルス上に供給してもよい。   The laser beam introduced into the laser irradiation chamber is such that the beam supplied from the excimer laser 1 (EL 1) and the excimer laser 2 (EL 2) passes through the first beam line L 1 and the second beam line L 2. Laser synthesis optical device opt 1, mirror opt 11, transmission mirror opt 12, laser irradiation optical device opt 2, homogenizer opt 20, optical mask opt 21 fixed to optical mask stage opt 22, projection optical device opt 23, laser introduction window It reaches the substrate surface via W1. Although two excimer lasers are illustrated here, one or more desired number of light sources can be installed. In addition to the excimer laser, a pulse laser such as a carbon dioxide laser or a YAG laser, or a CW light source such as an argon laser and a high-speed shutter may be used to supply the pulse.

一方プラズマCVD室はRF電極D 1と プラズマ閉じこめ電極D 3により プラズマ形成領域D 2が基板が配置される領域とは離れた位置に形成される。プラズマ形成領域には例えば酸素とヘリウムを、 原料ガス導入装置D 4を用いてシランガスを供給することにより、基板上に酸化シリコン膜を形成することができる。   On the other hand, in the plasma CVD chamber, the plasma formation region D2 is formed at a position away from the region where the substrate is disposed by the RF electrode D1 and the plasma confinement electrode D3. A silicon oxide film can be formed on the substrate by supplying, for example, oxygen and helium to the plasma formation region and silane gas using the source gas introduction device D4.

図16に本発明の実施の形態を示す半導体薄膜形成装置の平面図を示す。ロード/アンロード室C1、 プラズマCVD室 C2、基板加熱室C3、水素プラズマ処理室C4、レーザ照射室C5、基板搬送室C7がそれぞれゲートバルブGV1〜GV6を介して接続されている。第1のビームラインL1、第2のビームラインL2から供給されるレーザ光がレーザ合成光学装置opt1、レーザ照射光学装置opt2、レーザ導入窓W1を介して基板表面に照射される。また、それぞれのプロセス室、搬送室はガス導入装置gas1〜gas7、排気装置vent1〜vent7が接続されており、所望のガス種の供給、プロセス圧の設定、排気、真空が調整される。図に点線で示すように処理基板sub2, sub6が平面上に配置される。   FIG. 16 is a plan view of a semiconductor thin film forming apparatus showing an embodiment of the present invention. The load / unload chamber C1, the plasma CVD chamber C2, the substrate heating chamber C3, the hydrogen plasma processing chamber C4, the laser irradiation chamber C5, and the substrate transfer chamber C7 are connected through gate valves GV1 to GV6, respectively. The laser beam supplied from the first beam line L1 and the second beam line L2 is irradiated onto the substrate surface via the laser synthesis optical device opt1, the laser irradiation optical device opt2, and the laser introduction window W1. In addition, gas introduction devices gas1 to gas7 and exhaust devices vent1 to vent7 are connected to the respective process chambers and transfer chambers, and supply of desired gas species, setting of process pressure, exhaust, and vacuum are adjusted. As shown by dotted lines in the figure, the processing substrates sub2 and sub6 are arranged on a plane.

図17はプラズマCVD室 C2の概略図である。高周波電源(13.56MHzあるいはそれ以上の高周波が適する)RF1から電力が高周波電極RF2に供給される。ガス供給穴付き電極RF3と高周波電極の間にプラズマが形成され、反応形成されたラジカルがガス供給穴付き電極を通り基板が配置された領域に導かれる。平面型ガス導入装置RF4によりプラズマに曝すことなく別のガスが導入され、気相反応を経て基板sub2上に薄膜が形成される。基板ホルダーS2はヒータ等により室温から500℃程度までの加熱行うように設計した。図のように、排気装置ven2、ガス導入装置gas2、酸素ラインgas21、ヘリウムラインgas22、水素ラインgas23、シランラインgas24、ヘリウムラインgas25、アルゴンラインgas26を用いて酸素ラジカルとシランガスを反応させることによって酸化シリコン膜を形成できる。基板温度300℃、圧力0.1torr、RF電力100W、シラン流量10sccm、酸素流量400sccm、ヘリウム流量400sccmの条件で膜形成を行ったところ、固定酸化膜電荷密度(5x1011 cm-2)と良好な特性を有するシリコン酸化膜の形成を確認している。また、シランに対する酸素流量比を大きくすることでより良好な酸化膜の形成が可能である。プラズマCVD室の形態としては上述のような平行平板型のRFプラズマCVD装置ばかりでなく、減圧CVDや常圧CVDといったプラズマを利用しない方法や、マイクロ波やECR(Electron Cycrotron Resonance)効果を用いたプラズマCVD法を用いることも可能である。 FIG. 17 is a schematic view of the plasma CVD chamber C2. Power is supplied to the high frequency electrode RF2 from a high frequency power source (high frequency of 13.56 MHz or higher is suitable) RF1. Plasma is formed between the electrode RF3 with a gas supply hole and the high-frequency electrode, and the radical formed by the reaction passes through the electrode with the gas supply hole and is guided to the region where the substrate is disposed. Another gas is introduced by the planar gas introducing device RF4 without being exposed to plasma, and a thin film is formed on the substrate sub2 through a gas phase reaction. The substrate holder S2 was designed to be heated from room temperature to about 500 ° C. with a heater or the like. Oxidation by reacting oxygen radicals and silane gas using exhaust device ven2, gas introduction device gas2, oxygen line gas21, helium line gas22, hydrogen line gas23, silane line gas24, helium line gas25, argon line gas26 as shown in the figure A silicon film can be formed. When film formation was performed under conditions of a substrate temperature of 300 ° C., a pressure of 0.1 torr, an RF power of 100 W, a silane flow rate of 10 sccm, an oxygen flow rate of 400 sccm, and a helium flow rate of 400 sccm, the fixed oxide film charge density (5 × 10 11 cm −2 ) was good. The formation of a silicon oxide film having characteristics is confirmed. Further, a better oxide film can be formed by increasing the ratio of oxygen flow rate to silane. As a form of the plasma CVD chamber, not only the parallel plate type RF plasma CVD apparatus as described above but also a method not using plasma such as low pressure CVD or atmospheric pressure CVD, or a microwave or ECR (Electron Cycrotron Resonance) effect was used. It is also possible to use a plasma CVD method.

表1は図17に示すプラズマCVD装置を酸化シリコン膜以外の薄膜形成に用いる場合に必要なガス種の例を示している。

Figure 2007019529
Table 1 shows an example of gas types necessary when the plasma CVD apparatus shown in FIG. 17 is used for forming a thin film other than a silicon oxide film.
Figure 2007019529

Si3N4窒化シリコン膜の形成にはN2(窒素)(あるいはアンモニア)、キャリアガスとしてAr(アルゴン)、SiH4(シラン)、キャリアガスとしてアルゴン等を用いることができる。Siシリコン薄膜の形成にはH2水素とシラン、水素(キャリアガスとしてアルゴン)とSiF44フッ化シラン(キャリアガスとしてアルゴン)等の原料ガスを用いることができる。また、成膜プロセスではないが、水素プラズマを利用してシリコン薄膜や酸化シリコン膜の水素プラズマ処理も可能である。   For the formation of the Si3N4 silicon nitride film, N2 (nitrogen) (or ammonia), Ar (argon) as a carrier gas, SiH4 (silane), argon as a carrier gas, or the like can be used. A source gas such as H2 hydrogen and silane, hydrogen (argon as a carrier gas) and SiF4 tetrafluorosilane (argon as a carrier gas) can be used for forming the Si silicon thin film. Although not a film formation process, hydrogen plasma treatment of a silicon thin film or a silicon oxide film is also possible using hydrogen plasma.

図18は本発明の半導体薄膜形成装置を薄膜トランジスタの製造工程に応用した場合の工程フロー図である。   FIG. 18 is a process flow diagram when the semiconductor thin film forming apparatus of the present invention is applied to a thin film transistor manufacturing process.

(a)洗浄によって有機物や金属、微粒子等を除去したガラス基板sub0上に基板カバー膜T1、シリコン薄膜T2を順次形成する。基板カバー膜としてLPCVD(減圧化学的気相成長)法でシランと酸素ガスを原料とし、450℃で酸化シリコン膜を1μm形成する。LPCVD法を用いることにより基板保持領域を除き基板外表面全体をカバーすることも可能である(図示せず)。あるいはテトラエトキシシラン(TEOS)と酸素を原料としたプラズマCVD、TEOSとオゾンを原料とした常圧CVD、図18に示すようなプラズマCVD等を利用することも可能であり、基板材料(アルカリ金属濃度を極力低減したガラス、表面を研磨加工した石英・ガラス等)が含む半導体デバイスに有害な不純物の拡散防止ができる材料が基板カバー膜として有効である。シリコン薄膜はLPCVDでジシランガスを原料として500℃で厚さ75nm形成する。この場合膜中に含まれる水素原子濃度が1原子%以下となるため、レーザ照射工程での水素放出による膜荒れ等を防ぐことができる。あるいは図17に示すようなプラズマCVD法や広く普及しているプラズマCVD法を用いても、基板温度や水素/シラン流量比、水素/4フッ化シラン流量比等を調整することによって水素原子濃度が低いシリコン薄膜を形成できる。   (a) A substrate cover film T1 and a silicon thin film T2 are sequentially formed on a glass substrate sub0 from which organic substances, metals, fine particles, and the like have been removed by cleaning. As a substrate cover film, a silicon oxide film of 1 μm is formed at 450 ° C. using silane and oxygen gas as raw materials by LPCVD (low pressure chemical vapor deposition). By using the LPCVD method, it is possible to cover the entire outer surface of the substrate except for the substrate holding region (not shown). Alternatively, plasma CVD using tetraethoxysilane (TEOS) and oxygen as raw materials, atmospheric pressure CVD using TEOS and ozone as raw materials, plasma CVD as shown in FIG. 18, and the like can be used. A material capable of preventing diffusion of impurities harmful to semiconductor devices including glass whose concentration is reduced as much as possible, quartz / glass whose surface is polished, and the like is effective as the substrate cover film. The silicon thin film is formed by LPCVD using disilane gas as a raw material at 500 ° C. and a thickness of 75 nm. In this case, since the concentration of hydrogen atoms contained in the film is 1 atomic% or less, film roughness due to hydrogen release in the laser irradiation process can be prevented. Alternatively, even if a plasma CVD method as shown in FIG. 17 or a widely used plasma CVD method is used, the hydrogen atom concentration is adjusted by adjusting the substrate temperature, the hydrogen / silane flow rate ratio, the hydrogen / 4 fluorinated silane flow rate ratio, or the like. Can form a silicon thin film with low thickness.

(b)上記(a)工程で準備した基板を、有機物や金属、微粒子、表面酸化膜等を除去するための洗浄工程を経た後、本発明の薄膜形成装置に導入する。レーザ光L0が照射し、シリコン薄膜を結晶化シリコン薄膜T2'に改質する。レーザ結晶化は99.9999%以上の高純度窒素700torr以上の雰囲気で行われる。   (b) The substrate prepared in the step (a) is subjected to a cleaning step for removing organic substances, metals, fine particles, surface oxide films, and the like, and then introduced into the thin film forming apparatus of the present invention. Irradiation with the laser beam L0 modifies the silicon thin film into a crystallized silicon thin film T2 ′. Laser crystallization is performed in an atmosphere of high purity nitrogen of 99.9999% or higher and 700 torr or higher.

(c)上記工程を経た基板は、ガスが排気された後基板搬送室を介してプラズマCVD室に搬送される。第1のゲート絶縁膜T3として、シラン、ヘリウム、酸素を原料ガスとして基板温度350度で酸化シリコン膜を10nm堆積する。このあと必要に応じて水素プラズマ処理や加熱アニールを行う。ここまでが本発明の薄膜形成装置において処理される。   (c) The substrate having undergone the above steps is transferred to the plasma CVD chamber through the substrate transfer chamber after the gas is exhausted. As the first gate insulating film T3, a silicon oxide film is deposited to a thickness of 10 nm at a substrate temperature of 350 degrees using silane, helium, and oxygen as source gases. Thereafter, hydrogen plasma treatment or heat annealing is performed as necessary. The processing up to this point is processed in the thin film forming apparatus of the present invention.

(d)次に、フォトリソグラフィとエッチング技術を用いてシリコン薄膜と酸化シリコン膜積層膜のアイランドを形成する。このとき、シリコン薄膜に比べ酸化シリコン膜のエッチングレートが高いエッチング条件を選択することがこのましい。図に示すようにパターン断面が階段状(あるいはテーパ状)に形成することによって、ゲートリークを防ぎ信頼性の高い薄膜トランジスタを提供できる。   (d) Next, an island of a silicon thin film and a silicon oxide film laminated film is formed using photolithography and etching techniques. At this time, it is preferable to select an etching condition in which the etching rate of the silicon oxide film is higher than that of the silicon thin film. As shown in the figure, a thin film transistor with high reliability can be provided by preventing gate leakage by forming the pattern cross section in a step shape (or taper shape).

(e)次に、有機物や金属、微粒子等を除去するための洗浄を行った後、上記アイランドを被覆するように第2のゲート絶縁膜T4を形成する。ここでは、LPCVD法でシランと酸素ガスを原料とし、450℃で酸化シリコン膜を30nm形成した。あるいはテトラエトキシシラン(TEOS)と酸素を原料としたプラズマCVD、TEOSとオゾンを原料とした常圧CVD、図18に示すようなプラズマCVD等を利用することも可能である。次にゲート電極としてn+シリコン膜を80nm、タングステンシリサイド膜を110nm形成する。n+シリコン膜はプラズマCVDやLPCVD法で形成された結晶性のリンドープシリコン膜が望ましい。その後、フォトリソグラフィとエッチング工程を経て、T5パターン化されたゲート電極を形成する。   (e) Next, after performing cleaning for removing organic substances, metals, fine particles, etc., a second gate insulating film T4 is formed so as to cover the island. Here, a silane and oxygen gas were used as raw materials by LPCVD, and a silicon oxide film having a thickness of 30 nm was formed at 450 ° C. Alternatively, it is also possible to use plasma CVD using tetraethoxysilane (TEOS) and oxygen as raw materials, atmospheric pressure CVD using TEOS and ozone as raw materials, plasma CVD as shown in FIG. Next, an n + silicon film of 80 nm and a tungsten silicide film of 110 nm are formed as gate electrodes. The n + silicon film is preferably a crystalline phosphorus-doped silicon film formed by plasma CVD or LPCVD. Thereafter, a T5 patterned gate electrode is formed through photolithography and etching processes.

(f1, f2)次に、ゲートをマスクとして不純物注入領域T6, T6'を形成する。CMOS型回路を形成する場合は、フォトリソグラフィを併用してn+領域が必要なn-channel TFT及びp+領域を要するp-channel TFTを作り分ける。注入される不純物イオンの質量分離を行わないイオンドーピングや、イオン注入、プラズマドーピング、レーザドーピング等の方法を採ることができる。そのとき用途や不純物導入方法によって(f1)(f2)のように表面の酸化シリコン膜を残したまま、あるいは除去した後に不純物の導入を行う。   (f1, f2) Next, impurity implantation regions T6, T6 ′ are formed using the gate as a mask. In the case of forming a CMOS type circuit, photolithography is used together to separately form an n-channel TFT that requires an n + region and a p-channel TFT that requires a p + region. Methods such as ion doping that does not perform mass separation of implanted impurity ions, ion implantation, plasma doping, and laser doping can be employed. At that time, impurities are introduced while leaving or removing the silicon oxide film on the surface as shown in (f1) and (f2) depending on the application and impurity introduction method.

(g1)(g2)層間分離絶縁膜T7, T7'を堆積、コンタクトホールを開口後、金属を堆積、フォトリソグラフィとエッチングにより金属配線T8を形成する。層間分離絶縁膜としては、膜の平坦化が図れるTEOS系酸化膜やシリカ系塗布膜、有機塗布膜を用いることができる。コンタクトホール開口はフォトリソグラフィとエッチングにより、金属配線は抵抗の低いアルミニウム、銅あるいはそれらをベースとした合金、タングステンやモリブデンといった高融点金属が応用できる。以上のような工程を行うことによって、性能、信頼性の高い薄膜トランジスタを形成することができる。   (g1) (g2) Interlayer isolation insulating films T7, T7 ′ are deposited, contact holes are opened, metal is deposited, and metal wiring T8 is formed by photolithography and etching. As the interlayer isolation insulating film, a TEOS-based oxide film, a silica-based coating film, or an organic coating film that can be flattened can be used. The contact hole opening can be applied by photolithography and etching, and the metal wiring can be applied with a low-resistance aluminum, copper, an alloy based on them, or a high melting point metal such as tungsten or molybdenum. By performing the above steps, a thin film transistor with high performance and reliability can be formed.

図19は予めアライメントマークを設け、アライメントマークに応じたレーザ照射を行った場合の実施例、図20はレーザ照射と同時にアライメントマークを形成する場合の実施例について、TFT製造工程フローをもとに説明する。基本的には図18の説明と類似しているため、特に異なる点を中心に説明する。   19 shows an embodiment in which an alignment mark is provided in advance and laser irradiation corresponding to the alignment mark is performed, and FIG. 20 shows an embodiment in which an alignment mark is formed simultaneously with laser irradiation, based on the TFT manufacturing process flow. explain. Since it is basically similar to the description of FIG. 18, the description will focus on differences.

図19(a) 洗浄によって有機物や金属、微粒子等を除去したガラス基板sub0上に基板カバー膜T1、タングステンシリサイド膜を順次形成する。アライメントマークの形成のために、フォトリソグラフィとエッチングによりパターン化しアライメントマークT9を基板上に形成する。次にアライメントマークを保護するためにマーク保護膜T10を形成し、シリコン薄膜を形成する。   FIG. 19 (a) A substrate cover film T1 and a tungsten silicide film are sequentially formed on a glass substrate sub0 from which organic substances, metals, fine particles and the like have been removed by cleaning. In order to form an alignment mark, patterning is performed by photolithography and etching, and an alignment mark T9 is formed on the substrate. Next, a mark protective film T10 is formed to protect the alignment mark, and a silicon thin film is formed.

図19(b) レーザ光露光時にはアライメントマークを基準に所望の領域が露光される。その後は、予め設けられたアライメントマークや、結晶化シリコン薄膜パターニングによって形成されるアライメントマーク(図示せず)を基準に、次工程のアライメントを行うことができる。   FIG. 19 (b) At the time of laser beam exposure, a desired area is exposed with reference to the alignment mark. Thereafter, the alignment of the next process can be performed based on an alignment mark provided in advance or an alignment mark (not shown) formed by crystallized silicon thin film patterning.

図20(b) シリコン薄膜への露光と同時に露光/非露光による改質の相違を利用した結晶化アライメントマークT9'をシリコン薄膜に形成する。   In FIG. 20 (b), a crystallization alignment mark T9 ′ is formed on the silicon thin film using the difference in modification by exposure / non-exposure simultaneously with the exposure of the silicon thin film.

図20(d) 結晶化アライメントマークT9'を利用して、フォトリソグラフィ時の目合わせを行い、エッチング工程を経てシリコン薄膜と酸化シリコン膜積層膜のアイランドを形成する。   FIG. 20 (d) Using the crystallization alignment mark T9 ′, alignment at the time of photolithography is performed, and an island of a silicon thin film and a silicon oxide film laminated film is formed through an etching process.

図21は、非晶質半導体を同期パルスによって加熱してレーザアニールを行うレーザアニール装置であり、所望の波長及び波形のレーザ光を発生するレーザ発振装置3110と、レーザ発生部3110からのレーザ光を利用して基板Wを実際に加工するレーザ照射処理部3120と、これらの動作を統括的に制御する主制御装置3130とを備える。なお、被加工体である基板Wは、ガラス板等からなり、その表面には、例えば非晶質半導体である非晶質Si層が堆積されており、このようなレーザ光による加工によって非晶質Si層にあってはこれが多結晶Si層になる。   FIG. 21 shows a laser annealing apparatus that performs laser annealing by heating an amorphous semiconductor with a synchronization pulse, a laser oscillation apparatus 3110 that generates laser light having a desired wavelength and waveform, and laser light from a laser generation unit 3110. Is provided with a laser irradiation processing unit 3120 that actually processes the substrate W, and a main controller 3130 that comprehensively controls these operations. The substrate W that is a workpiece is made of a glass plate or the like, and an amorphous Si layer that is an amorphous semiconductor, for example, is deposited on the surface thereof. In the case of a crystalline Si layer, this becomes a polycrystalline Si layer.

レーザ発生部3110は、パルスタイプのレーザ光を発生する一対のレーザ発振装置3111、3112と、これらレーザ発振装置3111、3112の発振タイミングを個別に制御して一対のパルス光を適当な時間差で発生させる遅延制御部である発振制御装置3113とを備える。ここで、第1レーザ発振装置3111は、基板Wの加工に際して最初に照射される主たるレーザ装置であり、第2レーザ発振装置3112は、基板Wの加工に際して次に照射される従たるレーザ装置である。第1及び第2レーザ発振装置3111、3112からの各レーザ光は、基板Wの加工に最適となるように時間差及びパワーが適宜調整されており、両パルス光PLを光合成系3170を介して重ね合わせることにより加工用の同期パルス光となる。   The laser generation unit 3110 generates a pair of pulsed light beams with an appropriate time difference by individually controlling the oscillation timing of the pair of laser oscillation devices 3111 and 3112 that generate pulse-type laser light and the laser oscillation devices 3111 and 3112. And an oscillation control device 3113 which is a delay control unit. Here, the first laser oscillation device 3111 is a main laser device that is irradiated first when the substrate W is processed, and the second laser oscillation device 3112 is a secondary laser device that is irradiated next when the substrate W is processed. is there. Each laser beam from the first and second laser oscillation devices 3111 and 3112 is appropriately adjusted in time difference and power so as to be optimal for the processing of the substrate W, and the two pulsed lights PL are overlapped via the photosynthesis system 3170. By combining them, it becomes a synchronized pulse light for processing.

発振制御装置3113は、コンピュータ、信号整形回路等から構成されており、基準パルスを発生する基準パルス発生回路3151と、同期パルス光を構成する一対のパルス光PLの発生間隔すなわち時間差を予め設定するディレイ時間設定回路3152と、ディレイ時間設定回路3152等からの信号出力に基づいて第1及び第2レーザ発振装置3111、3112の動作タイミングを設定するとともにこれに相当する指令信号を発生する演算回路3153と、演算回路3153からの指令信号の出力を受けて第1及び第2レーザ発振装置3111、3112を発振させるための第1及び第2トリガ信号を発生するトリガパルス発生回路3154と、第1及び第2レーザ発振装置3111、3112のレーザ出力をそれぞれ高速で光電変換する光検出装置である第1及び第2フォトセンサ3161、3162と、第1及び第2のフォトセンサ3161、3162からの出力を個別に増幅する一対のアンプ3163、3164と、両アンプ3163、3164からの光検出信号を受けて両光検出信号の時間差を検出するディレイ時間検出回路3155とを備える。   The oscillation control device 3113 includes a computer, a signal shaping circuit, and the like, and presets a generation interval, that is, a time difference between a reference pulse generation circuit 3151 that generates a reference pulse and a pair of pulsed light PL that forms a synchronization pulse light. Based on the signal output from the delay time setting circuit 3152, the delay time setting circuit 3152, and the like, the operation timing of the first and second laser oscillation devices 3111 and 3112 is set, and an arithmetic circuit 3153 that generates a command signal corresponding thereto. A trigger pulse generation circuit 3154 that receives the output of the command signal from the arithmetic circuit 3153 and generates first and second trigger signals for oscillating the first and second laser oscillation devices 3111 and 3112; High-speed photoelectric conversion of the laser outputs of the second laser oscillators 3111 and 3112, respectively. First and second photosensors 3161 and 3162, a pair of amplifiers 3163 and 3164 for individually amplifying outputs from the first and second photosensors 3161 and 3162, and both amplifiers 3163 and 3164, respectively. And a delay time detection circuit 3155 for detecting a time difference between the two light detection signals in response to the light detection signal from the first light detection signal.

ディレイ時間設定回路3152は、第1及び第2レーザ発振装置3111、3112からのレーザ光を重ね合わせた同期パルスの波形が基板Wの加工に最適となるような時間差(以下、設定時間差t1)を設定する。このような設定時間差t1は、外部からキーボード等を介して入力すること、或いは基板Wの種類に応じて予め記憶した設定値を読み出すことで設定できる。   The delay time setting circuit 3152 sets a time difference (hereinafter, set time difference t1) such that the waveform of the synchronization pulse obtained by superimposing the laser beams from the first and second laser oscillation devices 3111 and 3112 is optimal for processing the substrate W. Set. Such a set time difference t1 can be set by inputting from the outside via a keyboard or the like, or by reading a set value stored in advance according to the type of the substrate W.

演算回路3153は、ディレイ時間設定回路3152で設定された設定時間差t1に対応する指令信号S2を発生する。また、ディレイ時間検出回路3155のからの測定時間差t3の出力に基づいて、設定時間差t1に修正を加えた修正時間差t2を算出し、この設定時間差t2に対応する指令信号S2’を発生する。   The arithmetic circuit 3153 generates a command signal S2 corresponding to the set time difference t1 set by the delay time setting circuit 3152. Further, based on the output of the measurement time difference t3 from the delay time detection circuit 3155, a correction time difference t2 obtained by correcting the set time difference t1 is calculated, and a command signal S2 'corresponding to the set time difference t2 is generated.

トリガパルス発生回路3154は、演算回路3153が出力する指令信号S2(S2’)を受けて適当な信号処理を行い、基準パルス発生回路3151からの基準パルスをトリガとして、第1及び第2レーザ発振装置3111、3112を発振させるための第1及び第2トリガ信号Tr1、Tr2を、それぞれ個別に時間差t1(t2)だけずらして発生する。   The trigger pulse generation circuit 3154 receives the command signal S2 (S2 ′) output from the arithmetic circuit 3153, performs appropriate signal processing, and uses the reference pulse from the reference pulse generation circuit 3151 as a trigger to trigger the first and second laser oscillations. The first and second trigger signals Tr1 and Tr2 for causing the devices 3111 and 3112 to oscillate are generated by being shifted by a time difference t1 (t2), respectively.

ディレイ時間検出回路3155は、両アンプ3163、3164からの一対の光検出信号を所定の閾値で切り出すとともに、その立ち上がりのタイミングの差から第1及び第2レーザ発振装置3111、3112が出力する一対のレーザ光間のディレイ時間t3を検出する。   The delay time detection circuit 3155 cuts out a pair of light detection signals from both amplifiers 3163 and 3164 with a predetermined threshold, and outputs a pair of signals output from the first and second laser oscillation devices 3111 and 3112 based on the difference in rising timing. A delay time t3 between the laser beams is detected.

レーザ照射処理部3120には、レーザ発生部3110から出射し、ミラー3171、3172、ハーフミラー3173等からなる光合成系3170を経て合成された同期パルス光が入射する。このレーザ照射処理部3120は、同期パルス光を所望の断面形状及びエネルギー密度分布のビームとして基板W上に投影する投影光学系3121と、基板Wを支持して走査に際して基板Wとともに移動するステージ3122と、ステージ3122の動作を制御するステージ駆動系3123とを備える。   Synchronous pulsed light emitted from the laser generator 3110 and synthesized through the photosynthesis system 3170 including the mirrors 3171 and 3172, the half mirror 3173, and the like is incident on the laser irradiation processing unit 3120. This laser irradiation processing unit 3120 has a projection optical system 3121 for projecting synchronous pulse light onto the substrate W as a beam having a desired cross-sectional shape and energy density distribution, and a stage 3122 that supports the substrate W and moves with the substrate W during scanning. And a stage drive system 3123 for controlling the operation of the stage 3122.

以下、図21のレーザ加工装置の動作について説明する。主制御装置3130は、発振制御装置3113を制御して、第1及び第2レーザ発振装置3111、3112から設定時間差t1だけずれた一対のパルス光PLを発生させる。両パルス光PLは、光合成系3170を介して重ね合わされ、所定の波形を有する加工用の同期パルス光として基板W上に照射される。同期パルス光は、基準パルス発生回路3151からの基準パルスをトリガとして発生するので、基準パルスの周期に対応する周期で同期パルス光の基板W上への照射が繰り返される。   Hereinafter, the operation of the laser processing apparatus of FIG. 21 will be described. The main controller 3130 controls the oscillation controller 3113 to generate a pair of pulsed lights PL that are shifted from the first and second laser oscillators 3111 and 3112 by a set time difference t1. Both pulsed lights PL are overlapped via the photosynthesis system 3170 and irradiated onto the substrate W as a synchronized pulsed light for processing having a predetermined waveform. Since the synchronization pulse light is generated using the reference pulse from the reference pulse generation circuit 3151 as a trigger, the irradiation of the synchronization pulse light onto the substrate W is repeated at a period corresponding to the period of the reference pulse.

この際、ディレイ時間検出回路3155が出力するディレイ時間t3をモニタすることで、実際のディレイ時間t3が設定時間差t1からどの程度ずれているかが分かり、このずれ量Δtを減算した修正時間差t2=t1−Δt(=2×t1−t3)を新たな目標値とする。これにより、第1及び第2レーザ発振装置3111、3112からほぼ設定時間差t1だけずれた一対のパルス光PLを発生させることができる。つまり、第1及び第2レーザ発振装置3111、3112の応答特性、経時変化等の要因により、ディレイ時間検出回路3155が出力するディレイ時間t3’が所定の上限値又は下限値を超える場合、新たなずれ量Δt’を減算した修正時間差t2’=t1−Δt’を新たな目標値とする。以上を繰り返すことにより、同期パルス光を構成する一対のパルス光PLの時間間隔を常に一定に保つことができる。つまり、両レーザ発振装置3111、3112の特性が異なり、経時的な変化や動作条件の変更等によってトリガから発光までの応答時間にバラツキが生じる場合であっても、安定した波形の同期パルス光を基板W上に照射することができる。   At this time, by monitoring the delay time t3 output from the delay time detection circuit 3155, it can be understood how much the actual delay time t3 is deviated from the set time difference t1, and the correction time difference t2 = t1 obtained by subtracting this deviation amount Δt. −Δt (= 2 × t1−t3) is set as a new target value. As a result, a pair of pulsed light PLs that are substantially shifted from the first and second laser oscillation devices 3111 and 3112 by the set time difference t1 can be generated. That is, when the delay time t3 ′ output from the delay time detection circuit 3155 exceeds a predetermined upper limit value or lower limit value due to factors such as response characteristics of the first and second laser oscillation devices 3111 and 3112 and changes with time, a new A corrected time difference t2 ′ = t1−Δt ′ obtained by subtracting the deviation amount Δt ′ is set as a new target value. By repeating the above, the time interval between the pair of pulsed lights PL constituting the synchronized pulsed light can always be kept constant. In other words, even if the characteristics of the laser oscillators 3111 and 3112 are different and there is a variation in the response time from trigger to light emission due to changes over time, changes in operating conditions, etc., synchronized pulsed light with a stable waveform can be obtained. Irradiation onto the substrate W is possible.

図22は、図21の装置の動作タイミングを説明するタイミングチャートである。図22(a)は、波形発生器3151,3154から出力されるトリガ信号Tr1を示し、図22(b)は、第1パルスレーザ発振器3111から射出されるパルス光PLを示し、図22(c)は、トリガ遅延回路3153,3154から出力されるトリガ信号Tr2を示し、図22(d)は、第2パルスレーザ発振装置3112から射出されるパルス光PLを示す。図からも明らかなように、トリガ遅延回路3154,3152に遅延時間Tsを設定すれば、理論上は、所期のパルス時間間隔Td(=Td2−Td1+Ts+Tc)となることが分かる。   FIG. 22 is a timing chart for explaining the operation timing of the apparatus shown in FIG. 22A shows the trigger signal Tr1 output from the waveform generators 3151 and 3154, FIG. 22B shows the pulsed light PL emitted from the first pulse laser oscillator 3111, and FIG. ) Shows the trigger signal Tr2 output from the trigger delay circuits 3153 and 3154, and FIG. 22 (d) shows the pulsed light PL emitted from the second pulse laser oscillator 3112. As can be seen from the figure, if the delay time Ts is set in the trigger delay circuits 3154 and 3152, the theoretical pulse time interval Td (= Td2-Td1 + Ts + Tc) is obtained.

次に、本発明の一実施形態である焦点調節装置及び方法について説明する。   Next, a focus adjustment apparatus and method according to an embodiment of the present invention will be described.

図23は、実施形態の焦点調節装置を組み込んだレーザアニール装置の全体構造を説明する図である。このレーザアニール装置は、ガラス板上にアモルファス状Si等の半導体薄膜を形成した被加工体であるワークWを熱処理するためのもので、かかる半導体薄膜を加熱するためのエキシマレーザその他のレーザ光ALを発生するレーザ光源3710と、このレーザ光ALをライン状或いはスポット状にして所定の照度でワークW上に入射させる加工光学系である照射光学系3720と、ワークWを載置してX−Y面内で滑らかに移動可能であるとともにX軸及びY軸の回りに傾斜可能なステージ3730と、ワークWを載置したステージ3730を照射光学系3720等に対して必要量だけ移動若しくは傾斜させる駆動手段であるステージ駆動装置3740と、レーザアニール装置の各部の動作を統括的に制御する主制御装置3780とを備える。ここで、ステージ3730及びステージ駆動装置3740は、ステージ装置を構成し、ワークW周辺を減圧したりその雰囲気を調節するチャンバ3790中に収容される。このチャンバ3790は、除振装置3792を介して床上に設置されている。   FIG. 23 is a diagram illustrating the overall structure of a laser annealing apparatus incorporating the focus adjustment apparatus of the embodiment. This laser annealing apparatus is for heat-treating a workpiece W, which is a workpiece in which a semiconductor thin film such as amorphous Si is formed on a glass plate, and excimer laser or other laser light AL for heating the semiconductor thin film. , An irradiation optical system 3720 that is a processing optical system that makes this laser light AL enter a line or a spot at a predetermined illuminance, and an X− A stage 3730 that can move smoothly in the Y plane and can be tilted around the X axis and the Y axis, and a stage 3730 on which the workpiece W is placed are moved or tilted by a necessary amount with respect to the irradiation optical system 3720 or the like. A stage driving device 3740 that is a driving means and a main control device 3780 that comprehensively controls the operation of each part of the laser annealing device are provided. That. Here, the stage 3730 and the stage driving device 3740 constitute a stage device, and are housed in a chamber 3790 for reducing the pressure around the workpiece W and adjusting the atmosphere thereof. The chamber 3790 is installed on the floor via a vibration isolation device 3792.

さらに、このレーザアニール装置は、焦点調節装置として、上記ステージ3730、ステージ駆動装置3740及び主制御装置3780のほか、ステージ3730の移動量を光学的な情報や電気的な情報として検出する移動量計測装置3750と、ステージ3730のステージ駆動装置3740に対する高さや傾斜量を光学的な情報や電気的な情報として検出する傾斜計測装置3760と、ワークWの照射光学系3720に対する高さや傾斜量に対応する信号を検出する非接触変位計3770とを備える。   Further, this laser annealing device is a focus adjustment device, in addition to the stage 3730, the stage driving device 3740, and the main control device 3780, and a movement amount measurement for detecting the movement amount of the stage 3730 as optical information and electrical information. It corresponds to the apparatus 3750, the inclination measuring apparatus 3760 for detecting the height and inclination amount of the stage 3730 with respect to the stage driving apparatus 3740 as optical information and electrical information, and the height and inclination amount of the workpiece W with respect to the irradiation optical system 3720. And a non-contact displacement meter 3770 for detecting a signal.

ここで、照射光学系3720は、レーザ光源3710からミラー3715を経て入射するレーザ光ALを均一な分布とするホモジナイザ3720aと、ホモジナイザ3720aを経たレーザ光ALを所定のビーム形状に絞るスリットを有するマスク3720bと、マスク3720bのスリット像をワークW上に縮小投影する投影レンズ3720cとからなる。なお、照射光学系3720は、チャンバ3790に設けた透過窓3790aを介してワークWに対向するように配置されており、図示を省略する部材によってチャンバ3790側に固定されている。   Here, the irradiation optical system 3720 includes a homogenizer 3720a that uniformly distributes the laser beam AL incident from the laser light source 3710 via the mirror 3715, and a mask having a slit that narrows the laser beam AL that has passed the homogenizer 3720a into a predetermined beam shape. 3720b and a projection lens 3720c that projects the slit image of the mask 3720b on the workpiece W in a reduced scale. Note that the irradiation optical system 3720 is disposed so as to face the workpiece W through a transmission window 3790a provided in the chamber 3790, and is fixed to the chamber 3790 side by a member not shown.

ステージ駆動装置3740は、ステージ3730をX軸及びY軸の回りに傾斜させるチルト装置3742と、ステージ3730をチルト装置3742とともにX−Y面内で滑らかに移動させる並進装置3744とを備える。ここで、チルト装置3742は、ベローズ内部にシリンダを収容して任意の長さに伸縮自在である3つの支持部材3742aと、支持部材3742aを伸縮動作させる支持部材駆動装置3742bとを備える。これら3つの支持部材3742aの長さを支持部材駆動装置3742bを介して調節することにより、照射光学系3720に対するステージ3730の傾きや距離を適宜微調整することができる。つまり、照射光学系3720に対するワークWのZ軸方向の位置(距離)と、X軸回りのチルト角θXと、Y軸回りのチルト角θYとを調整することができる。なお、ステージ3730直下にチルト装置3742側から延びている3つの傾斜計測装置3760は、渦電流式センサ或いは静電容量センサであり、これらの出力から、ステージ3730がステージ駆動装置3740に対してどの程度傾斜しているかが正確に分かるようになっている。   The stage driving device 3740 includes a tilt device 3742 that tilts the stage 3730 about the X axis and the Y axis, and a translation device 3744 that moves the stage 3730 together with the tilt device 3742 smoothly in the XY plane. Here, the tilt device 3742 includes three support members 3742a that accommodate a cylinder inside the bellows and can expand and contract to an arbitrary length, and a support member drive device 3742b that expands and contracts the support member 3742a. By adjusting the lengths of these three support members 3742a via the support member driving device 3742b, the inclination and distance of the stage 3730 with respect to the irradiation optical system 3720 can be finely adjusted as appropriate. That is, the position (distance) of the workpiece W with respect to the irradiation optical system 3720 in the Z-axis direction, the tilt angle θX around the X axis, and the tilt angle θY around the Y axis can be adjusted. Note that the three tilt measuring devices 3760 extending from the tilt device 3742 directly below the stage 3730 are eddy current sensors or electrostatic capacitance sensors. From these outputs, the stage 3730 is connected to the stage drive device 3740. It is possible to know exactly whether it is inclined to some extent.

非接触変位計3770は、レーザ変位計であり、ワークW上の平坦な領域を計測ターゲットTとして検査光DLを入射させる投光手段である投光部3771と、計測ターゲットTからの正反射光RLを受けてこの正反射光RLの入射位置に関する情報を出力する受光手段である受光部3772とを備える。投光部3771と受光部3772とは、照射光学系3720を挟んで対向して配置される。つまり、投光部3771は、照射光学系3720の光軸に対して所定の角度だけ傾いた方向に検査光DLを出射し、受光部3772には、照射光学系3720の光軸に対して検査光DLとは反対の方向に上記所定角度だけ傾いた方向に進行する反射光RLが入射する。なお、主制御装置3780は、受光部3772で検出された入射位置に関する情報に基づいて計測ターゲットTの高さに対応する情報を含む計測値を得る換算手段としても機能し、非接触変位計3770の一部を構成する。   The non-contact displacement meter 3770 is a laser displacement meter, and a light projecting unit 3771 that is a light projecting unit that causes the inspection light DL to be incident on a flat area on the workpiece W as the measurement target T, and regular reflection light from the measurement target T. A light receiving unit 3772 that is a light receiving unit that receives the RL and outputs information on the incident position of the regular reflection light RL. The light projecting unit 3771 and the light receiving unit 3772 are arranged to face each other with the irradiation optical system 3720 interposed therebetween. That is, the light projecting unit 3771 emits the inspection light DL in a direction inclined by a predetermined angle with respect to the optical axis of the irradiation optical system 3720, and the light receiving unit 3772 inspects the optical axis of the irradiation optical system 3720. The reflected light RL traveling in the direction inclined by the predetermined angle in the direction opposite to the light DL is incident. The main controller 3780 also functions as a conversion unit that obtains a measurement value including information corresponding to the height of the measurement target T based on the information on the incident position detected by the light receiving unit 3772, and is a non-contact displacement meter 3770. Part of

ここで、投光部3771は、検査光を発生する光源と投光光学系とを備え、透過窓3790aを介してワークW上の計測ターゲットTに検査光DLのスポット状のビームを入射させる。一方、受光部3772は、この計測ターゲットTからの反射光RLを集光する結像光学系と集光後の反射光RLが入射するラインセンサとを備える。このラインセンサは、X−Z面内で反射光RLの光軸に垂直な方向に延びており、ワークWの高さ位置がラインセンサからの位置検出信号と線形な関係になることを利用してワークWの高さ位置の変化を検出する。ただし、ワークWが照射光学系3720の光軸に対して傾いているとき、非接触変位計3770の出力は、ワークWの高さ位置だけでなく、ワークWの傾きを反映したものとなっている。したがって、後に詳述するが、チルト装置3742を利用して一旦ワークWの傾きを補正してワークWの法線が照射光学系3720の光軸と平行になった時点で、チルト装置3742を構成する3つの支持部材3742aを同量だけ伸縮させてワークWと照射光学系3720との間隔を調整することになる。   Here, the light projecting unit 3771 includes a light source that generates inspection light and a light projecting optical system, and causes a spot-shaped beam of the inspection light DL to enter the measurement target T on the workpiece W through the transmission window 3790a. On the other hand, the light receiving unit 3772 includes an imaging optical system that condenses the reflected light RL from the measurement target T and a line sensor that receives the reflected light RL after condensing. This line sensor extends in the direction perpendicular to the optical axis of the reflected light RL in the XZ plane, and utilizes the fact that the height position of the workpiece W has a linear relationship with the position detection signal from the line sensor. Then, a change in the height position of the workpiece W is detected. However, when the workpiece W is tilted with respect to the optical axis of the irradiation optical system 3720, the output of the non-contact displacement meter 3770 reflects not only the height position of the workpiece W but also the tilt of the workpiece W. Yes. Therefore, as will be described in detail later, when the tilt of the workpiece W is corrected once using the tilt device 3742 and the normal line of the workpiece W becomes parallel to the optical axis of the irradiation optical system 3720, the tilt device 3742 is configured. The three support members 3742a to be expanded and contracted by the same amount adjust the distance between the workpiece W and the irradiation optical system 3720.

計測ターゲットT1、T2、T3は、正三角形の頂点の位置に配置されており、それぞれがワークW上の加工領域(図の場合、ワークWの中央)から等距離に設定されている。並進装置3744の制御によって、投光部3771からの検査光DLをワークW上の各計測ターゲットT1、T2、T3に順次入射させることができる。ワークWの傾きを補正する際には、各計測ターゲットT1、T2、T3における受光部3772の出力を平均化するようにチルト装置3742を動作させる。なお、各計測ターゲットT1、T2、T3の配置や個数は、要求される精度等に応じて適宜変更することができる。特にワークW表面に反り等の変形がある場合、対象とする加工領域ごとにその近傍で3つ以上の計測ターゲットを改めて選択する必要がある。また、以上で説明した計測ターゲットT1、T2、T3は、単に平坦面であれば足り、正反射光を形成できる限り、特定のマークを形成する必要はない。   The measurement targets T1, T2, and T3 are arranged at the positions of the vertices of an equilateral triangle, and each is set at an equal distance from the machining area on the workpiece W (in the figure, the center of the workpiece W). Under the control of the translation device 3744, the inspection light DL from the light projecting unit 3771 can be sequentially incident on the measurement targets T1, T2, and T3 on the workpiece W. When correcting the tilt of the workpiece W, the tilt device 3742 is operated so as to average the outputs of the light receiving units 3772 at the respective measurement targets T1, T2, and T3. Note that the arrangement and number of the measurement targets T1, T2, and T3 can be changed as appropriate according to the required accuracy and the like. In particular, when there is a deformation such as a warp on the surface of the workpiece W, it is necessary to select three or more measurement targets in the vicinity of each target processing region. In addition, the measurement targets T1, T2, and T3 described above need only be flat surfaces, and it is not necessary to form specific marks as long as regular reflection light can be formed.

以下、本実施形態のレーザアニール装置の動作について説明する。まず、レーザアニール装置のステージ3730上にワークWを搬送して載置する。次に、アニール用のレーザ光ALを導く照射光学系3720に対してステージ3730上のワークWをアライメントする。次に、照射光学系3720のマスク3720bを移動させながら、或いは照射光学系3720に対してステージ3730を適宜移動させながら、レーザ光源3710からのレーザ光ALをライン状或いはスポット状にしてワークW上に入射させる。ワークW上には、アモルファスSi等の非晶質半導体の薄膜が形成されており、レーザ光ALの照射及び走査によって半導体の所望領域がアニール、再結晶化され、電気的特性の優れた半導体薄膜を提供することができる。   Hereinafter, the operation of the laser annealing apparatus of this embodiment will be described. First, the workpiece W is transported and placed on the stage 3730 of the laser annealing apparatus. Next, the workpiece W on the stage 3730 is aligned with the irradiation optical system 3720 for guiding the laser beam AL for annealing. Next, while moving the mask 3720b of the irradiation optical system 3720 or appropriately moving the stage 3730 with respect to the irradiation optical system 3720, the laser light AL from the laser light source 3710 is changed into a line or a spot on the workpiece W. To enter. A thin film of amorphous semiconductor such as amorphous Si is formed on the work W, and a semiconductor thin film having excellent electrical characteristics is obtained by annealing and recrystallizing a desired region of the semiconductor by irradiation and scanning with laser light AL. Can be provided.

ステージ3730上のワークWの高さ及び傾きを照射光学系3720に対してアライメントする動作についてより詳細に説明する。まず、加工領域を中心とする正三角形の頂点3点を計測ターゲットT1、T2、T3と定める。並進装置3744の制御によってワークWをXY面内で適宜移動させ、ワークW上の各計測ターゲットT1、T2、T3を非接触変位計3770の計測点に順次移動させ、投光部3771からの検査光DLを各計測ターゲットT1、T2、T3に入射させる。各計測ターゲットT1、T2、T3からの反射光RLは、受光部3772で入射位置に相当する信号に変換される。主制御装置3780では、受光部3772からの入射位置に関する信号に基づいて各計測ターゲットT1、T2、T3の高さに関する計測値を得る。3点T1、T2、T3の計測結果については、いずれにも傾きによる誤差が含まれていると考えられるが、ここではそれを無視して、3点T1、T2、T3の高さが同じ値になるようチルト装置3742によりワークWのチルト角θX、θYを調整する。再び、並進装置3744によってワークWをXY面内で適宜移動させ、ワークW上の各計測ターゲットT1、T2、T3について高さに関する計測値を得る。このようにして、3点T1、T2、T3の高さ計測とチルト角の調整とを繰り返すことにより、傾きによる高さ計測の誤差は徐々に小さくなる。最終的に3点T1、T2、T3の計測値が一致した状態では、θX=0、θY=0となって傾き0の状態となる。このときのいずれか1点の高さ計測値がワークW上の加工領域の高さとなる。最後に、チルト装置3742をZステージとして動作させ、目的の高さになるよまでステージ3730すなわちワークWを昇降させる。   The operation of aligning the height and inclination of the workpiece W on the stage 3730 with respect to the irradiation optical system 3720 will be described in more detail. First, three vertices of an equilateral triangle centering on the machining area are defined as measurement targets T1, T2, and T3. Under the control of the translation device 3744, the workpiece W is appropriately moved in the XY plane, and each measurement target T1, T2, T3 on the workpiece W is sequentially moved to the measurement point of the non-contact displacement meter 3770, and inspection from the light projecting unit 3771 is performed. The light DL is incident on each measurement target T1, T2, T3. The reflected light RL from each measurement target T1, T2, T3 is converted into a signal corresponding to the incident position by the light receiving unit 3772. Main controller 3780 obtains measurement values relating to the heights of measurement targets T1, T2, and T3 based on signals relating to the incident positions from light receiving portion 3772. The measurement results at the three points T1, T2, and T3 are considered to include an error due to the inclination, but here, they are ignored and the heights at the three points T1, T2, and T3 are the same. The tilt angle θX, θY of the workpiece W is adjusted by the tilt device 3742 so that Again, the workpiece W is appropriately moved in the XY plane by the translation device 3744, and the measurement values relating to the height are obtained for the respective measurement targets T1, T2, and T3 on the workpiece W. In this way, by repeating the height measurement of the three points T1, T2, and T3 and the adjustment of the tilt angle, the error in the height measurement due to the tilt is gradually reduced. When the measured values of the three points T1, T2, and T3 finally match, θX = 0 and θY = 0, and the inclination is zero. The height measurement value at any one point at this time is the height of the machining area on the workpiece W. Finally, the tilt device 3742 is operated as the Z stage, and the stage 3730, that is, the workpiece W is moved up and down until the target height is reached.

以上実施形態に即してこの発明を説明したが、この発明は上記実施形態に限定されるものではない。例えば、非接触変位計3770を3つ以上設けることもできる。この場合は、各非接触変位計3770によってワークW上の異なる3を同時に計測することができるようにする。これにより、並進装置3744によってワークWを移動させることなくワークWの傾きを迅速に修正できるようになる。   Although the present invention has been described based on the above embodiments, the present invention is not limited to the above embodiments. For example, three or more non-contact displacement meters 3770 can be provided. In this case, each non-contact displacement meter 3770 can simultaneously measure three different workpieces W. As a result, the tilt of the workpiece W can be quickly corrected without the translation device 3744 moving the workpiece W.

また、上記実施形態では、チルト装置3742をZステージとして動作させたが、Zステージを独立に設けてワークWのチルト調整と高さ調整とを完全に分離して行うこともできる。   In the above-described embodiment, the tilt device 3742 is operated as the Z stage. However, the Z stage can be provided independently, and the tilt adjustment and the height adjustment of the workpiece W can be completely separated.

また、上記実施形態では、ワークWをガラス基板に半導体薄膜を形成したものとしているが、正反射光が得られるものであれば、ワークWの素材は問わない。   Moreover, in the said embodiment, although the workpiece | work W shall have formed the semiconductor thin film in the glass substrate, the raw material of the workpiece | work W will not be ask | required if regular reflection light is obtained.

また、上記の焦点調節装置は、レーザ光ALを用いてワークW上の半導体層をアニーリングするレーザアニール装置に組み込んだが、レーザ光源3710や照射光学系3720等の構造を適宜変更すれば、半導体材料のアニールのみならず各種材料の改質、切断、溶着等を可能にするパルスレーザ加工装置等とすることもできる。   In addition, the above-described focus adjusting apparatus is incorporated in a laser annealing apparatus that anneals the semiconductor layer on the workpiece W using the laser light AL. However, if the structure of the laser light source 3710, the irradiation optical system 3720, or the like is appropriately changed, the semiconductor material It is also possible to provide a pulse laser processing apparatus that can modify, cut, and weld various materials as well as annealing.

本発明を適用した複合機の概略構成を図24に示す。ここでは、一次処理装置として、ガラス基板(ワーク)に被膜形成処理を行うCVD装置3910が使用され、二次処理装置として、被膜形成処理されたガラス基板にレーザアニーリングを行うレーザアニーリング装置3920が使用される場合について説明する。   FIG. 24 shows a schematic configuration of a multifunction machine to which the present invention is applied. Here, a CVD apparatus 3910 that performs a film forming process on a glass substrate (work) is used as a primary processing apparatus, and a laser annealing apparatus 3920 that performs laser annealing on a glass substrate that has been subjected to a film forming process is used as a secondary processing apparatus. The case where it will be described.

レーザアニーリング装置3920は、密封の可能なプロセスチャンバ3921を備えている。プロセスチャンバ3921内には、被膜形成処理されたガラス基板3901を搭載するためのプロセスステージ3922が設置されている。プロセスチャンバ3921の天井壁には、後述するレーザ照射系からのレーザビームを透過するための透過窓3923が設けられている。プロセスチャンバ3921の上方には、架台3924によりレーザ照射系3925が構成されている。   The laser annealing apparatus 3920 includes a process chamber 3921 that can be sealed. In the process chamber 3921, a process stage 3922 for mounting a glass substrate 3901 subjected to film formation processing is installed. A transmission window 3923 for transmitting a laser beam from a laser irradiation system, which will be described later, is provided on the ceiling wall of the process chamber 3921. A laser irradiation system 3925 is configured by a gantry 3924 above the process chamber 3921.

レーザ照射系3925は、レーザ発振器3926で発生されたレーザビームを反射ミラー3927を介して受け、所定の断面形状に整形してガラス基板3901に焦点を結ぶように照射するためのものである。ここでは、矩形ビーム用の構成のみを示しており、長尺ビーム用の構成については後述する。   The laser irradiation system 3925 is for receiving the laser beam generated by the laser oscillator 3926 through the reflection mirror 3927, irradiating the glass substrate 3901 with a focus by shaping it into a predetermined cross-sectional shape. Here, only the configuration for the rectangular beam is shown, and the configuration for the long beam will be described later.

矩形ビーム用の構成要素として、マスクを搭載しているマスクステージ3928、光学レンズ系3929、センサ3930等を備えている。センサ3930は、ガラス基板3901上でのビームの焦点位置を検出するためのものであり、焦点位置を精度良く合わせるために用いられる。   As components for the rectangular beam, a mask stage 3928 on which a mask is mounted, an optical lens system 3929, a sensor 3930, and the like are provided. The sensor 3930 is for detecting the focal position of the beam on the glass substrate 3901 and is used to adjust the focal position with high accuracy.

このようなレーザアニーリング装置3920が、後述する複数の除振台3940を介して床3950に設置されている。   Such a laser annealing device 3920 is installed on the floor 3950 via a plurality of vibration isolation tables 3940 described later.

CVD装置3910とプロセスチャンバ3921との間は、搬送機構としての基板搬送ロボット3960を収容しているトランスファチャンバ3970を介して連結されている。特に、図25に示されるように、プロセスチャンバ3921とトランスファチャンバ3970との間は、ベローズ3971によって連結するようにしている。なお、プロセスチャンバ3921とトランスファチャンバ3970との連結部は、基板搬送ロボット3960によってCVD装置3910内のガラス基板を把持してプロセスチャンバ3921内に受け渡す部分であり、プロセスチャンバ3921内を真空またはある一定圧力に保つために大気と遮断する必要があり、ベローズ3971がその機能を果たしている。また、トランスファチャンバ3970には、CVD装置3910内とプロセスチャンバ3921内とが連通状態になることを防止するためにゲートバルブ機構が必要となるが、このようなゲートバルブ機構は周知であるので、図示説明は省略する。   The CVD apparatus 3910 and the process chamber 3921 are connected via a transfer chamber 3970 that houses a substrate transfer robot 3960 as a transfer mechanism. In particular, as shown in FIG. 25, the process chamber 3921 and the transfer chamber 3970 are connected by a bellows 3971. Note that a connection portion between the process chamber 3921 and the transfer chamber 3970 is a portion that holds the glass substrate in the CVD apparatus 3910 by the substrate transfer robot 3960 and transfers the glass substrate into the process chamber 3921. In order to maintain a constant pressure, it is necessary to shut off from the atmosphere, and the bellows 3971 performs its function. Further, the transfer chamber 3970 needs a gate valve mechanism to prevent the inside of the CVD apparatus 3910 and the process chamber 3921 from communicating with each other, but such a gate valve mechanism is well known. The illustration is omitted.

次に、図26、図27を参照して、本発明による除振装置の主要部である除振台3940の構造について説明する。除振台3940は、プロセスチャンバ3921が搭載される上部台座4241と、エア式ダンパ4242とが除振ゴム4243を介して連結されている。エア式ダンパ4242には、コンプレッサ4244からの圧縮空気が制御バルブ4245を経由して供給される。エア式ダンパ4242内には、導入された圧縮空気に応じて上下動するピストン部4246と、振動時のピストン部4246の下側リミット位置を規定するための第1のストッパ部材4247とが配置されている。   Next, with reference to FIG. 26 and FIG. 27, the structure of the vibration isolation table 3940 which is the main part of the vibration isolation device according to the present invention will be described. In the vibration isolation table 3940, an upper base 4241 on which the process chamber 3921 is mounted and an air damper 4242 are connected via a vibration isolation rubber 4243. Compressed air from the compressor 4244 is supplied to the air damper 4242 via the control valve 4245. A piston portion 4246 that moves up and down according to the introduced compressed air and a first stopper member 4247 for defining the lower limit position of the piston portion 4246 during vibration are disposed in the air damper 4242. ing.

上部台座4241には、除振台3940の動作のオン、オフを規定すると共に、除振台3940の上限リミット位置、厳密に言えばエア式ダンパ4242を収容している容器の高さ方向の上限リミット位置を規定するための第2のストッパ部材4148が設けられている。一方、エア式ダンパ4242を収容している容器には、第2のストッパ部材4148との間の相対距離を検出するための位置検出器4149が設けられている。位置検出器4149はまた、プロセスチャンバ3921あるいはエア式ダンパ4242を収容している容器の変位量があらかじめ定められた許容範囲を越えると、図26に示すように、その一部が第2のストッパ部材4148に係合してリミットがかかる。   The upper pedestal 4241 defines the on / off operation of the vibration isolation table 3940 and the upper limit position of the vibration isolation table 3940. Strictly speaking, the upper limit in the height direction of the container housing the air damper 4242 A second stopper member 4148 for defining the limit position is provided. On the other hand, the container that houses the air damper 4242 is provided with a position detector 4149 for detecting a relative distance from the second stopper member 4148. When the displacement amount of the container containing the process chamber 3921 or the air damper 4242 exceeds a predetermined allowable range, a part of the position detector 4149 is partially stopped as shown in FIG. Engage with member 4148 to limit.

位置検出器4149からの検出信号はフィードバック信号として制御装置42100に送出される。制御装置42100は、検出信号で示される第2のストッパ部材4148と位置検出器4149との間の相対距離に応じて制御バルブ4245を制御して、プロセスチャンバ3921の振動を除去するように除振台3940を動作させる。   A detection signal from the position detector 4149 is sent to the control device 42100 as a feedback signal. The control device 42100 controls the control valve 4245 according to the relative distance between the second stopper member 4148 and the position detector 4149 indicated by the detection signal, and removes vibrations so as to remove the vibration of the process chamber 3921. The base 3940 is operated.

制御装置42100は特に、上記の相対距離が所定値以下、例えば位置検出器4149の一部が第2のストッパ部材4148に当接したことを検出すると、制御バルブ4245に対する制御動作を停止して除振機能を停止させる。位置検出器4149の一部が第2のストッパ部材4148に当接したということは、上部台座4241あるいはエア式ダンパ4242の上下動が許容範囲を越える値に達したことを意味する。なお、第2のストッパ部材4148は、ねじ等の調整手段により上下方向の位置が可変となるように構成されている。   In particular, when the control device 42100 detects that the relative distance is equal to or less than a predetermined value, for example, when a part of the position detector 4149 comes into contact with the second stopper member 4148, the control device 42100 stops and removes the control operation for the control valve 4245. Stop the vibration function. That a part of the position detector 4149 has come into contact with the second stopper member 4148 means that the vertical movement of the upper base 4241 or the pneumatic damper 4242 has reached a value exceeding the allowable range. The second stopper member 4148 is configured such that the position in the vertical direction can be changed by adjusting means such as a screw.

以上の説明で理解できるように、各除振台3940は、第2のストッパ部材4148と位置検出器4149との間の相対距離が変化すると、除振性能を決める圧縮空気の圧力を制御して、振動を除去するフィードバック制御機能を持つ。そして、上部台座4241あるいはエア式ダンパ4242の上下動が許容範囲を越えると、フィードバック制御機能が働かなくなるようにしている。第2のストッパ部材4148の位置は手動で上下に動かすことができ、どの位置で除振機能を停止させるかを任意に設定できる。   As can be understood from the above description, each vibration isolation table 3940 controls the pressure of compressed air that determines vibration isolation performance when the relative distance between the second stopper member 4148 and the position detector 4149 changes. Has a feedback control function to eliminate vibration. When the vertical movement of the upper base 4241 or the pneumatic damper 4242 exceeds the allowable range, the feedback control function is disabled. The position of the second stopper member 4148 can be manually moved up and down, and the position at which the vibration isolation function is stopped can be arbitrarily set.

すなわち、除振台3940の機能は、ピストン部材4246が第1のストッパ部材4247に当たる位置から、位置検出器4149の一部が第2のストッパ部材4148に当たる位置まで発揮される。この距離を200μmにとれば、除振台3940は±100μmの上下動の範囲内で機能することになる。   That is, the function of the vibration isolation table 3940 is exhibited from the position where the piston member 4246 hits the first stopper member 4247 to the position where a part of the position detector 4149 hits the second stopper member 4148. If this distance is 200 μm, the vibration isolation table 3940 functions within a range of vertical movement of ± 100 μm.

ここで、矩形ビームを使用しての精度の高いスキャン時の動作について説明する。矩形ビームを使用して処理を行う際に、プロセスチャンバ3921における振動はCVD装置3910あるいは床3950から伝わるものが主である。この振動は大きくても高々±数十μmの振幅であり、スキャンに起因してベローズ3971が大きく変位することはない。そこで、矩形ビームを使用してスキャンしている時に間違いなく除振機能が働くように、除振台3940の第2のストッパ部材4148と位置検出器4149との相対距離を、例えば200μmというように予想される変位より少し大きめに設定しておく。この場合、床3950からの振動はフィードバック制御機能によって複数の除振台3940が吸収し、CVD装置3910からの振動はベローズ3971が吸収する。   Here, the operation at the time of scanning with high accuracy using a rectangular beam will be described. When processing is performed using a rectangular beam, the vibration in the process chamber 3921 is mainly transmitted from the CVD apparatus 3910 or the floor 3950. This vibration has a maximum amplitude of ± several tens of μm, and the bellows 3971 is not greatly displaced due to scanning. Therefore, the relative distance between the second stopper member 4148 of the vibration isolation table 3940 and the position detector 4149 is set to, for example, 200 μm so that the vibration isolation function definitely works when scanning using the rectangular beam. Set it slightly larger than the expected displacement. In this case, vibration from the floor 3950 is absorbed by the plurality of vibration isolation bases 3940 by the feedback control function, and vibration from the CVD apparatus 3910 is absorbed by the bellows 3971.

次に、長尺ビームを使用しての精度の低いスキャン時の除振性能について説明する。長尺ビームにより処理を行う時には、プロセスチャンバ3921内のプロセスステージ3922が動くので、プロセスチャンバ3921内のプロセスステージ3922の重心位置がずれ、全体に大きく傾きやすい。傾きが小さい場合は矩形ビームによる処理時と同じ除振機能が働くが、傾きが大きくなると第2のストッパ部材4148によるリミットがかかり、除振台3940は機能しなくなる。除振台3940が機能しないとプロセスチャンバ3921とべローズ3971とは一体に変位するので、プロセスチャンバ3921とべローズ3971の相対位置のずれはなくなり、変位量が大きくてもベローズ3971が破壊されることはない。なお、長尺ビームによるスキャン精度は矩形ビームに比べ数十倍の振動を許容するためスキャン精度に大きな影響は与えない。   Next, the vibration isolation performance at the time of scanning with low accuracy using a long beam will be described. When processing is performed with a long beam, the process stage 3922 in the process chamber 3921 moves, so that the position of the center of gravity of the process stage 3922 in the process chamber 3921 is shifted, and the whole is easily inclined greatly. When the inclination is small, the vibration isolation function is the same as when processing with the rectangular beam. However, when the inclination is large, the second stopper member 4148 is limited and the vibration isolation table 3940 does not function. If the vibration isolation table 3940 does not function, the process chamber 3921 and the bellows 3971 are displaced together, so that the relative position of the process chamber 3921 and the bellows 3971 is not displaced, and the bellows 3971 is destroyed even if the displacement is large. Absent. Note that the scanning accuracy by the long beam does not greatly affect the scanning accuracy because it allows a vibration several tens of times that of the rectangular beam.

図28〜図29を参照して、本発明による真空内リニアアクチュエータ機構のうち、機械構成についてその実施の形態を説明する。ここでは、レーザアニーリング用の真空チャンバ内に配置されるのに適した構成について説明する。真空チャンバについては、図30に象徴的に破線43100で示しており、大気圧から1.0×10-6Torrまでの雰囲気下で使用可能なものであれば良い。 With reference to FIGS. 28 to 29, an embodiment of the mechanical configuration of the in-vacuum linear actuator mechanism according to the present invention will be described. Here, a configuration suitable for being placed in a vacuum chamber for laser annealing will be described. The vacuum chamber is symbolically shown by a broken line 43100 in FIG. 30, and any vacuum chamber that can be used in an atmosphere from atmospheric pressure to 1.0 × 10 −6 Torr may be used.

真空チャンバ43100内の底部に固定ベース部材としてのステージベース4309が設置されている。ステージベース4309には、離れた位置においてY軸方向に平行に延びるようにY軸リニアベアリング4315、4320が取り付けられている。Y軸リニアベアリング4315、4320は、それらの上に組み合わされるY軸ベース4314をY軸方向に直線案内するためのものである。Y軸ベース4314には、離れた位置においてX軸方向に平行に延びるように一対のX軸リニアベアリング4307が取り付けられている。X軸リニアベアリング4307は、それらの上に組み合わされるX軸ベース4306をX軸方向に直線案内するためのものである。X軸ベース4306には、加熱用のヒータを内蔵しているステージ4302を支持しているトロリ4403が取り付けられ、ステージ4302上にはワーク(ガラス等)4301を載せる構成となっている。   A stage base 4309 as a fixed base member is installed at the bottom of the vacuum chamber 43100. Y-axis linear bearings 4315 and 4320 are attached to the stage base 4309 so as to extend parallel to the Y-axis direction at remote positions. The Y-axis linear bearings 4315 and 4320 are for linearly guiding the Y-axis base 4314 combined thereon in the Y-axis direction. A pair of X-axis linear bearings 4307 are attached to the Y-axis base 4314 so as to extend in parallel to the X-axis direction at remote positions. The X-axis linear bearing 4307 is for linearly guiding the X-axis base 4306 combined on them in the X-axis direction. A trolley 4403 that supports a stage 4302 incorporating a heater for heating is attached to the X-axis base 4306, and a work (glass or the like) 4301 is placed on the stage 4302.

X軸ベース4306は、X軸リニアベアリング4307に隣接してY軸ベース4314に設けられた一対のX軸リニアモータ4408により駆動される。X軸ベース4306の位置は、一方のX軸リニアモータ4408に隣接してY軸ベース4314に設置されたX軸リニアエンコーダ4410により検出される。これにより、X軸ベース4306を直接駆動すると共に、位置を直接計測することになり、従来のバックラッシによる精度劣化等がなくなり、高速応答化が可能となっている。   The X-axis base 4306 is driven by a pair of X-axis linear motors 4408 provided on the Y-axis base 4314 adjacent to the X-axis linear bearing 4307. The position of the X-axis base 4306 is detected by an X-axis linear encoder 4410 installed on the Y-axis base 4314 adjacent to one X-axis linear motor 4408. As a result, the X-axis base 4306 is directly driven and the position is directly measured, so that there is no deterioration in accuracy due to the conventional backlash and high-speed response is possible.

Y軸ベース4314は、ステージベース4309上に設けられた、各々独立に制御可能な2本のリニアモータ4318、4323で駆動される。Y軸ベース4314の位置は、リニアモータ4318、4323に隣接してステージベース4309に配置された2本のリニアエンコーダ4316、4321により互いに反対側の2箇所において検出される。これにより、X軸と同様にバックラッシュ等による精度劣化がなく、高速応答化が可能となっている。また、Y軸ベース4314の互いに反対端部における2箇所においてリニアエンコーダ4316、4321によりY軸方向の位置を検出することで、各々の検出値の差によりY軸ベース4314の微小回転を検出・制御することができる。Y軸ベース4314の微小回転というのは、X軸、Y軸に直角なZ軸回りの回転であり、以下、これをZ軸回りの回転θと呼ぶ。   The Y-axis base 4314 is driven by two linear motors 4318 and 4323 which are provided on the stage base 4309 and can be controlled independently. The position of the Y-axis base 4314 is detected at two positions opposite to each other by two linear encoders 4316 and 4321 disposed on the stage base 4309 adjacent to the linear motors 4318 and 4323. As a result, as with the X-axis, there is no deterioration in accuracy due to backlash or the like, and high-speed response is possible. Also, by detecting the position in the Y-axis direction by the linear encoders 4316 and 4321 at two positions on the opposite ends of the Y-axis base 4314, the minute rotation of the Y-axis base 4314 is detected and controlled by the difference between the detected values. can do. The minute rotation of the Y-axis base 4314 is a rotation around the Z-axis perpendicular to the X-axis and the Y-axis, and this is hereinafter referred to as a rotation θ around the Z-axis.

ステージ4302のヒータからの輻射熱がX軸ベース4306や、Y軸ベース4314に伝達されるのを防止するために、トロリ4403とX軸ベース4306との間には、水冷板4304が設けられている。また、X軸べース4306にも水冷機構が内蔵されており、ステージ4302のヒータからの輻射熱によるリニアベアリング等のトラブルを防止している。更に、ステージ動作中に発熱する各リニアモータのコイルは、各リニアモータに設けたX軸モータコイル冷却板4411、Y軸モータコイル冷却板4319、4324により冷却する構成としている。また、X軸リニアエンコーダ4310、Y軸リニアエンコーダ4316、4321に関しても、熱変形による破損・精度劣化を防ぐために、それぞれにX軸エンコーダ冷却板4412、Y軸エンコーダ冷却板4317、4322を設けることにより一定温度に保持する構成としている。   In order to prevent radiant heat from the heater of the stage 4302 from being transmitted to the X-axis base 4306 and the Y-axis base 4314, a water cooling plate 4304 is provided between the trolley 4403 and the X-axis base 4306. . The X-axis base 4306 also has a built-in water cooling mechanism to prevent troubles such as linear bearings due to radiant heat from the heater of the stage 4302. Further, the coils of the linear motors that generate heat during the stage operation are cooled by an X-axis motor coil cooling plate 4411 and Y-axis motor coil cooling plates 4319 and 4324 provided in each linear motor. Further, with respect to the X-axis linear encoder 4310 and the Y-axis linear encoders 4316 and 4321, in order to prevent damage and accuracy deterioration due to thermal deformation, by providing an X-axis encoder cooling plate 4412 and Y-axis encoder cooling plates 4317 and 4322, respectively. The structure is maintained at a constant temperature.

なお、移動するX軸リニアエンコーダ4410、Y軸リニアエンコーダ4316、4321から固定部に検出信号用のケーブルを導出するために、X軸リニアエンコーダ4410に対応してケーブルガイド4413が設けられ、Y軸リニアエンコーダ4316、4321に対応してそれぞれケーブルガイド4325が設けられる。   A cable guide 4413 corresponding to the X-axis linear encoder 4410 is provided to lead the detection signal cable from the moving X-axis linear encoder 4410 and the Y-axis linear encoders 4316 and 4321 to the fixed portion. Cable guides 4325 are provided corresponding to the linear encoders 4316 and 4321, respectively.

図31,図32を参照して、本発明によるマスクステージ駆動機構の実施の形態について説明する。上部から順にステージ構成を説明すると、中央部に大きな円形の開口を持つベースプレート4601が図示しない固定部に固定される。ベースプレート4601の開口の縁部にクロスローラベアリング4703が取り付けられている。ベースプレート4601の下面側には、クロスローラベアリング4703を介してθ軸、すなわちZ軸回りに回動可能にθ軸可動部4604が設けられている。θ軸可動部4604の中央部にもベースプレート4601の開口に対応する開口が設けられている。θ軸可動部4604の下面側には、Y軸方向に平行に延びる一対のY軸リニアベアリング4706を介してY軸方向に移動可能なようにY軸可動部4707が取り付けられている。Y軸可動部4707の中央部にもベースプレート4601の開口に対応する開口が設けられている。   With reference to FIGS. 31 and 32, an embodiment of a mask stage driving mechanism according to the present invention will be described. The stage structure will be described in order from the top. A base plate 4601 having a large circular opening at the center is fixed to a fixing portion (not shown). A cross roller bearing 4703 is attached to the edge of the opening of the base plate 4601. A θ-axis movable portion 4604 is provided on the lower surface side of the base plate 4601 via a cross roller bearing 4703 so as to be rotatable around the θ-axis, that is, the Z-axis. An opening corresponding to the opening of the base plate 4601 is also provided in the central portion of the θ-axis movable portion 4604. On the lower surface side of the θ-axis movable part 4604, a Y-axis movable part 4707 is attached so as to be movable in the Y-axis direction via a pair of Y-axis linear bearings 4706 extending in parallel with the Y-axis direction. An opening corresponding to the opening of the base plate 4601 is also provided at the center of the Y-axis movable portion 4707.

Y軸可動部4707には、Y軸リニアベアリング4706の設置スペースを確保するために形成された空間を利用して、X軸可動部4610が設けられている。X軸可動部4610は、リフト用エアベアリング4611及びヨーガイド用エアベアリング4615、4616によりX軸方向に関して案内される。X軸可動部4610の中央部にもベースプレート4601の開口に対応する開口が設けられている。   The Y-axis movable part 4707 is provided with an X-axis movable part 4610 using a space formed to secure an installation space for the Y-axis linear bearing 4706. The X-axis movable portion 4610 is guided in the X-axis direction by a lift air bearing 4611 and yaw guide air bearings 4615 and 4616. An opening corresponding to the opening of the base plate 4601 is also provided in the central portion of the X-axis movable portion 4610.

詳しく説明すると、X軸可動部4610は、θ軸可動部4604とY軸可動部4707との間であって、Y軸可動部4707におけるX軸可動部4610との対向面に設けられた複数のリフト用エアベアリング4611を介してX軸の方向に可動に構成されている。リフト用エアベアリング4611は、圧縮空気をX軸可動部4610の下面に吹き付けることでX軸可動部4610を浮上させるためのものであり、ここでは、X軸可動部4610の中心に関して120度の角度間隔をおいて3個設けられている。   More specifically, the X-axis movable unit 4610 is between the θ-axis movable unit 4604 and the Y-axis movable unit 4707 and is provided on a plurality of surfaces provided on the surface of the Y-axis movable unit 4707 facing the X-axis movable unit 4610. It is configured to be movable in the X-axis direction via a lift air bearing 4611. The lift air bearing 4611 is for levitation of the X-axis movable part 4610 by blowing compressed air onto the lower surface of the X-axis movable part 4610. Here, an angle of 120 degrees with respect to the center of the X-axis movable part 4610 Three are provided at intervals.

また、X軸可動部4610は磁性材料で構成され、Y軸可動部4707におけるX軸可動部4610との対向面には更に、複数箇所に吸引用のマグネット4618が配置されている。特に、マグネット4618は、リフト用エアベアリング4611の周囲に3個ずつ、合計9個配置されている。更に、X軸可動部4610は、X軸の方向に平行な2つの端縁部を有し、これら2つの端縁部をそれぞれ、Y軸可動部4707に設けたヨーガイド用エアベアリング4615、4616によりX軸方向の移動を案内するように構成されている。ヨーガイド用エアベアリング4615、4616はそれぞれ、X軸可動部4610の1つの端縁部に対して2つずつ設けられている。加えて、X軸可動部4610における一方の端縁部側に設けられた2つのヨーガイド用エアベアリング4616にはそえぞれ、プリロード用のピストン4620が組み合わされ、前記一方の端縁部に対してプリロードがかけられている。X軸可動部4610には、ボス4710−1を介してマスクステージ4730が組み合わされている。マスクステージ4730は、その中央にベースプレート4601の開口よりやや小さな開口を有すると共に、Y軸可動部4707の下面側から突出しており、その下端部には、マスク4714の保持部を有する。   Further, the X-axis movable portion 4610 is made of a magnetic material, and suction magnets 4618 are arranged at a plurality of locations on the surface of the Y-axis movable portion 4707 facing the X-axis movable portion 4610. In particular, nine magnets 4618 are arranged around the lift air bearing 4611, three in total. Further, the X-axis movable part 4610 has two end edges parallel to the X-axis direction, and these two edge parts are respectively provided by yaw guide air bearings 4615 and 4616 provided on the Y-axis movable part 4707. It is configured to guide movement in the X-axis direction. Two yaw guide air bearings 4615 and 4616 are provided for each edge of the X-axis movable portion 4610. In addition, two yaw guide air bearings 4616 provided on one end edge side of the X-axis movable portion 4610 are combined with a preloading piston 4620, respectively, with respect to the one end edge portion. Preload is applied. A mask stage 4730 is combined with the X-axis movable portion 4610 via a boss 4710-1. The mask stage 4730 has an opening slightly smaller than the opening of the base plate 4601 at the center, and protrudes from the lower surface side of the Y-axis movable part 4707, and has a holding part for the mask 4714 at its lower end.

以上の構成により、X軸、Y軸、θ軸の3自由度マスクステージを構成している。θ軸駆動モータ4605の出力軸がθ軸駆動モータ4605の回転に応じて軸方向に移動し、θ軸駆動プレート4619を押す。このことにより、θ軸可動部4604は、その中心に関してZ軸回りの反時計方向に回動する。なお、θ軸駆動モータ4605の出力軸は駆動プレート4619に固定されていない。このため、ベースプレート4601とθ軸可動部4604との間に引っ張りバネ4617を設けて時計回り方向のプリロードをかける構成とし、クロスローラベアリング4703の摩擦等によりバックラッシ及び回転不良等が発生することを防いでいる。θ軸可動部4604の回転角は、θ軸可動部4604に取り付けられて一体的に回動する回転軸4704−1に組み合わせた中空のロータリエンコーダ4702により計測し、精度を確保している。   With the above configuration, a three-degree-of-freedom mask stage of the X axis, the Y axis, and the θ axis is configured. The output shaft of the θ-axis drive motor 4605 moves in the axial direction according to the rotation of the θ-axis drive motor 4605 and pushes the θ-axis drive plate 4619. As a result, the θ-axis movable portion 4604 rotates counterclockwise around the Z axis with respect to its center. Note that the output shaft of the θ-axis drive motor 4605 is not fixed to the drive plate 4619. For this reason, a tension spring 4617 is provided between the base plate 4601 and the θ-axis movable portion 4604 to apply clockwise preload, thereby preventing backlash and rotation failure due to friction of the cross roller bearing 4703. It is out. The rotation angle of the θ-axis movable unit 4604 is measured by a hollow rotary encoder 4702 that is attached to the θ-axis movable unit 4604 and combined with a rotation shaft 4704-1 that rotates integrally, and ensures accuracy.

Y軸可動部4707は、θ軸可動部4604の端部とY軸可動部4707の端部との間に配設されたY軸リニアモータ4608によりY軸方向に駆動される。Y軸可動部4707の位置は、Y軸リニアモータ4608の近傍に配置されたY軸リニアエンコーダ4709により計測される。X軸可動部4610は、X軸リニアモータ4713により駆動される。X軸リニアモータ4713は、Y軸可動部4707の下面側に配置されており、その可動部分がボス4710−1と連結されていることにより、X軸可動部4610とボス4710−1とがX軸方向に駆動される。X軸可動部4610の位置は、Y軸可動部4707の下面側とボス4710−1との間に配置されたX軸リニアエンコーダ4612により計測される。   The Y-axis movable unit 4707 is driven in the Y-axis direction by a Y-axis linear motor 4608 disposed between the end of the θ-axis movable unit 4604 and the end of the Y-axis movable unit 4707. The position of the Y-axis movable unit 4707 is measured by a Y-axis linear encoder 4709 disposed in the vicinity of the Y-axis linear motor 4608. X-axis movable portion 4610 is driven by X-axis linear motor 4713. The X-axis linear motor 4713 is disposed on the lower surface side of the Y-axis movable part 4707, and the movable part is connected to the boss 4710-1, so that the X-axis movable part 4610 and the boss 4710-1 are connected to the X-axis linear motor 4713. Driven in the axial direction. The position of the X-axis movable unit 4610 is measured by an X-axis linear encoder 4612 disposed between the lower surface side of the Y-axis movable unit 4707 and the boss 4710-1.

X軸可動部4610の案内機構の詳細について述べる。中央部の開口を光路として、マスク4714の下方に配置されたワーク(図示せず)にレーザ光を照射しながら一定速度で移動するスキャニングを行うため、X軸可動部4610は高い軌跡追従性能と位置決め精度が要求される。そのため、X軸可動部4610の案内機構には、静圧軸受けが採用されている。X軸可動部4610の案内機構の構成は、上下方向(ラジアル)と横方向の2つの案内機構により構成される。上下方向案内の静圧軸受けは、Y軸可動部4707に取り付けられたリフト用エアベアリング4611とX軸可動部4610の案内面とで構成される。特に、高い案内剛性が得られる隙間(5〜10μm程度)を維持するために、Y軸可動部4707に取り付けた複数のマグネット4618の吸引力によりプリロードをかける構成としている。   Details of the guide mechanism of the X-axis movable unit 4610 will be described. The X-axis movable portion 4610 has high trajectory tracking performance in order to perform scanning that moves at a constant speed while irradiating a workpiece (not shown) disposed below the mask 4714 with a laser beam using the central opening as an optical path. Positioning accuracy is required. Therefore, a static pressure bearing is employed for the guide mechanism of the X-axis movable portion 4610. The configuration of the guide mechanism of the X-axis movable unit 4610 includes two guide mechanisms in the vertical direction (radial) and the horizontal direction. The hydrostatic bearing for vertical guidance is composed of a lift air bearing 4611 attached to the Y-axis movable part 4707 and a guide surface of the X-axis movable part 4610. In particular, in order to maintain a gap (about 5 to 10 μm) in which high guide rigidity is obtained, a preload is applied by the attractive forces of a plurality of magnets 4618 attached to the Y-axis movable portion 4707.

通常、エアベアリングは可動部側に固定するが、X軸可動部4610の必要ストロークが短い点を生かし、リフト用エアベアリング4611をX軸可動部4610ではなく、X軸可動部4610のベースとなるY軸可動部4707側に固定する構成としている。これにより、X軸可動部4610の重量低減をはかり、移動時の外乱となるエアベアリングへのエア供給チューブの接続数を減らしている。   Normally, the air bearing is fixed to the movable part side, but taking advantage of the short stroke required for the X-axis movable part 4610, the lift air bearing 4611 is not the X-axis movable part 4610 but the base of the X-axis movable part 4610. It is configured to be fixed to the Y axis movable portion 4707 side. As a result, the weight of the X-axis movable portion 4610 is reduced, and the number of air supply tubes connected to the air bearing, which becomes a disturbance during movement, is reduced.

X軸可動部4610の横方向案内の静圧軸受けは、X軸可動部4610に取り付けた2組のヨーガイド用エアベアリング4615、4616で、X軸可動部4610を挟み込む形で構成される。2個のヨーガイド用エアベアリング4615は、各々アジャストボルト4621により支持される。アジャストボルト4621はX軸可動部4610に取り付けられて、その先端がヨーガイド用エアベアリング4615に当接しており、その出し入れ量を調整することにより、X軸可動部4610の横方向の姿勢を調整することができる。   The static pressure bearing for lateral guidance of the X-axis movable part 4610 is configured by sandwiching the X-axis movable part 4610 by two sets of yaw guide air bearings 4615 and 4616 attached to the X-axis movable part 4610. The two yaw guide air bearings 4615 are each supported by an adjusting bolt 4621. The adjustment bolt 4621 is attached to the X-axis movable part 4610, and the tip thereof is in contact with the yaw guide air bearing 4615. By adjusting the amount of the adjustment bolt 4621, the lateral posture of the X-axis movable part 4610 is adjusted. be able to.

ヨーガイド用エアベアリング4615とは反対側に取り付けられたヨーガイド用エアベアリング4616は、プリロード用ピストン4620により支持され、一定の力により支持されている。このため、X軸可動部4610やY軸可動部4707などの熱変形、機械加工精度、組立誤差等の影響を受けることなく、一定の静圧軸受け隙間を維持することが可能な構成となっている。   A yaw guide air bearing 4616 attached to the side opposite to the yaw guide air bearing 4615 is supported by a preload piston 4620 and supported by a constant force. For this reason, a constant hydrostatic bearing clearance can be maintained without being affected by thermal deformation, machining accuracy, assembly errors, etc. of the X-axis movable part 4610 and the Y-axis movable part 4707. Yes.

全てのエアベアリングの支持点は、セラミック球により球面支持されており、相手面のうねり、熱変形等、エアベアリング面と相手面の平行度が失われても、ある程度吸収できるような構成としている。   The support points of all air bearings are spherically supported by ceramic balls, so that they can absorb to some extent even if the parallelism between the air bearing surface and the mating surface is lost, such as swell or thermal deformation of the mating surface. .

本発明による空気圧式チルト機構を備えた真空チャンバ用ステージ装置の概略図を図33、図34に示す。このステージ装置は、真空あるいは減圧状態を得ることのできる真空チャンバ内に設置されるが、ここでは、真空チャンバは図示を省略している。   33 and 34 are schematic views of a vacuum chamber stage device provided with a pneumatic tilt mechanism according to the present invention. The stage apparatus is installed in a vacuum chamber that can obtain a vacuum or a reduced pressure state, but the vacuum chamber is not shown here.

本発明による空気圧式チルト機構は、ベース5102上に空気圧駆動方式の3台のベローズシリンダ5104−1、5104−2、5104−3と板ばね5103とを配置し、これらでステージ5201を支持する構造となっている。板ばね5103は十文字の形状をしており、板ばね5103の中央部(交差部)をステージ5201の下面に設けた台状部にボルト等により固定している。また、板ばね5103の4つの端部をそれぞれ、支持台5202−1を介してベース2側に固定している。   The pneumatic tilt mechanism according to the present invention has a structure in which three bellow cylinders 5104-1, 5104-2, 5104-3 and a plate spring 5103 of a pneumatic drive type are arranged on a base 5102 and a stage 5201 is supported by these. It has become. The leaf spring 5103 has a cross shape, and the central portion (intersection) of the leaf spring 5103 is fixed to a base portion provided on the lower surface of the stage 5201 with a bolt or the like. Further, the four end portions of the leaf spring 5103 are fixed to the base 2 side via the support bases 5202-1.

ベローズシリンダ5104−1〜5104−3は、空気圧シリンダをベローズにて封止して成り、空気圧シリンダから空気漏れがあっても漏れ空気が真空チャンバ内に流入しないように構成されている。   The bellows cylinders 5104-1 to 5104-3 are formed by sealing a pneumatic cylinder with a bellows, and are configured so that leaked air does not flow into the vacuum chamber even if air leaks from the pneumatic cylinder.

本空気圧式チルト機構は、板ばね5103によりステージ5201を支持し、各ベローズシリンダ5104−1〜5104−3に圧縮空気を送ることでベローズシリンダ5104−1〜5104−3を伸縮させ、ステージ5201の高さ、傾きを調整する。   This pneumatic tilt mechanism supports the stage 5201 by a leaf spring 5103, and expands and contracts the bellows cylinders 5104-1 to 5104-3 by sending compressed air to the bellows cylinders 5104-1 to 5104-3. Adjust the height and tilt.

図35は、照射光学系5420の構成を説明する図である。レーザ光源(図示せず)からのレーザ光ALが入射するホモジナイザ5421は、縦横のビームサイズを独立にコントロールするための第1〜第4シリンドリカルレンズアレイCA1〜CA4と、集光のためのコンデンサレンズ5521aとからなる。ここで、第1及び第3シリンドリカルレンズアレイCA1、CA3は、紙面に平行な断面に曲率を有し、第2及び第4シリンドリカルレンズアレイCA2、CA4は、紙面に垂直な断面に曲率を有する。   FIG. 35 is a diagram illustrating the configuration of the irradiation optical system 5420. A homogenizer 5421 to which laser light AL from a laser light source (not shown) is incident includes first to fourth cylindrical lens arrays CA1 to CA4 for independently controlling vertical and horizontal beam sizes, and condenser lenses for condensing light. 5521a. Here, the first and third cylindrical lens arrays CA1, CA3 have a curvature in a cross section parallel to the paper surface, and the second and fourth cylindrical lens arrays CA2, CA4 have a curvature in a cross section perpendicular to the paper surface.

ホモジナイザ5421からのレーザ光ALは、ターンミラー5525を経て、マスク組立体5422に入射する。このマスク組立体5422は、レーザ光ALによって照明されるとともにワークWに照射すべきパターンを下面5580に形成したマスク5522aと、マスク5522aのパターンの光透過部(すなわち開口)の周囲にレーザ光ALが入射して戻り光の原因となることを防止する反射部材5522bと、瞳位置を調節するフィールドレンズ5522cとからなる。ここで、反射部材5522bは、マスク5522aに対して傾いて配置されており、反射部材5522bの上面5581からの反射光RLは、光軸OAから外れた方向に出射し、フィールドレンズ5522cを経てビームダンパ5526に入射する。なお、フィールドレンズ5522cは、ホモジナイザ5421の一部と考えることもできる。   Laser light AL from the homogenizer 5421 enters the mask assembly 5422 through the turn mirror 5525. This mask assembly 5422 is illuminated with a laser beam AL and has a mask 5522a in which a pattern to be irradiated on the workpiece W is formed on the lower surface 5580, and a laser beam AL around the light transmitting portion (ie, opening) of the pattern of the mask 5522a. Is made up of a reflection member 5522b that prevents the incident light from entering and causing return light, and a field lens 5522c that adjusts the pupil position. Here, the reflecting member 5522b is disposed to be inclined with respect to the mask 5522a, and the reflected light RL from the upper surface 5581 of the reflecting member 5522b is emitted in a direction deviating from the optical axis OA, and passes through the field lens 5522c to be a beam damper. 5526 is incident. Note that the field lens 5522c can also be considered part of the homogenizer 5421.

マスク5522aを通過したレーザ光ALは、投影レンズ5423に入射する。この投影レンズ5423は、レーザ光ALによって照明されたマスク5522aに形成された光透過パターンであるスリット像をワークWの加工面上に縮小投影、すなわち結像・転写する。   The laser light AL that has passed through the mask 5522a enters the projection lens 5423. The projection lens 5423 reduces-projects, that is, forms and transfers, a slit image, which is a light transmission pattern formed on the mask 5522a illuminated by the laser light AL, onto the processing surface of the workpiece W.

次に、図36と図37を参照して本発明に係るCVD装置の第1の実施形態を説明する。図36において、このCVD装置では、好ましくはシランを材料ガスとして使用し、通常のTFT用ガラス基板7111の上面にシリコン酸化膜をゲート絶縁膜として成膜する。CVD装置の容器7112は、成膜処理を行う際、排気機構7113によってその内部が所望の真空状態に保持される真空容器である。排気機構7113は真空容器7112に形成された排気ポート7112b−1に接続されている。   Next, a first embodiment of the CVD apparatus according to the present invention will be described with reference to FIGS. In FIG. 36, this CVD apparatus preferably uses silane as a material gas, and forms a silicon oxide film as a gate insulating film on the upper surface of a normal TFT glass substrate 7111. A container 7112 of the CVD apparatus is a vacuum container whose inside is maintained in a desired vacuum state by an exhaust mechanism 7113 when performing a film forming process. The exhaust mechanism 7113 is connected to an exhaust port 7112 b-1 formed in the vacuum vessel 7112.

真空容器7112の内部には、上下方向の中間位置にほぼ水平な状態で導電性部材で作られた隔壁部7114が設けられており、平面形状が例えば方形の隔壁部7114の周縁部が真空容器7112の周囲壁部分に接触するように配置されている。真空容器7112の内部は隔壁部7114によって上下の2つの室に隔離される。上側の室はプラズマ生成空間7115を形成し、下側の室は成膜処理空間7116を形成する。隔壁部7114は、所望の特定の厚みを有し、かつ全体的に平板状の形態を有し、さらに真空容器7112の水平断面形状に類似した平面形状を有する。隔壁部7114には内部空間7124が形成されている。   Inside the vacuum vessel 7112 is provided a partition wall portion 7114 made of a conductive member in a substantially horizontal state at an intermediate position in the vertical direction, and the peripheral portion of the partition wall portion 7114 having a square shape, for example, is a vacuum container. 7112 is disposed so as to contact the peripheral wall portion of 7112. The inside of the vacuum vessel 7112 is isolated into two upper and lower chambers by a partition wall portion 7114. The upper chamber forms a plasma generation space 7115, and the lower chamber forms a film formation processing space 7116. The partition wall portion 7114 has a desired specific thickness, has a flat plate shape as a whole, and has a planar shape similar to the horizontal sectional shape of the vacuum vessel 7112. An internal space 7124 is formed in the partition wall portion 7114.

上記ガラス基板7111は、成膜処理空間7116に設けられた基板保持機構7117の上に配置されている。ガラス基板7111は隔壁部7114に実質的に平行であって、その成膜面(上面)が隔壁部7114の下面に対向するように配置されている。基板保持機構7117の電位は真空容器7112と同じ電位である接地電位に保持される。さらに基板保持機構7117の内部にはヒータ7118が設けられている。このヒータ7118によってガラス基板7111の温度は所定の温度に保持される。   The glass substrate 7111 is disposed on a substrate holding mechanism 7117 provided in the film formation processing space 7116. The glass substrate 7111 is substantially parallel to the partition wall portion 7114 and is disposed so that the film formation surface (upper surface) faces the lower surface of the partition wall portion 7114. The potential of the substrate holding mechanism 7117 is held at the ground potential which is the same potential as the vacuum vessel 7112. Further, a heater 7118 is provided inside the substrate holding mechanism 7117. The temperature of the glass substrate 7111 is maintained at a predetermined temperature by the heater 7118.

真空容器7112の構造を説明する。真空容器7112は、その組立性を良好にする観点から、プラズマ生成空間7115を形成する上容器7112aと、成膜処理空間7116を形成する下容器7112bとから構成される。上容器7112aと下容器7112bを組み合わせて真空容器7112を作るとき、両者の間に位置に隔壁部7114が設けられる。隔壁部7114は、その周縁部が、後述するごとく電極7120を設けるときに上容器7112aとの間に介設される環状絶縁部材7121、7122のうち下側の絶縁部材7122に接触するようにして取り付けられる。これによって、隔壁部7114の上側と下側に、隔離されたプラズマ生成空間7115と成膜処理空間7116が形成される。隔壁部7114と上容器7112aとによってプラズマ生成空間7115が形成される。プラズマ生成空間7115においてプラズマ7119が生成されている領域は、前述の隔壁部7114と上容器7112aとのほぼ中央位置に配置される板状の電極(高周波電極)7120とから形成されている。電極7120には複数の孔7120aが形成されている。隔壁部7114と電極7120は、上容器7112aの側部内面に沿って設けられた2つの環状絶縁部材7121、7122によって支持され、固定される。環状絶縁部材7121には、外側からプラズマ生成空間7115へ酸素ガスを導入する導入パイプ7123が設けられている。導入パイプ7123は流量制御を行うマスフローコントローラ(図示せず)を介して酸素ガス供給源(図示せず)に接続されている。   The structure of the vacuum vessel 7112 will be described. The vacuum container 7112 includes an upper container 7112a that forms a plasma generation space 7115 and a lower container 7112b that forms a film formation processing space 7116 from the viewpoint of improving its assemblability. When the upper container 7112a and the lower container 7112b are combined to form the vacuum container 7112, a partition wall portion 7114 is provided between the two. The partition wall 7114 has a peripheral edge in contact with the lower insulating member 7122 of the annular insulating members 7121 and 7122 interposed between the upper container 7112a when the electrode 7120 is provided as described later. It is attached. As a result, an isolated plasma generation space 7115 and film formation processing space 7116 are formed above and below the partition wall portion 7114. A plasma generation space 7115 is formed by the partition wall portion 7114 and the upper container 7112a. A region where the plasma 7119 is generated in the plasma generation space 7115 is formed by a plate-like electrode (high-frequency electrode) 7120 disposed at a substantially central position between the partition wall 7114 and the upper container 7112a. The electrode 7120 has a plurality of holes 7120a. The partition wall 7114 and the electrode 7120 are supported and fixed by two annular insulating members 7121 and 7122 provided along the inner side surface of the upper container 7112a. The annular insulating member 7121 is provided with an introduction pipe 7123 for introducing oxygen gas into the plasma generation space 7115 from the outside. The introduction pipe 7123 is connected to an oxygen gas supply source (not shown) via a mass flow controller (not shown) that controls the flow rate.

真空容器7112の内部は、隔壁部7114によってプラズマ生成空間7115と成膜処理空間7116に隔離されるが、隔壁部7114には所定条件を満たす複数の貫通孔7125が内部空間7124を貫通する状態で分散して形成されており、これらの貫通孔7125を介してのみプラズマ生成空間7115と成膜処理空間7116はつながっている。また隔壁部7114内に形成された内部空間7124は、材料ガスを分散させて均一に成膜処理空間7116に供給するための空間である。さらに隔壁部7114の下壁には材料ガスを成膜処理空間7116に供給する複数の拡散孔7126が形成されている。上記貫通孔7125または拡散孔7126はそれぞれ後述する所定の条件を満たすように作られている。また上記内部空間7124には、材料ガスを導入するための導入パイプ7128が接続されている。導入パイプ7128は側方から接続されるように配置されている。また内部空間7124の中には、材料ガスが拡散孔7126から均一に供給されるように、複数の孔7127aを有するように穿孔された均一板7127がほぼ水平に設けられている。図37に示すごとく、均一板7127によって隔壁部7114の内部空間7124は上下の二つの空間7124a、7124bに分けられている。導入パイプ7128で内部空間7124に導入される材料ガスは、上側の空間7124aに導入され、均一板7127の孔7127aを通って下側の空間7124bに至り、さらに拡散孔7126を通って成膜処理空間7116に拡散されることになる。以上の構造に基づいて、成膜処理空間7116の全体にわたって材料ガスを均一に供給することが可能となる。   The inside of the vacuum vessel 7112 is isolated by the partition wall portion 7114 into the plasma generation space 7115 and the film formation processing space 7116, and a plurality of through holes 7125 satisfying a predetermined condition pass through the internal space 7124 in the partition wall portion 7114. The plasma generation space 7115 and the film formation processing space 7116 are connected only through these through holes 7125. An internal space 7124 formed in the partition wall portion 7114 is a space for dispersing the material gas and supplying it uniformly to the film formation processing space 7116. Further, a plurality of diffusion holes 7126 for supplying a material gas to the film formation processing space 7116 are formed in the lower wall of the partition wall portion 7114. The through hole 7125 or the diffusion hole 7126 is formed so as to satisfy a predetermined condition described later. The internal space 7124 is connected to an introduction pipe 7128 for introducing a material gas. The introduction pipe 7128 is arranged so as to be connected from the side. Further, in the internal space 7124, a uniform plate 7127 pierced so as to have a plurality of holes 7127 a is provided substantially horizontally so that the material gas is uniformly supplied from the diffusion holes 7126. As shown in FIG. 37, the internal space 7124 of the partition wall portion 7114 is divided into two upper and lower spaces 7124a and 7124b by the uniform plate 7127. The material gas introduced into the internal space 7124 by the introduction pipe 7128 is introduced into the upper space 7124a, reaches the lower space 7124b through the hole 7127a of the uniform plate 7127, and further passes through the diffusion hole 7126 to form a film formation process. It will be diffused into the space 7116. Based on the above structure, the material gas can be supplied uniformly over the entire film formation processing space 7116.

図37では隔壁部7114の一部が拡大して示され、貫通孔7125と拡散孔7126と均一板7127の要部が拡大して示される。貫通孔7125は、一例として、プラズマ生成空間7115側が大きな径を有し、成膜処理空間7116側が絞られ、小さい径で作られている。   In FIG. 37, a part of the partition wall portion 7114 is shown enlarged, and the main portions of the through hole 7125, the diffusion hole 7126, and the uniform plate 7127 are shown enlarged. As an example, the through hole 7125 has a large diameter on the plasma generation space 7115 side and is narrowed on the film formation processing space 7116 side, and is formed with a small diameter.

上容器7112aの天井部には、電極7120に接続された電力導入棒7129が設けられている。電力導入棒7129によって電極7120に放電用高周波電力が給電される。電極7120は高周波電極として機能する。電力導入棒7129は絶縁物7131で被われており、他の金属部分との絶縁が図られている。   A power introduction rod 7129 connected to the electrode 7120 is provided on the ceiling of the upper container 7112a. The discharge high frequency power is supplied to the electrode 7120 by the power introduction rod 7129. The electrode 7120 functions as a high frequency electrode. The power introduction rod 7129 is covered with an insulator 7131 so as to be insulated from other metal parts.

上記のように構成されたCVD装置による成膜方法を説明する。図示しない搬送ロボットによってガラス基板7111が真空容器7112の内部に搬入され、基板保持機構7117の上に配置される。真空容器7112の内部は、排気機構7113によって排気され、減圧されて所定の真空状態に保持される。次に、導入パイプ7123を通して酸素ガスが真空容器7112のプラズマ生成空間7115に導入される。このとき酸素ガスの流量は外部のマスフローコントローラで制御される。式(1),(2)を用いて、酸素ガスの流量(QO2)と圧力(PO2)、および温度(T)から酸素の流速(u)が求められる。 A film forming method using the CVD apparatus configured as described above will be described. A glass substrate 7111 is carried into the vacuum container 7112 by a transfer robot (not shown) and placed on the substrate holding mechanism 7117. The inside of the vacuum vessel 7112 is evacuated by an exhaust mechanism 7113, decompressed, and maintained in a predetermined vacuum state. Next, oxygen gas is introduced into the plasma generation space 7115 of the vacuum vessel 7112 through the introduction pipe 7123. At this time, the flow rate of oxygen gas is controlled by an external mass flow controller. Using equations (1) and (2), the flow rate (u) of oxygen is obtained from the flow rate (Q O2 ) of oxygen gas, the pressure (P O2 ), and the temperature (T).

O2=ρO2uA 式(1)
O2=(ρO2RT)/M 式(2)
一方、材料ガスであるシランが導入パイプ7128を通して隔壁部7114の内部空間7124に導入される。シランは、最初に内部空間7124の上側空間7124aに導入され、均一板7127で均一化されて下側部分7124bに移動し、次に拡散孔7126を通って成膜処理空間7116に直接に、すなわちプラズマに接触することなく導入される。成膜処理空間7116に設けられた基板保持機構7117は、ヒータ7118に通電が行われているため、予め所定温度に保持されている。
Q O2 = ρ O2 uA Formula (1)
P O2 = (ρ O2 RT) / M Formula (2)
On the other hand, silane which is a material gas is introduced into the internal space 7124 of the partition wall portion 7114 through the introduction pipe 7128. Silane is first introduced into the upper space 7124a of the inner space 7124, homogenized by the uniform plate 7127 and moved to the lower portion 7124b, and then directly through the diffusion hole 7126 to the film forming treatment space 7116, that is, It is introduced without contacting the plasma. The substrate holding mechanism 7117 provided in the film formation processing space 7116 is held at a predetermined temperature in advance because the heater 7118 is energized.

上記の状態で、電極7120に対して電力導入棒7129を介して高周波電力が供給される。高周波電力によって放電が生じ、プラズマ生成空間7115内において電極7120の周囲に酸素プラズマ7119が生成される。酸素プラズマ7119を生成することで、中性の励起種であるラジカル(励起活性種)が生成される。   In the above state, high frequency power is supplied to the electrode 7120 via the power introduction rod 7129. Discharge occurs due to the high frequency power, and oxygen plasma 7119 is generated around the electrode 7120 in the plasma generation space 7115. By generating the oxygen plasma 7119, radicals (excited active species) that are neutral excited species are generated.

基板7111の表面に成膜を行うとき、真空容器7112の内部空間は、導電材料で形成された隔壁部7114でプラズマ生成空間7115と成膜処理空間7116に隔離された構成において、プラズマ生成空間7115では酸素ガスを導入しかつ電極7120に高周波電力を供給して酸素プラズマ7119を生成し、他方、成膜処理空間7116では材料ガスであるシランが隔壁部7114の内部空間7124および拡散孔7126を通って直接に導入される。プラズマ生成空間7115で生成された酸素プラズマ7119中のラジカルは隔壁部7114の複数の貫通孔7125を通って成膜処理空間7116に導入されると共に、シランは隔壁部7114の内部空間7124および拡散孔7126を通って成膜処理空間7116に直接導入される。また成膜処理空間7116に直接導入されたシランは、貫通孔7125の有する形態に基づきプラズマ生成空間の側に逆拡散することが抑制される。このように、材料ガスであるシランを成膜処理空間7116に導入するときシランが直接に酸素プラズマ7119に触れることはなく、シランと酸素プラズマとが激しく反応することが防止される。かくして、成膜処理空間7116において、隔壁部7114の下面に対向して配置された基板7111の表面にシリコン酸化膜が成膜される。   When film formation is performed on the surface of the substrate 7111, the internal space of the vacuum vessel 7112 is separated from the plasma generation space 7115 and the film formation processing space 7116 by a partition wall portion 7114 formed of a conductive material. Then, oxygen gas is introduced and high-frequency power is supplied to the electrode 7120 to generate oxygen plasma 7119. On the other hand, in the film forming treatment space 7116, silane which is a material gas passes through the internal space 7124 and the diffusion hole 7126 of the partition wall portion 7114. Introduced directly. Radicals in the oxygen plasma 7119 generated in the plasma generation space 7115 are introduced into the film formation processing space 7116 through the plurality of through holes 7125 in the partition wall portion 7114, and silane is introduced into the internal space 7124 and the diffusion holes in the partition wall portion 7114. The film is introduced directly into the film formation processing space 7116 through 7126. In addition, silane introduced directly into the film formation processing space 7116 is prevented from back-diffusion to the plasma generation space side based on the form of the through holes 7125. In this manner, when silane, which is a material gas, is introduced into the film formation treatment space 7116, the silane does not directly contact the oxygen plasma 7119, and the silane and oxygen plasma are prevented from reacting violently. Thus, a silicon oxide film is formed on the surface of the substrate 7111 arranged to face the lower surface of the partition wall portion 7114 in the film formation processing space 7116.

上記の構造において、隔壁部7114の複数の貫通孔7125の大きさ等の形態は、プラズマ生成空間7115における酸素ガスを貫通孔中の物質移動流れとし、成膜処理空間7116におけるシランが、貫通孔7125を通って反対側の空間に拡散移動を行うことを想定するとき、その移動量を所望範囲に制限するように決められている。すなわち、例えば、温度Tにおける隔壁部7114の貫通孔7125を流れる酸素ガスとシランに関してその相互ガス拡散係数をDとし、かつ貫通孔7125の最小径部分の長さ(貫通孔の特徴的長さ)をLとするとき、ガス流速(ガスの流速uとする)を用いて、uL/D>1の関係が満たされるように決められる。以上の貫通孔の形態に関する条件は、好ましくは、隔壁部7114に形成された拡散孔7126に関しても同様に適用される。   In the above structure, the size and the like of the plurality of through holes 7125 of the partition wall portion 7114 are such that the oxygen gas in the plasma generation space 7115 is a mass transfer flow in the through holes, and the silane in the film formation processing space 7116 is the through holes. When it is assumed that the diffusion movement is performed to the opposite space through 7125, the movement amount is determined to be limited to a desired range. That is, for example, with respect to oxygen gas and silane flowing through the through-hole 7125 of the partition wall portion 7114 at the temperature T, the mutual gas diffusion coefficient is D, and the length of the minimum diameter portion of the through-hole 7125 (characteristic length of the through-hole) When L is L, a gas flow rate (assuming a gas flow rate u) is used so that the relationship of uL / D> 1 is satisfied. The above conditions regarding the form of the through hole are preferably similarly applied to the diffusion hole 7126 formed in the partition wall portion 7114.

上記uL/D>1の関係は次のように導き出される。例えば貫通孔7125を移動する酸素とシランの関係に関しシランガス密度(ρSiH4)と拡散流速(uSiH4)と相互ガス拡散係数(DSiH4-O2 )を用いて下記の式(3)が成立する。貫通孔の特徴的長さをLとすると、式(3)が式(4)に近似できる。式(4)の両辺を比較した結果、シランの拡散流速(uSiH4)が−DSiH4-O2 /Lで表わされる。従って、上記の式(1)と(2)から得られる酸素流速をuとし、シランの拡散流速を−DSiH4-O2 /Lとした場合に、これらの2つの流速の絶対値の比、すなわち|−u/(−DSiH4-O2 /L)|=uL/DSiH4-O2 の値は酸素物質移動速度とシラン拡散速度の比であり、この比uL/DSiH4-O2 を1以上にすることは、拡散の流量に比較して対流による流量が大きいことを意味する。すなわち、uL/DSiH4-O2 の値を1以上にすることは、シランの拡散影響が少ないことを意味している。 The relationship of uL / D> 1 is derived as follows. For example, the following equation (3) is established using the silane gas density (ρ SiH4 ), the diffusion flow rate (u SiH4 ), and the mutual gas diffusion coefficient (D SiH4-O2 ) regarding the relationship between oxygen and silane moving through the through-hole 7125. When the characteristic length of the through hole is L, Expression (3) can be approximated to Expression (4). As a result of comparing both sides of the formula (4), the diffusion flow rate (u SiH4 ) of silane is represented by -D SiH4-O2 / L. Therefore, when the oxygen flow rate obtained from the above equations (1) and (2) is u and the diffusion flow rate of silane is -D SiH4-O2 / L, the ratio of the absolute values of these two flow rates, that is, | -U / (-D SiH4-O2 / L) | = uL / D SiH4-O2 is the ratio of oxygen mass transfer rate to silane diffusion rate, and this ratio uL / D SiH4-O2 is set to 1 or more. This means that the flow rate by convection is larger than the flow rate of diffusion. That is, setting the value of uL / D SiH4-O2 to 1 or more means that the diffusion effect of silane is small.

ρSiH4SiH4=−DSiH4-O2 gradρSiH4 (3)
ρSiH4SiH4≒−DSiH4-O2 ρSiH4/L (4)
次に具体的な例を説明する。隔壁部7114の温度を300℃、隔壁部7114に形成された貫通孔7125の直径を0.5mm、直径0.5mmの部分の長さ(L)を3mm、貫通孔25の総数を500個、酸素ガスのガス流量を500sccm、成膜処理空間7116の圧力100Paとすると、上記式(4)の値は11となる。このような場合には、シランガスの拡散に比較して流れの影響が十分に大きいため、プラズマ生成空間7115へシランガスが拡散することは少なくなる。
ρ SiH4 u SiH4 = -D SiH4- O2 gradρ SiH4 (3)
ρ SiH4 u SiH4 ≒ -D SiH4-O2 ρ SiH4 / L (4)
Next, a specific example will be described. The temperature of the partition wall portion 7114 is 300 ° C., the diameter of the through hole 7125 formed in the partition wall portion 7114 is 0.5 mm, the length (L) of the 0.5 mm diameter portion is 3 mm, and the total number of the through holes 25 is 500 pieces. When the gas flow rate of oxygen gas is 500 sccm and the pressure of the film forming treatment space 7116 is 100 Pa, the value of the above equation (4) is 11. In such a case, since the influence of the flow is sufficiently large compared to the diffusion of silane gas, the diffusion of silane gas into the plasma generation space 7115 is reduced.

上記のように、プラズマ生成空間7115と成膜処理空間7116は、上記特性を有する貫通孔7125と拡散孔7126が多数形成された隔壁部7114でそれぞれ閉じられた室となるように仕切られて隔離されているため、成膜処理空間7116に直接導入されたシランと酸素プラズマが接触することはほとんどない。従って、従来装置のごとく、シランと酸素プラズマが激しく反応することは防止される。   As described above, the plasma generation space 7115 and the film formation processing space 7116 are separated and separated so as to be chambers closed by the partition wall portion 7114 in which a large number of through holes 7125 and diffusion holes 7126 having the above characteristics are formed. Therefore, there is almost no contact between the silane directly introduced into the film formation processing space 7116 and the oxygen plasma. Therefore, as in the conventional apparatus, the silane and oxygen plasma are prevented from reacting violently.

次に図38を参照して本発明に係るCVD装置の第2の実施形態を説明する。図38において、図36で説明した要素と実質的に同一の要素には同一の符号を付し、ここで詳細な説明を反復することは省略する。本実施形態の特徴的構成は、上容器7112aの天井部の内側に板状絶縁部材7333を設け、かつその下側に電極7120を配置するようにした。電極7120には上記孔7120aは形成されず、一枚状の板の形態を有する。電極7120と隔壁部7114によって平行平板型電極構造によるプラズマ生成空間7115を形成する。その他の構成は第1実施形態の構成と実質的に同じである。さらに、第2実施形態によるCVD装置による作用、効果も前述の第1実施形態と同じである。   Next, a second embodiment of the CVD apparatus according to the present invention will be described with reference to FIG. In FIG. 38, elements substantially the same as those described in FIG. 36 are denoted by the same reference numerals, and description thereof will not be repeated here. The characteristic configuration of this embodiment is such that a plate-like insulating member 7333 is provided on the inner side of the ceiling portion of the upper container 7112a, and the electrode 7120 is arranged on the lower side thereof. The hole 7120a is not formed in the electrode 7120, but has a form of a single plate. A plasma generation space 7115 having a parallel plate electrode structure is formed by the electrode 7120 and the partition wall portion 7114. Other configurations are substantially the same as those of the first embodiment. Furthermore, the operations and effects of the CVD apparatus according to the second embodiment are the same as those in the first embodiment.

次に図39を参照して本発明に係るCVD装置の第3の実施形態を説明する。図39において、図36で説明した要素と実質的に同一の要素には同一の符号を付し、ここで詳細な説明を反復することは省略する。本実施形態の特徴的構成は、上容器7112aの側壁部の内側に設けられた環状絶縁部材7122には、外側からプラズマ生成空間7115へ洗浄用ガスを導入する第2ガス導入パイプ7423が追加して設けられている。導入パイプ7423は流量制御を行うマスフローコントローラ(図示せず)を介して洗浄用ガス供給源(図示せず)に接続されている。第2ガス導入パイプ7423を通してプラズマ生成空間7115内に洗浄用ガスを導入し、かつ高周波電源から電極7120に高周波電力を供給すると、プラズマ生成空間7115内には、基板7111上の膜表面の洗浄に使用されるラジカルを作るためのプラズマが生成される。洗浄用ガスとしては例えばNF,ClF,C,C,H,O,N,F,Ar等(希ガス、ハロゲン化ガス)が使用される。その他の構成は第1実施形態の構成と実質的に同じである。 Next, a third embodiment of the CVD apparatus according to the present invention will be described with reference to FIG. In FIG. 39, elements substantially the same as those described in FIG. 36 are denoted by the same reference numerals, and the detailed description thereof is not repeated here. The characteristic configuration of this embodiment is that a second gas introduction pipe 7423 for introducing a cleaning gas from the outside to the plasma generation space 7115 is added to the annular insulating member 7122 provided inside the side wall portion of the upper container 7112a. Is provided. The introduction pipe 7423 is connected to a cleaning gas supply source (not shown) via a mass flow controller (not shown) that controls the flow rate. When a cleaning gas is introduced into the plasma generation space 7115 through the second gas introduction pipe 7423 and high-frequency power is supplied from the high-frequency power source to the electrode 7120, the plasma generation space 7115 has a film surface on the substrate 7111 for cleaning. A plasma is generated to make the radicals used. For example, NF 3 , ClF 3 , C 2 F 4 , C 2 F 6 , H 2 , O 2 , N 2 , F 2 , Ar, etc. (rare gas, halogenated gas) are used as the cleaning gas. Other configurations are substantially the same as those of the first embodiment.

ガス導入パイプ7123と第2ガス供給パイプ7423の使用は択一的に実行されるように制御される。この実施形態では、最初に洗浄用ガスが導入されて基板7111上の膜の表面洗浄が行われ、その後に成膜用ガスが導入されて基板7111上の膜の表面上にゲート絶縁膜が形成される。   Use of the gas introduction pipe 7123 and the second gas supply pipe 7423 is controlled to be executed alternatively. In this embodiment, the cleaning gas is first introduced to clean the surface of the film on the substrate 7111, and then the deposition gas is introduced to form a gate insulating film on the surface of the film on the substrate 7111. Is done.

すなわちレーザアニール処理が行われた膜(ポリシリコン膜)を表面に形成した基板7111が基板ホルダ7117上に搭載された後に、プラズマ生成空間7115へ第2ガス導入パイプ7423から洗浄用ガスを導入し、電極7120に対して電力導入棒7129を介して高周波電力が供給される。これによりプラズマ生成空間7115で放電が開始され、洗浄用ガスプラズマ7419が生成される。その結果、プラズマ中ではラジカルが生じ、当該ラジカルが隔壁部7114の複数の貫通孔7125を通して成膜処理空間7116へ移動し、基板7111上に形成された膜の表面をラジカルによって洗浄する。それによって、レーザアニール後に基板の膜表面上に発生した不純物を除去することが可能となる。   That is, after a substrate 7111 having a laser-annealed film (polysilicon film) formed thereon is mounted on the substrate holder 7117, a cleaning gas is introduced into the plasma generation space 7115 from the second gas introduction pipe 7423. The high frequency power is supplied to the electrode 7120 through the power introducing rod 7129. As a result, discharge is started in the plasma generation space 7115 and a cleaning gas plasma 7419 is generated. As a result, radicals are generated in the plasma, the radicals move to the film formation treatment space 7116 through the plurality of through holes 7125 of the partition wall portion 7114, and the surface of the film formed over the substrate 7111 is cleaned with the radicals. Thereby, impurities generated on the film surface of the substrate after laser annealing can be removed.

上記の基板洗浄の工程を終了し、所定条件を満たした後、プラズマ生成空間7115へガス供給パイプ7123から酸素ガスを導入し、電極7120に対して電力導入棒7129を介して高周波電力が供給される。これによりプラズマ生成空間7115で放電が開始され、酸素プラズマ7119が生成される。その結果、プラズマ中ではラジカルが生じ、当該ラジカルが隔壁部7114の複数の貫通孔7125を通して成膜処理空間7116へ移動する。他方ラジカルの導入に併せて、導入パイプ7128から隔壁部7114を通して材料ガスを成膜処理空間7116へ導入する。成膜処理空間7116ではラジカルが材料ガスと反応し、その結果、基板7111上に形成された膜の表面上にゲート絶縁膜が形成される。   After the above substrate cleaning process is completed and a predetermined condition is satisfied, oxygen gas is introduced into the plasma generation space 7115 from the gas supply pipe 7123, and high frequency power is supplied to the electrode 7120 via the power introduction rod 7129. The As a result, discharge is started in the plasma generation space 7115 and oxygen plasma 7119 is generated. As a result, radicals are generated in the plasma, and the radicals move to the film formation treatment space 7116 through the plurality of through holes 7125 of the partition wall portion 7114. On the other hand, along with the introduction of radicals, the material gas is introduced from the introduction pipe 7128 through the partition wall portion 7114 into the film forming treatment space 7116. In the film formation processing space 7116, radicals react with the material gas, and as a result, a gate insulating film is formed on the surface of the film formed on the substrate 7111.

なお、本発明に係る成膜装置は、真空一貫で構成されることが好ましい。   In addition, it is preferable that the film-forming apparatus which concerns on this invention is comprised by consistent vacuum.

次に、本発明の実施形態の装置を用いた成膜方法について説明する。   Next, a film forming method using the apparatus of the embodiment of the present invention will be described.

図40は本発明に係る成膜装置の一例を示す。図40において、7112は図36の真空容器である。この真空容器7112は、前述の通り、多数の貫通孔が形成された隔壁部7114によって、互いに隔離されたプラズマ生成空間7115と成膜処理空間7116を備えている。   FIG. 40 shows an example of a film forming apparatus according to the present invention. In FIG. 40, reference numeral 7112 denotes the vacuum container of FIG. As described above, the vacuum vessel 7112 includes the plasma generation space 7115 and the film formation processing space 7116 which are separated from each other by the partition wall portion 7114 having a large number of through holes.

図40で、7512は成膜用材料ガス供給装置である。成膜用材料ガス供給装置7512から供給される材料ガスは、MFC(マスフローコントローラ:流量制御器)7513aを含むガス導入路7513を経由して隔壁部7114内の上記内部空間7124へ導入される。材料ガスとしては、SiH等のケイ素水素化合物(Si2n+2(n=1,2,3,…))が使用される。成膜処理空間7116においては、隔壁部7114の内部空間7124通って導入される材料ガスと、隔壁部7114に形成された多数の貫通孔7125を通して導入されるラジカルとが反応し、材料ガスが分解され、成膜室内に搬入された基板に対して酸化ケイ素薄膜が堆積され、成膜が行われる。 In FIG. 40, reference numeral 7512 denotes a film forming material gas supply device. A material gas supplied from the film forming material gas supply device 7512 is introduced into the internal space 7124 in the partition wall portion 7114 via a gas introduction path 7513 including an MFC (mass flow controller: flow rate controller) 7513a. As the material gas, a silicon hydride compound such as SiH 4 (Si n H 2n + 2 (n = 1, 2, 3,...)) Is used. In the film formation treatment space 7116, the material gas introduced through the internal space 7124 of the partition wall portion 7114 reacts with the radicals introduced through a large number of through holes 7125 formed in the partition wall portion 7114, so that the material gas is decomposed. Then, a silicon oxide thin film is deposited on the substrate carried into the film formation chamber, and film formation is performed.

7514は上位コントローラである。上位コントローラ7514は、ガス導入路7513に設けられたMFC7513aにおける材料ガスの流量を制御する機能を有している。上記コントローラ7514によって、MFC7513aにおける材料ガスの流量を制御し、成膜処理空間7116へ導入される材料ガスの供給量を後述するごとく所望の値に制御することが可能となる。図41に示されたグラフは、横軸が時間(t)、縦軸が材料ガスの流量(sccm)が示され、材料ガス流量の変化の一例が示されている。本実施形態では、MFC7513aにおける材料ガスの流量を上記コントローラ7514に基づいて制御し、成膜初期である放電開始時において、成膜処理空間7116への材料ガスの導入流量(供給量)を制限し、その後に増加させることに特徴を有している。次に材料ガスの導入流量の制限の仕方を説明する。   Reference numeral 7514 denotes a host controller. The host controller 7514 has a function of controlling the flow rate of the material gas in the MFC 7513a provided in the gas introduction path 7513. The controller 7514 controls the flow rate of the material gas in the MFC 7513a, so that the supply amount of the material gas introduced into the film formation processing space 7116 can be controlled to a desired value as described later. In the graph shown in FIG. 41, the horizontal axis represents time (t), the vertical axis represents the flow rate of material gas (sccm), and an example of a change in the material gas flow rate is illustrated. In this embodiment, the flow rate of the material gas in the MFC 7513a is controlled based on the controller 7514, and the introduction flow rate (supply amount) of the material gas to the film formation processing space 7116 is limited at the start of discharge at the initial stage of film formation. Then, it has the feature to increase. Next, a method for limiting the introduction flow rate of the material gas will be described.

図42は材料ガスであるSiHの供給量の制御の一例を示し、横軸は時間であり、縦軸は導入流量である。時間軸では、時刻t,t,tが設定されている。プラズマ生成用ガスとしては例えば酸素(O)が用いられている。時刻tは、酸素ガスがプラズマ生成室へ導入され、酸素ガスの放電が開始される時刻であり、成膜の開始時点である。時刻tで、SiHの供給が開始される。従って、時刻tから時刻tの間、SiHの供給は行われない。時刻tから時刻tの間では、SiHの供給量は時間に応じて次第に増加し時刻tでSiHの供給量は一定値に到達する。時刻t以降、SiHの供給量は一定値に保持される。以上のごとく、放電開始を含む成膜初期(t〜tおよびtに近い時期)には材料ガスの供給量が制限されることにより成膜初期のケイ素過剰な酸化ケイ素薄膜の形成を抑制することができ、かつその後において材料ガスの供給量が徐々に増加されることにより成膜時間を短縮し、実用性を高めている。 FIG. 42 shows an example of control of the supply amount of SiH 4 which is a material gas, the horizontal axis is time, and the vertical axis is the introduction flow rate. On the time axis, times t 0 , t 1 and t 2 are set. For example, oxygen (O 2 ) is used as the plasma generating gas. Time t 0 is a time when oxygen gas is introduced into the plasma generation chamber and discharge of the oxygen gas is started, and is a start time of film formation. At the time t 1, the supply of SiH 4 is started. Therefore, SiH 4 is not supplied from time t 0 to time t 1 . In between time t 1 of time t 2, the supply amount of SiH 4 at gradually increasing time t 2 in accordance with the supply amount of SiH 4 is time to reach a constant value. Time t 2 after the supply amount of SiH 4 is kept at a constant value. As described above, at the initial stage of film formation including the start of discharge (time close to t 0 to t 1 and t 1 ), the supply amount of the material gas is limited to form a silicon-excess silicon oxide thin film at the initial stage of film formation. In addition, since the supply amount of the material gas is gradually increased thereafter, the film formation time is shortened and the practicality is improved.

また、t〜tでの供給量の増大をステップ関数または種々の関数、例えば比例、一次関数、二次関数、指数関数等で変化させるように制御を行ってもよい。 Further, the control may be performed so that the increase in the supply amount at t 1 to t 2 is changed by a step function or various functions such as a proportional function, a linear function, a quadratic function, and an exponential function.

前述の各実施形態では、材料ガスとしてシランの例を説明したが、これに限定されず、TEOS等の他の材料ガスを用いることができるのはもちろんである。またシリコン酸化膜のみならず、シリコン窒化膜等その他の成膜にも応用することができる。本発明の原理的考えは、材料ガスがプラズマに接することによりパーティクルが発生すること、基板へイオンが入射することが問題となるすべての処理に応用でき、成膜、表面処理、等方エッチング等に応用できる。さらに前述の実施形態では、隔壁部は二重構造になっているが、必要に応じて多層構造にできるのはもちろんである。   In each of the above-described embodiments, the example of silane was described as the material gas. However, the present invention is not limited to this, and other material gases such as TEOS can be used. Further, it can be applied not only to a silicon oxide film but also to other film formation such as a silicon nitride film. The principle idea of the present invention is applicable to all processes in which particles are generated by contact of a material gas with plasma and ions are incident on a substrate, such as film formation, surface treatment, isotropic etching, etc. It can be applied to. Furthermore, in the above-described embodiment, the partition wall portion has a double structure, but it is of course possible to have a multilayer structure as necessary.

以上の説明で明らかなように本発明によれば、大面積基板にプラズマCVDによりシラン等の材料ガスを用いてシリコン酸化膜等を成膜する場合に、所定条件を満たす複数の貫通孔あるいは拡散孔が形成された隔壁部を設けることによって真空容器の内部をプラズマ生成空間と成膜処理空間に隔離し、プラズマ生成空間で生成された活性種は隔壁部の貫通孔を通して成膜処理空間に導入され、材料ガスは隔壁部の内部空間および拡散孔を通してプラズマに触れることなく直接に成膜処理空間に導入するようにしたため、材料ガスとプラズマとの間の激しい化学反応を防止でき、その結果、パーティクルの発生を抑制し、基板へのイオン入射を防止することができる。   As apparent from the above description, according to the present invention, when a silicon oxide film or the like is formed on a large-area substrate by using a material gas such as silane by plasma CVD, a plurality of through holes or diffusions satisfying a predetermined condition are formed. By providing a partition wall with holes, the inside of the vacuum vessel is separated into a plasma generation space and a film formation processing space, and active species generated in the plasma generation space are introduced into the film formation processing space through the through holes in the partition wall. Since the material gas is introduced directly into the film forming treatment space without touching the plasma through the internal space of the partition wall and the diffusion hole, the intense chemical reaction between the material gas and the plasma can be prevented. Generation of particles can be suppressed and ion incidence to the substrate can be prevented.

また材料ガスを均一に導入でき、かつ隔壁部に形成された複数の貫通孔によって酸素ガスのラジカルも成膜処理空間に均一に供給でき、これによって基板の表面近傍でのラジカルとシラン等の分布を良好にし、大面積基板への成膜を有効に行うことができる。   In addition, the material gas can be introduced uniformly, and oxygen gas radicals can be uniformly supplied to the film formation processing space through the plurality of through holes formed in the partition wall, thereby distributing radicals and silane in the vicinity of the surface of the substrate. And film formation on a large-area substrate can be performed effectively.

図43は、クラスターツール型の装置を横から見た断面図である。この装置は、基板8109の表面にゲート絶縁膜となる酸化シリコン膜を作製する成膜チャンバー8101と、ロードロックチャンバー8102と、内部に搬送機構としての搬送ロボット8130を備えた搬送チャンバー8103とを有している。   FIG. 43 is a cross-sectional view of a cluster tool type device as viewed from the side. This apparatus has a deposition chamber 8101 for forming a silicon oxide film to be a gate insulating film on the surface of a substrate 8109, a load lock chamber 8102, and a transfer chamber 8103 having a transfer robot 8130 as a transfer mechanism inside. is doing.

成膜チャンバー8101は内部にCVDユニット8113を備えている。このCVDユニット8113内でプラズマを生成し、プラズマ中から取り出した活性種を利用することにより酸化シリコン膜を作製するようになっている。さて、本実施形態の装置の大きな特徴点は、搬送チャンバー8103の構成にある。図43に示すように、搬送チャンバー8103は、内部の圧力調整のため、成膜チャンバー8101内での成膜に悪影響を与えないガスを内部に導入するガス導入系(以下、調圧用ガス導入系)8132を有している。本実施形態では、調圧用ガス導入系8132は水素ガスを導入するようになっている。調圧用ガス導入系8132は、不図示の流量調整器やフィルタを備えており、純度の高い調圧用ガスを所定の流量で導入できるようになっている。   The film formation chamber 8101 includes a CVD unit 8113 inside. A plasma is generated in the CVD unit 8113, and a silicon oxide film is formed by utilizing active species taken out from the plasma. The major feature of the apparatus of this embodiment is the configuration of the transfer chamber 8103. As shown in FIG. 43, the transfer chamber 8103 has a gas introduction system (hereinafter referred to as a pressure-regulating gas introduction system) that introduces a gas that does not adversely affect film formation in the film formation chamber 8101 in order to adjust the internal pressure. ) 8132. In the present embodiment, the pressure adjusting gas introduction system 8132 introduces hydrogen gas. The pressure adjusting gas introduction system 8132 includes a flow regulator and a filter (not shown) so that a high-pressure pressure adjusting gas can be introduced at a predetermined flow rate.

なお、「成膜に悪影響を与えないガス」とは、作製される薄膜の品質に悪影響を与えないガスの意味である。このガスには、水素のように成膜には直接的には関与しないガスや、膜質を向上させる効果を持つガスが含まれる。   Note that “a gas that does not adversely affect film formation” means a gas that does not adversely affect the quality of the thin film to be produced. This gas includes a gas that is not directly involved in film formation, such as hydrogen, and a gas that has an effect of improving the film quality.

搬送チャンバー8103が調圧用ガス導入系8132を備えることは、搬送チャンバー8103の排気系8131についての特有の技術思想に関連している。つまり、本実施形態の装置では、搬送チャンバー8103内の圧力を成膜チャンバー8101内の圧力よりも若干低い真空圧力となるように維持する構成となっている。   The fact that the transfer chamber 8103 includes the pressure-adjusting gas introduction system 8132 is related to a specific technical idea regarding the exhaust system 8131 of the transfer chamber 8103. That is, the apparatus according to the present embodiment is configured to maintain the pressure in the transfer chamber 8103 so that the pressure is slightly lower than the pressure in the film forming chamber 8101.

搬送チャンバー8103の排気系8131は、このように比較的高い圧力に排気すれば足りるものであるため、安価な構成となっている。搬送チャンバー8103の排気系8131には、例えば、安価なドライポンプとメカニカルブースターポンプとの組み合わせが採用できる。   Since the exhaust system 8131 of the transfer chamber 8103 only needs to be exhausted to a relatively high pressure in this way, it has a low-cost configuration. For the exhaust system 8131 of the transfer chamber 8103, for example, a combination of an inexpensive dry pump and a mechanical booster pump can be employed.

搬送チャンバー8103の排気系8131には、通常、成膜チャンバー8101よりも排気速度の大きなものが使用され、搬送チャンバー8103を成膜チャンバー8101よりも低い圧力にまで排気するよう構成されている。しかしながら、このような構成であると、前述したように、排気系8131の構成が高価なものとなってしまう。例えば、前述した成膜チャンバー8101内の到達圧力を得るためには、ターボ分子ポンプのような非常に高価な真空ポンプを使用する必要がある。到達圧力が1Pa以上であれば安価なドライポンプとメカニカルブースターポンプの組み合わせで足りるものの、到達圧力が1Paより低くなると、これより数倍高価なターボ分子ポンプ等が必要になる。   As the exhaust system 8131 of the transfer chamber 8103, an exhaust system having a higher exhaust speed than that of the film formation chamber 8101 is usually used, and the transfer chamber 8103 is exhausted to a pressure lower than that of the film formation chamber 8101. However, with such a configuration, as described above, the configuration of the exhaust system 8131 becomes expensive. For example, in order to obtain the ultimate pressure in the film formation chamber 8101 described above, it is necessary to use a very expensive vacuum pump such as a turbo molecular pump. A combination of an inexpensive dry pump and mechanical booster pump is sufficient if the ultimate pressure is 1 Pa or higher, but if the ultimate pressure is lower than 1 Pa, a turbo molecular pump that is several times more expensive than this is required.

また、搬送チャンバー8103内の圧力が比較的高く設定されるので、装置の稼動開始時等における排気動作が短時間に済む。従って、装置全体の生産効率が高くなる。   In addition, since the pressure in the transfer chamber 8103 is set to be relatively high, the exhaust operation at the start of operation of the apparatus can be completed in a short time. Therefore, the production efficiency of the entire apparatus is increased.

本実施例の装置の別の大きな特徴点は、搬送チャンバー8103内に、基板8109の表面の改質作用がある化学種(以下、改質種)を供給する改質種供給部8133が設けられている点である。この点について、以下に説明する。   Another major feature of the apparatus of this embodiment is that a modified species supply unit 8133 that supplies chemical species having a modifying action on the surface of the substrate 8109 (hereinafter referred to as modified species) is provided in the transfer chamber 8103. It is a point. This point will be described below.

改質種供給部8133は、改質用ガス導入系8134によって導入されたガスにエネルギーを与えてプラズマを形成する構成となっている。改質種供給部8133の構成について図44を使用して説明する。図44は図43に示す装置の搬送チャンバー8103内に設けられた改質種供給部8133の構成を示す側断面概略図である。   The reformed species supply unit 8133 is configured to form plasma by applying energy to the gas introduced by the reforming gas introduction system 8134. The configuration of the reformed species supply unit 8133 will be described with reference to FIG. FIG. 44 is a schematic side sectional view showing the structure of the reforming species supply unit 8133 provided in the transfer chamber 8103 of the apparatus shown in FIG.

改質種供給部8133は、基本的に図36とほぼ同様の構成である。但し、材料ガスを導入する構成はなく、隔壁部7114は複数の孔のあいた板状になっている。改質種供給部8133は図43から解るように、搬送チャンバー8103内であって、成膜チャンバ8101ーとの境界部分のゲートバルブ8104cに近い位置に配置されており、基板8109の搬送ラインの上側に位置している。   The reformed species supply unit 8133 has basically the same configuration as that shown in FIG. However, there is no configuration for introducing the material gas, and the partition wall portion 7114 has a plate shape with a plurality of holes. As shown in FIG. 43, the modified species supply unit 8133 is disposed in the transfer chamber 8103 at a position close to the gate valve 8104c at the boundary with the film forming chamber 8101-, and on the transfer line of the substrate 8109. Located on the upper side.

改質用ガス導入系8134は、調圧用ガス導入系8132と同様に水素ガスをプラズマ生成空間に供給するようになっている。調圧用ガス導入系8132の配管を分岐させて改質種供給部8133に接続し、調圧用ガス導入系8132を改質用ガス導入系8134に兼用してもよい。   The reforming gas introduction system 8134 supplies hydrogen gas to the plasma generation space in the same manner as the pressure adjustment gas introduction system 8132. The piping of the pressure regulating gas introduction system 8132 may be branched and connected to the reforming species supply unit 8133 so that the pressure regulating gas introduction system 8132 is also used as the reforming gas introduction system 8134.

改質用ガス導入系8134によって水素ガスがプラズマ生成空間に導入されている状態で、高周波電源が動作すると、プラズマが形成され、水素活性種が下方流出するようになっている。この水素活性種が、本実施形態では改質種に相当しており、これが基板の表面に供給されることで、改質が行われる。例えば、基板8109の表面が酸化している場合、これを還元する。また、表面に結合端が存在している場合、水素活性種はこれを終端し、表面の状態を化学的に安定させる。この改質の際、基板8109を搬送ライン上で停止させてもよく、また効率化させるため、移動させながら行ってもよい。   When the high-frequency power supply operates in a state where hydrogen gas is introduced into the plasma generation space by the reforming gas introduction system 8134, plasma is formed and hydrogen active species flow downward. This hydrogen active species corresponds to a reformed species in this embodiment, and reforming is performed by supplying this to the surface of the substrate. For example, if the surface of the substrate 8109 is oxidized, it is reduced. In addition, when a bond end is present on the surface, the hydrogen active species terminates this and chemically stabilizes the surface state. At the time of this modification, the substrate 8109 may be stopped on the transfer line, or may be performed while being moved for efficiency.

第2の実施形態の装置の大きな特徴点は、チャンネル層にポリシリコン膜を使用したTFT−LCDの製造に必要なレーザーアニール工程及びゲート絶縁膜作成工程を真空中で連続してできるようになっていることである。この第2の実施形態の装置においても、搬送チャンバー8103は調圧用ガス導入系8132を備えており、搬送チャンバー8103内は真空圧力ではあるものの1Paより高く、かつ成膜チャンバ−8101より低い圧力に維持されるようになっている。調圧用ガス導入系8132は、同様に水素ガスを搬送チャンバー8103内に導入するようになっている。   The major feature of the device of the second embodiment is that a laser annealing process and a gate insulating film forming process necessary for manufacturing a TFT-LCD using a polysilicon film as a channel layer can be continuously performed in a vacuum. It is that. Also in the apparatus of the second embodiment, the transfer chamber 8103 is provided with a pressure adjusting gas introduction system 8132, and the pressure in the transfer chamber 8103 is higher than 1 Pa but lower than the film forming chamber-8101 although it is a vacuum pressure. To be maintained. Similarly, the pressure adjusting gas introduction system 8132 introduces hydrogen gas into the transfer chamber 8103.

この第2の実施形態によれば、アニール工程の後、改質種の供給によって基板8109の表面が改質される構成は、TFTの動作特性を向上させる上で極めて重要な意義を有している。アニール工程で非晶質シリコン膜を結晶化させて得られたポリシリコン膜の表面には、シリコンの未結合端(ダングリングボンド)が存在している。従って、この基板8109がアニールチャンバー(図示せず)から成膜チャンバー8101に搬送される際、雰囲気に酸素のようなシリコンと反応し易いガスが存在していると、未結合端において容易にシリコンと反応し、ポリシリコン膜の表面に汚損された領域を作ってしまう。このような汚損領域がポリシリコン膜とゲート絶縁膜の界面に存在していると、化学量論的組成が得られず、欠陥準位の発生等、TFTの動作特性を阻害する問題が生じ易い。   According to the second embodiment, the structure in which the surface of the substrate 8109 is modified by supplying the modified species after the annealing step is extremely important in improving the operating characteristics of the TFT. Yes. Silicon dangling bonds exist on the surface of the polysilicon film obtained by crystallizing the amorphous silicon film in the annealing process. Therefore, when the substrate 8109 is transferred from the annealing chamber (not shown) to the film forming chamber 8101, if there is a gas that easily reacts with silicon such as oxygen in the atmosphere, the silicon can be easily formed at the unbonded end. Reacts with the surface of the polysilicon film to create a contaminated region. If such a fouling region is present at the interface between the polysilicon film and the gate insulating film, a stoichiometric composition cannot be obtained, and problems such as the generation of defect levels that hinder the operating characteristics of the TFT are likely to occur. .

本実施形態では、アニール工程の後、水素活性種で表面を改質し、シリコンの未結合端を水素で終端しているので、上記のような問題が抑制される。さらに搬送チャンバー8103は比較的高い圧力の真空であるものの、水素ガスでパージされているため、未結合端が存在していてもそれに汚損物質が反応するおそれが低減し、かつ、水素と反応して同様に安定的に終端する可能性が高くなっている。このようなことから、本実施形態の装置によればポリシリコンTFTを製造する上で極めて重要な技術事項であるポリシリコン膜とゲート絶縁膜の界面状態を極めて良質なものにすることができる。   In the present embodiment, after the annealing step, the surface is modified with hydrogen active species, and the unbonded ends of silicon are terminated with hydrogen, so the above-described problems are suppressed. Furthermore, although the transfer chamber 8103 is a vacuum with a relatively high pressure, it is purged with hydrogen gas, so that even if there is an unbonded end, the possibility that a pollutant reacts with it is reduced, and it reacts with hydrogen. Similarly, there is a high possibility that the termination will be stable. For this reason, according to the apparatus of this embodiment, the interface state between the polysilicon film and the gate insulating film, which is a very important technical matter in manufacturing the polysilicon TFT, can be made extremely high quality.

また、改質種供給部が活性種を供給する点は、アニール工程後の改質ということに関連して重要な意義を有する。前述した通り、基板8109の表面の改質には、活性種の他、イオン入射を利用することもあり得る。しかしながら、アニール工程後の改質にイオン入射を利用することは、問題を生ずる。アニール工程によって結晶化したポリシリコン膜は、比較的弱い結晶構造である。従って、イオンを入射させてしまうと、容易に結合が壊れ、ポリシリコン膜の表面が粗くなった凹凸が形成されたりする。この結果、界面特性が阻害されたり、チャンネル抵抗が増大したりする問題が発生するおそれがある。   In addition, the point that the modified species supply unit supplies the active species has an important significance in connection with the modification after the annealing step. As described above, in addition to the active species, ion incidence may be used for the modification of the surface of the substrate 8109. However, the use of ion incidence for modification after the annealing step creates problems. The polysilicon film crystallized by the annealing process has a relatively weak crystal structure. Therefore, when ions are incident, the bonds are easily broken, and irregularities with the rough surface of the polysilicon film are formed. As a result, there may be a problem that the interface characteristics are hindered or the channel resistance is increased.

本実施形態では、CVDユニット8113を使用し、基板の表面から離れた領域にプラズマを形成して活性種を供給している。従って、基板の表面へのイオンの入射は本質的になく、上述したような問題は生じない。   In the present embodiment, the CVD unit 8113 is used to supply active species by forming plasma in a region away from the surface of the substrate. Accordingly, there is essentially no incidence of ions on the surface of the substrate, and the above-described problem does not occur.

図45は、本発明に係るレーザアニール装置の構造を説明する図である。   FIG. 45 is a diagram for explaining the structure of a laser annealing apparatus according to the present invention.

このレーザアニール装置は、アモルファス状Si等の半導体薄膜を表面上に形成したガラス板であるワークWを載置して3次元的に滑らかに移動可能なステージ3210と、一対の特性の異なるレーザビームLB1、LB2をそれぞれ発生する一対のレーザ光源3221、3222と、これらのレーザビームLB1、LB2を合成する合成光学系3230と、合成光学系3230によって合成された合成光CLを線条ビームABにして所定の照度でワークW上に入射させる照射光学系3240と、照射光学系3240中に設けたマスク3242を移動させてワークW上に投射した線条 ビームABをワークW上で走査させる走査手段であるマスク駆動装置3250と、ワークWを載置したステージ3210を照射光学系3240等に対して必要量だけ適宜移動させるステージ駆動装置3260と、レーザアニール装置全体の各部の動作を統括的に制御する主制御装置32100とを備える。   This laser annealing apparatus includes a stage 3210 on which a workpiece W, which is a glass plate on which a semiconductor thin film such as amorphous Si is formed, can be moved smoothly in three dimensions, and a pair of laser beams having different characteristics. A pair of laser light sources 3221 and 3222 for generating LB1 and LB2 respectively, a combining optical system 3230 for combining these laser beams LB1 and LB2, and a combined beam CL combined by the combining optical system 3230 are used as a linear beam AB. An irradiation optical system 3240 that is incident on the workpiece W at a predetermined illuminance, and a scanning unit that moves the mask 3242 provided in the irradiation optical system 3240 and scans the linear beam AB projected on the workpiece W on the workpiece W. A certain mask driving device 3250 and a stage 3210 on which the workpiece W is placed are necessary for the irradiation optical system 3240 and the like. It comprises a stage driving unit 3260 for moving properly, and a main control unit 32100 which performs overall control of the laser annealing apparatus overall operation of each section.

一対のレーザ光源3221、3222は、ともにワークW上の半導体薄膜を加熱するためのエキシマレーザその他のパルス光源であり、発光時間やピーク強度、或いは波長等の特性が互いに異なる一対のレーザビームLB1、LB2をそれぞれ個別に発生する。   The pair of laser light sources 3221 and 3222 are both an excimer laser and other pulse light sources for heating the semiconductor thin film on the workpiece W, and a pair of laser beams LB1 having different characteristics such as emission time, peak intensity, or wavelength, LB2 is generated individually.

合成光学系3230は、両レーザ光源3221、3222からの一対のレーザビームLB1、LB2を空間的に継ぎ合わせて合成光CLを形成するためのもので、一対の平行に配置されたナイフエッジミラー3231、3232からなる。なお、合成光学系3230と両レーザ光源3221、3222との間には、それぞれダイバージェンス光学系3271とテレスコープ光学系3272とを調整装置として設けている。ダイバージェンス光学系3271は、レーザ光源3221からの第1ビームLB1について、照射光学系3240に設けたホモジナイザ3241による光軸方向結像位置(ビーム形成位置)を微調整する調整光学系としての役割を有する。テレスコープ光学系3272は、レーザ光源3222からの第2ビームLB2について、そのビームサイズを調節して合成光学系3230に入射する第1ビームLB1のビームサイズと一致させるアフォーカル光学系としての役割を有する。   The combining optical system 3230 is for spatially joining a pair of laser beams LB1 and LB2 from both laser light sources 3221 and 3222 to form a combined light CL, and a pair of knife edge mirrors 3231 arranged in parallel. , 3232. A divergence optical system 3271 and a telescope optical system 3272 are provided as adjusting devices between the combining optical system 3230 and the laser light sources 3221 and 3222, respectively. The divergence optical system 3271 has a role as an adjustment optical system for finely adjusting the optical axis direction imaging position (beam forming position) by the homogenizer 3241 provided in the irradiation optical system 3240 for the first beam LB1 from the laser light source 3221. . The telescope optical system 3272 serves as an afocal optical system that adjusts the beam size of the second beam LB2 from the laser light source 3222 to match the beam size of the first beam LB1 incident on the combining optical system 3230. Have.

照射光学系3240は、合成光学系3230からの合成光CLを一旦複数に分割するとともにこれらの分割光を矩形のビームにして所定面上に重畳して均一に入射させるホモジナイザ3241と、スリット状の透過パターンを有するとともに、所定面上に配置されて合成光CLを遮るマスク3242と、マスク3242に形成された透過パターンを線条ビームABとしてワークW上に縮小投影する投影レンズ3243とを備える。   The irradiation optical system 3240 divides the combined light CL from the combining optical system 3230 into a plurality of parts, and converts the divided light into a rectangular beam so as to be uniformly incident on a predetermined surface, and a slit-like light A mask 3242 that has a transmission pattern and is disposed on a predetermined surface and blocks the synthesized light CL, and a projection lens 3243 that projects the transmission pattern formed on the mask 3242 on the workpiece W as a linear beam AB.

ステージ駆動装置3260は、ステージ3210を駆動してワークW上の所定領域を照射光学系3240に対して位置合わせするアライメントを行う。また、ステージ駆動装置3260は、マスク駆動装置3250によって線条ビームABがワークW上の所定領域で走査されて所定領域のレーザアニールが終了した段階で、マスク3242を上記の所定領域に隣接する領域にステップ移動させるアライメントを行う。なお、ステージ駆動装置3260によるステージ3210の駆動量は、位置検出装置3280によって常時監視されている。   The stage driving device 3260 performs alignment for driving the stage 3210 and aligning a predetermined area on the workpiece W with the irradiation optical system 3240. Further, the stage driving device 3260 moves the mask 3242 to an area adjacent to the predetermined area when the mask driving apparatus 3250 scans the linear beam AB in the predetermined area on the workpiece W and laser annealing of the predetermined area is completed. Align the step by step. Note that the driving amount of the stage 3210 by the stage driving device 3260 is constantly monitored by the position detection device 3280.

以下、図45の装置の動作について説明する。まず、レーザアニール装置のステージ3210上にワークWを搬送して載置する。次に、照射光学系3240に対してステージ3210上のワークWをアライメントする。次に、照射光学系3240のマスク3242を移動させながら、一対のレーザ光源3221、3222から得た合成光CLを線条ビームABにしてワークW上の所定領域に入射させる。ワークW上には、非晶質半導体のアモルファスSi等の薄膜が形成されており、線条ビームABの照射及び走査によって半導体の所定領域がアニール、再結晶化され、電気的特性の優れた半導体薄膜を提供することができる。以上のようなレーザアニールは、ワークWに設けた複数の所定領域で繰返され、ワークWに設けた複数の所定領域で半導体薄膜がアニールされる。   Hereinafter, the operation of the apparatus shown in FIG. 45 will be described. First, the workpiece W is transported and placed on the stage 3210 of the laser annealing apparatus. Next, the workpiece W on the stage 3210 is aligned with the irradiation optical system 3240. Next, while moving the mask 3242 of the irradiation optical system 3240, the combined light CL obtained from the pair of laser light sources 3221 and 3222 is incident on a predetermined region on the workpiece W as a linear beam AB. A thin film of amorphous semiconductor such as amorphous Si is formed on the work W, and a predetermined region of the semiconductor is annealed and recrystallized by irradiation and scanning of the linear beam AB, and a semiconductor having excellent electrical characteristics. A thin film can be provided. The laser annealing as described above is repeated in a plurality of predetermined regions provided in the workpiece W, and the semiconductor thin film is annealed in the plurality of predetermined regions provided in the workpiece W.

この際、上記装置では、合成光学系3230が一対のレーザ光源3221、3222からの一対のレーザビームLB1、LB2を空間的に継ぎ合わせて合成光CLを形成するので、一対のレーザビームLB1、LB2をロスを最小限に抑えて合成することができ、合成後は、ホモジナイザ3241によって一対のレーザビームLB1、LB2について均一な矩形ビームをそれぞれ所定面であるマスク3242上に形成することができる。さらに、ワークW上に入射する線条ビームABは、レーザビームLB1、LB2を効率的に合成したものであり、多様なレーザアニールが可能になる。   At this time, in the above apparatus, since the combining optical system 3230 spatially joins the pair of laser beams LB1 and LB2 from the pair of laser light sources 3221 and 3222 to form the combined light CL, the pair of laser beams LB1 and LB2 is formed. Can be synthesized with a minimum loss, and after the synthesis, a uniform rectangular beam can be formed on the mask 3242 which is a predetermined surface with respect to the pair of laser beams LB 1 and LB 2 by the homogenizer 3241. Further, the linear beam AB incident on the workpiece W is an efficient combination of the laser beams LB1 and LB2, and various laser annealing is possible.

図46は、合成光学系3230及びその周辺の構造を説明する図である。既に説明したように、合成光学系3230は、一対のナイフエッジミラー3231、3232からなり、第1ビームLB1を一対のナイフエッジ3231a、3232a間に通過させるとともに第2ビームLB2を一対のナイフエッジ3231a、3232aによって分割する。第1ビームLB1についてホモジナイザ3241による結像位置を微調整するダイバージェンス光学系3271は、凸レンズ3271aと凹レンズ3271bとを組み合わせたアフォーカル系となっている。第2ビームLB2のビームサイズを第1ビームLB1のビームサイズと一致させるテレスコープ光学系3272も、凹レンズ3272aと凸レンズ3272bとを組み合わせたアフォーカル系となっている。テレスコープ光学系3272と合成光学系3230との間には、ターンミラー3233を設けて第2ビームLB2を案内している。一方、両レーザビームLB1、LB2を合成した合成光CLが入射するホモジナイザ3241は、第1〜第4シリンドリカルレンズアレイCA1〜CA4と、凸レンズのコンデンサレンズ3241aとからなる。ここで、第1及び第3シリンドリカルレンズアレイCA1、CA3は、紙面に平行な断面に曲率を有し、第2及び第4シリンドリカルレンズアレイCA2、CA4は、紙面に垂直で光軸を含む断面に曲率を有する。   FIG. 46 is a view for explaining the synthesis optical system 3230 and the surrounding structure. As described above, the combining optical system 3230 includes the pair of knife edge mirrors 3231 and 3232, and allows the first beam LB1 to pass between the pair of knife edges 3231a and 3232a, and the second beam LB2 to pass the pair of knife edges 3231a. , 3232a. The divergence optical system 3271 for finely adjusting the image forming position of the first beam LB1 by the homogenizer 3241 is an afocal system in which a convex lens 3271a and a concave lens 3271b are combined. The telescope optical system 3272 that matches the beam size of the second beam LB2 with the beam size of the first beam LB1 is also an afocal system in which a concave lens 3272a and a convex lens 3272b are combined. A turn mirror 3233 is provided between the telescope optical system 3272 and the combining optical system 3230 to guide the second beam LB2. On the other hand, the homogenizer 3241 to which the combined light CL obtained by combining both laser beams LB1 and LB2 is made up of first to fourth cylindrical lens arrays CA1 to CA4 and a convex condenser lens 3241a. Here, the first and third cylindrical lens arrays CA1, CA3 have a curvature in a cross section parallel to the paper surface, and the second and fourth cylindrical lens arrays CA2, CA4 are in a cross section perpendicular to the paper surface and including the optical axis. Has curvature.

以下、動作の概要について説明する。第1ビームLB1は、ナイフエッジ3231a、3232a間、すなわちホモジナイザ3241の光軸OAを含む中央側瞳領域を通り、第2ビームLB2は、ナイフエッジミラー3231、3232によって2つに分割されて第1ビームLB1の両端、すなわちホモジナイザ3241の一対の外側瞳領域を通って、それぞれホモジナイザ3241に入射する。ホモジナイザ3241は、合成光CLが入射できるようにビーム2つ分の入射瞳のサイズにしてあり、コンデンサレンズ3241a等のレンズ系はその入射瞳に合わせて収差補正がされている。   The outline of the operation will be described below. The first beam LB1 passes between the knife edges 3231a and 3232a, that is, through the central pupil region including the optical axis OA of the homogenizer 3241, and the second beam LB2 is divided into two by the knife edge mirrors 3231 and 3232. The light beams enter the homogenizer 3241 through both ends of the beam LB 1, that is, through a pair of outer pupil regions of the homogenizer 3241. The homogenizer 3241 has a size of an entrance pupil for two beams so that the combined light CL can enter, and the lens system such as the condenser lens 3241a is corrected for aberration in accordance with the entrance pupil.

ホモジナイザ3241に入射した合成光CLは、第1〜第4シリンドリカルレンズアレイCA1〜CA4によって、シリンドリカルレンズを構成するセグメント数に分割された2次光源を形成する。分割された2次光源からの光ビームは、コンデンサレンズ3241aに入射し、コンデンサレンズ3241aのバックフォーカス位置に配置された被照射面ISで重ね合わされて均一な矩形ビームを形成する。   The synthesized light CL incident on the homogenizer 3241 forms a secondary light source divided into the number of segments constituting the cylindrical lens by the first to fourth cylindrical lens arrays CA1 to CA4. The split light beam from the secondary light source enters the condenser lens 3241a and is superimposed on the irradiated surface IS arranged at the back focus position of the condenser lens 3241a to form a uniform rectangular beam.

ここで、ダイバージェンス光学系3271やテレスコープ光学系3272は、第1ビームLB1と第2ビームLB2のビーム特性やその相違等に起因して、ホモジナイザ3241によって形成される矩形ビームについてフォーカス位置の違いやビームサイズの違い、さらにユニフォーミティの違いが生じてしまうことを防止している。   Here, the divergence optical system 3271 and the telescope optical system 3272 are different from each other in the focus position of the rectangular beam formed by the homogenizer 3241 due to the beam characteristics of the first beam LB1 and the second beam LB2 and the difference therebetween. This prevents differences in beam size and uniformity.

前者のダイバージェンス光学系3271は、ホモジナイザ3241に入射する第1ビームLB1のNAを僅かに変えてホモジナイザ3241によるベストフォーカス位置及びビームサイズを調整する。後者のテレスコープ光学系3272は、ホモジナイザ3241に入射する第1ビームLB1のビームサイズに第2ビームLB2のビームサイズを一致させる。これにより、両レーザビームLB1、LB2について、シリンドリカルレンズアレイCA1〜CA4による分割数を一致させて同様のユニフォーミティを得ることができる。   The former divergence optical system 3271 adjusts the best focus position and beam size by the homogenizer 3241 by slightly changing the NA of the first beam LB1 incident on the homogenizer 3241. The latter telescope optical system 3272 matches the beam size of the second beam LB2 with the beam size of the first beam LB1 incident on the homogenizer 3241. Thus, the same uniformity can be obtained by making the numbers of divisions of the cylindrical lens arrays CA1 to CA4 coincide for both laser beams LB1 and LB2.

以下、動作の詳細について説明する。第1ビームLB1は、図示してないビームデリバリー(ターンミラー等)を経て第1ビーム用のダイバージェンス光学系3271に入射する。このダイバージェンス光学系3271は、ほぼ等倍のアフォーカル系であり、2つのレンズ3271a、3271bのレンズ間距離を変えることにより、このダイバージェンス光学系3271から出射する第1ビームLB1のビームサイズをほとんど変えることなく、この第1ビームLB1のNAを僅かに変えることができる。具体的な実施例では、ダイバージェンス光学系3271による出射NA(第1ビームLB1の広がり角)の可変調節範囲を数mrad程度とした。なお、2枚のレンズ3271a、3271bは凸凹の2群系であり、各々のパワーも小さいため、両レンズ3271a、3271bの間隔を変えても収差の変化はほとんど生じない。   Details of the operation will be described below. The first beam LB1 enters a divergence optical system 3271 for the first beam via a beam delivery (turn mirror or the like) not shown. The divergence optical system 3271 is an approximately equal afocal system, and the beam size of the first beam LB1 emitted from the divergence optical system 3271 is almost changed by changing the distance between the two lenses 3271a and 3271b. Without any change, the NA of the first beam LB1 can be slightly changed. In a specific embodiment, the variable adjustment range of the output NA (the spread angle of the first beam LB1) by the divergence optical system 3271 is about several mrad. Note that the two lenses 3271a and 3271b are a convex and concave two-group system, and their respective powers are small, so that even if the distance between the two lenses 3271a and 3271b is changed, almost no change in aberration occurs.

ダイバージェンス光学系3271を出射した第1ビームLB1は、2枚のナイフエッジミラー3231、3232の間、すなわちホモジナイザ3241の光軸中心側を通過するのみである。ナイフエッジミラー3231、3232間を通過した第1ビームLB1は、その後ホモジナイザ3241のシリンドリカルレンズアレイCA1の中央部(第1ビームLB1に割り当てられたシリンドリカルレンズ)に入射し、シリンドリカルレンズの個数(図46では6本)に分割される。分割された各ビームは、コンデンサレンズ3241aにより重ね合わされて被照射面ISで均一ビームを形成する。   The first beam LB1 emitted from the divergence optical system 3271 only passes between the two knife edge mirrors 3231 and 3232, that is, the optical axis center side of the homogenizer 3241. The first beam LB1 that has passed between the knife edge mirrors 3231 and 3232 is then incident on the center of the cylindrical lens array CA1 of the homogenizer 3241 (the cylindrical lens assigned to the first beam LB1), and the number of cylindrical lenses (FIG. 46). Is divided into 6). The divided beams are superimposed by a condenser lens 3241a to form a uniform beam on the irradiated surface IS.

一方、第2ビームLB2は、図示していないビームデリバリーを経て第2ビーム用のテレスコープ光学系3272に入射する。このテレスコープ光学系3272に入射した第2ビームLB2は、本光学系で拡大または縮小されて第1ビームLB1と同一のビームサイズとなってここから出射して合成光学系3230に向かう。合成光学系3230では、ナイフエッジミラー3231、3232によって第2ビームLB2が2つのビーム部分LB2a、LB2bに分割され、それぞれ第1ビームLB1の両端を通過してホモジナイザ3241へと向かう。両ビーム部分LB2a、LB2bは、ホモジナイザ3241の光軸中心の外側、すなわちホモジナイザ3241のシリンドリカルレンズアレイCA1の両端部(第2ビームLB2に割り当てられたシリンドリカルレンズ)に入射し、シリンドリカルレンズの個数(図46では上下3本ずつの計6本)に分割される。分割された各ビームは、コンデンサレンズ3241aにより重ね合わせられて被照射面ISで均一ビームを形成する。   On the other hand, the second beam LB2 enters the telescope optical system 3272 for the second beam through beam delivery (not shown). The second beam LB2 incident on the telescope optical system 3272 is enlarged or reduced by this optical system to have the same beam size as that of the first beam LB1, and is emitted therefrom to go to the combining optical system 3230. In the combining optical system 3230, the second beam LB2 is divided into two beam portions LB2a and LB2b by the knife edge mirrors 3231 and 3232, and respectively passes through both ends of the first beam LB1 toward the homogenizer 3241. Both beam portions LB2a and LB2b are incident on the outside of the optical axis center of the homogenizer 3241, that is, on both ends of the cylindrical lens array CA1 of the homogenizer 3241 (the cylindrical lenses assigned to the second beam LB2), and the number of cylindrical lenses (FIG. In 46, it is divided into a total of 6 pieces each of 3 pieces on the top and bottom. The divided beams are superimposed by a condenser lens 3241a to form a uniform beam on the irradiated surface IS.

以上の説明では、第1ビームLB1及び第2ビームLB2共に「被照射面ISで均一ビームを形成する」と記したが、実は両者のベストフォーカス位置は、主に光源から出射するビームの拡がり角等の特性の違いにより異なることがある。また、このようにベストフォーカスが異なっている場合、ビームサイズも異なっていることが多い。したがって、第1ビームLB1及び第2ビームLB2の特性の差を補償する必要がある。このため、第2ビームLB2のベストフォーカス位置を真の被照射面IS(基準面)として、この基準面に第1ビームLB1のベストフォーカス位置を一致させる。具体的には、ダイバージェンス光学系3271により第1ビームLB1の出射NA、すなわちホモジナイザ3241から見た場合の入射NAを変える。ホモジナイザ3241から見た入射NAを変更すると、それに応じてホモジナイザ3241通過後のベストフォーカス位置が変わる。これにより、第1ビームLB1のベストフォーカス位置を微調し、第2ビームLB2のそれに一致させることができる。なお、ホモジナイザ3241のレンズ構成によって出射NAとベストフォーカス位置のずれとの対応は異なるのでかかる調整の詳細な説明は省略する。   In the above description, it is described that both the first beam LB1 and the second beam LB2 “form a uniform beam on the irradiated surface IS”, but in fact, the best focus position of both is the divergence angle of the beam mainly emitted from the light source. May differ due to differences in characteristics such as In addition, when the best focus is different, the beam size is often different. Therefore, it is necessary to compensate for the difference in characteristics between the first beam LB1 and the second beam LB2. For this reason, the best focus position of the second beam LB2 is set as the true irradiated surface IS (reference surface), and the best focus position of the first beam LB1 is made to coincide with this reference surface. Specifically, the exit NA of the first beam LB 1, that is, the incident NA when viewed from the homogenizer 3241 is changed by the divergence optical system 3271. When the incident NA viewed from the homogenizer 3241 is changed, the best focus position after passing through the homogenizer 3241 changes accordingly. As a result, the best focus position of the first beam LB1 can be finely adjusted to match that of the second beam LB2. Note that the correspondence between the output NA and the shift of the best focus position differs depending on the lens configuration of the homogenizer 3241, and thus detailed description of such adjustment is omitted.

図47は、本発明に係るレーザ加工装置の一実施形態であるレーザアニーリング装置の構造を概念的に説明する図である。   FIG. 47 is a diagram for conceptually explaining the structure of a laser annealing apparatus which is an embodiment of the laser processing apparatus according to the present invention.

このレーザアニーリング装置は、ガラス基板上にアモルファス状Si等の半導体薄膜を形成したワークWを熱処理するためのもので、かかる半導体薄膜を加熱するためのエキシマレーザその他のレーザ光ALを発生するレーザ光源3310と、このレーザ光ALをライン状(微細な矩形)にして所定の照度でワークW上に入射させる照射光学系3320と、ワークWを載置してワークWをX−Y面内で滑らかに並進移動させることができるとともにZ軸の回りに回転移動させることができるプロセスステージ装置3330とを備える。   This laser annealing apparatus is for heat-treating a workpiece W in which a semiconductor thin film such as amorphous Si is formed on a glass substrate, and an excimer laser for heating the semiconductor thin film and other laser light sources for generating laser light AL. 3310, an irradiation optical system 3320 that makes this laser beam AL linear (fine rectangle) and enters the workpiece W with a predetermined illuminance, and the workpiece W is placed and the workpiece W is smoothed in the XY plane. And a process stage device 3330 that can be rotated around the Z axis.

照射光学系3320は、入射したレーザ光ALを均一な分布とするホモジナイザ3321と、ホモジナイザ3321を経たレーザ光ALを細い矩形ビームに絞るスリットを形成したマスクを有するマスク組立体3322と、マスクのスリット像をワークW上に縮小投影する投影レンズ3323とからなる。このうち、マスク組立体3322は、マスクステージ装置3340に交換可能に支持されており、マスクステージ装置3340に駆動されてX−Y面内で滑らかに並進移動可能であるとともにZ軸の回りに回転移動可能となっている。   The irradiation optical system 3320 includes a homogenizer 3321 having a uniform distribution of incident laser light AL, a mask assembly 3322 having a mask formed with a slit for narrowing the laser light AL that has passed through the homogenizer 3321 into a thin rectangular beam, and a slit of the mask. And a projection lens 3323 for reducing and projecting an image on the workpiece W. Among these, the mask assembly 3322 is supported by the mask stage device 3340 so as to be exchangeable, and is driven by the mask stage device 3340 so that it can be smoothly translated in the XY plane and rotated around the Z axis. It is movable.

プロセスステージ装置3330は、プロセスチャンバ3350内に収容されており、ワークWをプロセスチャンバ3350内に支持するとともに照射光学系3320に対して適宜移動させることができる。照射光学系3320からのレーザ光ALは、ウィンドウ3350aを介してプロセスチャンバ3350内の適所に支持されたワークW上に照射される。   The process stage device 3330 is accommodated in the process chamber 3350, and can support the workpiece W in the process chamber 3350 and can be appropriately moved with respect to the irradiation optical system 3320. The laser beam AL from the irradiation optical system 3320 is irradiated onto the workpiece W supported at a proper position in the process chamber 3350 through the window 3350a.

なお、投影レンズ3323の両側には、ウィンドウ3350aを介して検査光をワークW表面に入射させる投光装置3361と、ワークW表面からの反射光を検出する受光装置3362とからなる位置検出装置等が設置されており、プロセスステージ装置3330上のワークWを照射光学系3320に対して精密に位置合わせすることができるようになっている。   Note that, on both sides of the projection lens 3323, a position detection device or the like including a light projecting device 3361 that makes the inspection light incident on the surface of the workpiece W through the window 3350a and a light receiving device 3362 that detects the reflected light from the surface of the workpiece W Is installed so that the workpiece W on the process stage device 3330 can be precisely aligned with the irradiation optical system 3320.

ここで、マスクステージ装置3340や投影レンズ3323は、プロセスチャンバ3350から延びる架台3365に吊り下げられて固定されている。なお、図示を省略しているが、ホモジナイザ3321は架台3365に対して間接的に固定されている。   Here, the mask stage device 3340 and the projection lens 3323 are suspended and fixed to a gantry 3365 extending from the process chamber 3350. Although not shown, the homogenizer 3321 is indirectly fixed to the gantry 3365.

マスクステージ装置3340に支持されたマスク組立体3322は、円柱状の取付け冶具3370の下端に吊り下げられて、マスクステージ装置3340に設けた挿入口3340aの底部に挿入され、ここに固定される。マスク組立体3322は、スリットを形成したマスク3322aと、マスク3322a上方にマスク3322aに対して傾斜して配置されてマスク3322aからの戻り光に起因して他の光学要素にダメージが発生することを防止する反射部材3322bと、マスク3322aに入射するレーザ光ALの広がり角を調整するフィールドレンズ3322cとを備え、これらマスク3322a、反射部材3322b及びフィールドレンズ3322cを一体的に保持する。   The mask assembly 3322 supported by the mask stage device 3340 is suspended from the lower end of the columnar mounting jig 3370 and inserted into the bottom of the insertion port 3340a provided in the mask stage device 3340, and is fixed thereto. The mask assembly 3322 is arranged to be inclined with respect to the mask 3322a above the mask 3322a with the mask 3322a formed with a slit, and damage to other optical elements is caused by the return light from the mask 3322a. A reflection member 3322b to be prevented and a field lens 3322c for adjusting the spread angle of the laser light AL incident on the mask 3322a are provided, and the mask 3322a, the reflection member 3322b, and the field lens 3322c are integrally held.

図48は、マスクステージ装置3340の構造とマスク組立体3322の支持とを説明する図であり、図48(a)は、マスクステージ装置3340等の側方断面図であり、図48(b)は、取付け冶具3370の平面図である。   FIG. 48 is a view for explaining the structure of the mask stage device 3340 and the support of the mask assembly 3322. FIG. 48 (a) is a side sectional view of the mask stage device 3340 and the like, and FIG. These are top views of the attachment jig 3370.

マスクステージ装置3340は、マスク組立体3322をX軸方向に並進移動させるX軸ステージ部3441と、X軸ステージ3441とともにマスク組立体3322をY軸方向に並進移動させるY軸ステージ部3442と、X軸ステージ3441及びY軸ステージ部3442をZ軸の回りに回転移動させるθ軸ステージ3443とからなる。X軸ステージ3441とY軸ステージ部3442とは、スライドガイド3445を介して摺動可能に連結されている。一方、Y軸ステージ部3442とθ軸ステージ3443とは、軸受3446を介して回転可能に連結されている。   The mask stage apparatus 3340 includes an X-axis stage unit 3441 that translates the mask assembly 3322 in the X-axis direction, a Y-axis stage unit 3442 that translates the mask assembly 3322 in the Y-axis direction together with the X-axis stage 3441, and X The shaft stage 3441 and the Y-axis stage unit 3442 include a θ-axis stage 3443 that rotates and moves around the Z-axis. The X-axis stage 3441 and the Y-axis stage unit 3442 are slidably connected via a slide guide 3445. On the other hand, the Y-axis stage unit 3442 and the θ-axis stage 3443 are rotatably connected via a bearing 3446.

マスク組立体3322は、マスク3322a、反射部材3322b及びフィールドレンズ3322cを保持する筒状のマスクホルダ本体3422dの外周に、下方に向けて細くなるテーパ外面TP1を有する。一方、X軸ステージ3441も、底部3441aに設けた円形開口に、テーパ外面TP1に嵌合するテーパ内面TP2を有する。この結果、X軸ステージ3441の底部3441aに設けた円形開口にマスク組立体3322を挿入するだけで、テーパ外面TP1とテーパ内面TP2とが嵌合して、X軸ステージ3441に対してマスク組立体3322を精密に位置合わせすることができる。さらに、マスク組立体3322は、X軸ステージ3441の底部3441aにねじ込まれた環状の固定ナット3425によって下方に一定の力で付勢される。   The mask assembly 3322 has a tapered outer surface TP1 that narrows downward on the outer periphery of a cylindrical mask holder body 3422d that holds the mask 3322a, the reflecting member 3322b, and the field lens 3322c. On the other hand, the X-axis stage 3441 also has a tapered inner surface TP2 fitted to the tapered outer surface TP1 in a circular opening provided in the bottom portion 3441a. As a result, only by inserting the mask assembly 3322 into the circular opening provided in the bottom portion 3441a of the X-axis stage 3441, the taper outer surface TP1 and the taper inner surface TP2 are fitted, and the mask assembly with respect to the X-axis stage 3441. 3322 can be precisely aligned. Further, the mask assembly 3322 is urged downward with a constant force by an annular fixing nut 3425 screwed into the bottom 3441 a of the X-axis stage 3441.

マスク組立体3322や固定ナット3425は、取付け冶具3370を利用して、X軸ステージ3441の底部3441aに取り付けられる。マスク組立体3322は、取付け冶具3370の下面に設けた鈎状の引掛け部材3471と係合する陥凹部3422gを有し、取付け冶具3370の操作にともなって昇降する。これにより、X軸ステージ3441の底部3441aに設けた円形開口にマスク組立体3322を簡易・確実に挿入することができる。また、固定ナット3425も、取付け冶具3370の引掛け部材3471と係合する陥凹部3425gを有し、取付け冶具3370の操作にともなって昇降する。これにより、X軸ステージ3441の底部3441aに挿入されたマスク組立体3322の上方から固定ナット3425をねじ込んで、マスク組立体3322を簡易・確実に固定することができる。   The mask assembly 3322 and the fixing nut 3425 are attached to the bottom portion 3441a of the X-axis stage 3441 using an attachment jig 3370. The mask assembly 3322 has a recess 3422g that engages with a hook-shaped hooking member 3471 provided on the lower surface of the attachment jig 3370, and moves up and down as the attachment jig 3370 is operated. Thereby, the mask assembly 3322 can be easily and reliably inserted into the circular opening provided in the bottom 3441a of the X-axis stage 3441. The fixing nut 3425 also has a recess 3425g that engages with the hooking member 3471 of the attachment jig 3370, and moves up and down as the attachment jig 3370 is operated. As a result, the mask assembly 3322 can be simply and reliably fixed by screwing the fixing nut 3425 from above the mask assembly 3322 inserted in the bottom 3441a of the X-axis stage 3441.

取付け治具3370は、円柱状の本体3470aと、本体3470a下端に固定されて引掛け部材3471を支持する円盤状の支持部材3470bと、本体3470aを支持部材3470bとともに回転させたり昇降させたりするためのハンドル3470cとを備える。なお、ハンドル3470cは、操作の便宜等を考慮して、図48(b)に示すように、3方に延びる取っ手3473を有している。   The mounting jig 3370 is a cylindrical main body 3470a, a disk-shaped support member 3470b that is fixed to the lower end of the main body 3470a and supports the hooking member 3471, and the main body 3470a is rotated together with the support member 3470b and moved up and down. Handle 3470c. Note that the handle 3470c includes a handle 3473 extending in three directions as shown in FIG.

取付け冶具3370の下部にマスク組立体3322を取り付けた状態で、マスクステージ装置3340の挿入口3440aからマスク組立体3322を挿入し、底部3441aまでマスク組立体3322を降下させたところで取付け冶具3370を時計方向に回転させると、マスク組立体3322は取付け冶具3370と分離される。   With the mask assembly 3322 attached to the lower part of the attachment jig 3370, the mask assembly 3322 is inserted from the insertion port 3440a of the mask stage device 3340 and the mask assembly 3322 is lowered to the bottom 3441a. When rotated in the direction, the mask assembly 3322 is separated from the mounting jig 3370.

次に、固定ナット3425を、マスク組立体3322と同様に取付け冶具3370の下部に取り付けて、マスクステージ装置3340の挿入口3440aから挿入する。下端に達したところで、固定ナット3425を反時計方向に回転させて所定の位置まで締め付ければ、マスク組立体3322は皿ばね3425c皿の一定圧力で底部3441aに押し付けられる。この際、マスクホルダ本体3422dに設けたテーパ外面TP1と、底部3441aに設けたテーパ内面TP2とが密着するので、マスク組立体3322をマスクステージ装置3340に精度良く取り付けることができる。その後、取付け冶具3370を時計方向に回転させると、固定ナット3425は取付け冶具3370と分離され、取付け冶具3370のみ取り出すことができる。   Next, the fixing nut 3425 is attached to the lower part of the attachment jig 3370 in the same manner as the mask assembly 3322 and is inserted from the insertion port 3440a of the mask stage apparatus 3340. When the lower end is reached, if the fixing nut 3425 is rotated counterclockwise and tightened to a predetermined position, the mask assembly 3322 is pressed against the bottom 3441a with a constant pressure of the disc spring 3425c. At this time, the tapered outer surface TP1 provided on the mask holder main body 3422d and the tapered inner surface TP2 provided on the bottom 3441a are in close contact with each other, so that the mask assembly 3322 can be attached to the mask stage device 3340 with high accuracy. Thereafter, when the attachment jig 3370 is rotated clockwise, the fixing nut 3425 is separated from the attachment jig 3370, and only the attachment jig 3370 can be taken out.

マスク組立体3322のマスクステージ装置3340からの取り外しに際しては、上記の操作を全く逆にたどればよい。すなわち、取付け冶具3370をマスクステージ装置3340の挿入口3440aに挿入し、固定ナット3425をゆるめて取り外し、さらに同じ取付け冶具3370の先端をマスク組立体3322上の陥凹部3422gに引っ掛ける。その後、ゆっくり取付け冶具3370を引き上げると、マスク組立体3322が取付け治具3370と一体となって引き出される。さらに、マスク3322a及び反射部材3322bのマスク組立体3322からの取り外しも、詳細な説明は省略するが、これらの取付け時と反対の手順で容易に行える。   When the mask assembly 3322 is detached from the mask stage apparatus 3340, the above operation may be followed in the opposite manner. That is, the mounting jig 3370 is inserted into the insertion port 3440a of the mask stage device 3340, the fixing nut 3425 is loosened and removed, and the tip of the same mounting jig 3370 is hooked on the recessed portion 3422g on the mask assembly 3322. Thereafter, when the attachment jig 3370 is slowly pulled up, the mask assembly 3322 is pulled out integrally with the attachment jig 3370. Further, the removal of the mask 3322a and the reflecting member 3322b from the mask assembly 3322 can be easily performed by a procedure opposite to that for attaching them, although the detailed description is omitted.

なお、以上の操作によってマスク3322aはマスクステージ装置3340に対して精度良く取り付けられることになるが、更に精密な位置決めは、マスク面に付けられたアライメントマークをCCDカメラ(不図示)等で目視観察しながら、調整することになる。   The mask 3322a is attached to the mask stage device 3340 with high accuracy by the above operation. However, for more precise positioning, the alignment mark attached to the mask surface is visually observed with a CCD camera (not shown) or the like. While adjusting it.

次に本発明に係る実施形態の位置計測装置及び方法について、図面を参照しつつ具体的に説明する。   Next, a position measuring apparatus and method according to an embodiment of the present invention will be specifically described with reference to the drawings.

図49は、実施形態の位置計測装置を組み込んだレーザアニール装置の構造を概念的に説明する図である。レーザアニール装置は、ガラス板であるワークW上に形成したアモルファス状Si等の半導体薄膜を加熱するためのエキシマレーザその他のレーザ光ALを発生するレーザ光源3510と、このレーザ光ALをライン状或いはスポット状にして所定の照度でワークW上に入射させる照射光学系3520と、ワークWを載置してX−Y面内で滑らかに移動可能であるとともにZ軸の回りに回転可能なステージ3530と、ワークWを載置したステージ3530を照射光学系3520等に対して必要量だけ移動させる駆動手段であるステージ駆動装置3540とを備える。なお、照射光学系3520は、例えば入射したレーザ光ALを均一な分布とするホモジナイザ3520aと、ホモジナイザ3520aを経たレーザ光ALを所定のビーム形状に絞るスリットを有するマスク3520bと、マスク3520bのスリット像をワークW上に縮小投影する投影レンズ3520cとからなるものとすることができる。   FIG. 49 is a diagram for conceptually explaining the structure of a laser annealing apparatus incorporating the position measurement apparatus of the embodiment. The laser annealing apparatus includes a laser light source 3510 for generating an excimer laser or other laser light AL for heating a semiconductor thin film such as amorphous Si formed on a work W, which is a glass plate, and the laser light AL in a line or An irradiation optical system 3520 that is incident on the workpiece W with a predetermined illuminance in the form of a spot, and a stage 3530 on which the workpiece W is placed and can be moved smoothly in the XY plane and can be rotated about the Z axis. And a stage driving device 3540 which is a driving means for moving the stage 3530 on which the workpiece W is placed with respect to the irradiation optical system 3520 and the like by a necessary amount. Note that the irradiation optical system 3520 includes, for example, a homogenizer 3520a that uniformly distributes the incident laser beam AL, a mask 3520b that has a slit that narrows the laser beam AL that has passed through the homogenizer 3520a into a predetermined beam shape, and a slit image of the mask 3520b. And a projection lens 3520c for reducing and projecting onto the workpiece W.

さらに、このレーザアニール装置は、位置計測装置として、上記ステージ3530及びステージ駆動装置3540のほか、ステージ3530の移動量を光学的な情報や電気的な情報として検出する移動量計測装置3550と、ワークW上のアライメントマークを結像する同軸タイプで2眼2倍率の投影光学系3560と、投影光学系3560によって投影された比較的低倍の第1倍率の像を画像信号に変換する第1撮像装置3571と、投影光学系3560によって投影された比較的高倍率の第2倍率の像を画像信号に変換する第2撮像装置3572と、第1及び第2撮像装置3571、3572から出力された画像信号に適当な信号処理を施す画像処理装置3580と、ワークW表面を照明するため投影光学系3560に照明光を供給する照明用ランプ3565とを備える。なお、主制御装置3585は、この位置計測装置のみならず、レーザアニール装置の各部の動作を統括的に制御する。   In addition to the stage 3530 and the stage driving device 3540, the laser annealing device includes a moving amount measuring device 3550 that detects the moving amount of the stage 3530 as optical information or electrical information, and a workpiece. A coaxial type two-lens two-magnification projection optical system 3560 that forms an alignment mark on W and a first imaging that converts a relatively low-magnification first-magnification image projected by the projection optical system 3560 into an image signal. An image output from the device 3571, a second imaging device 3572 that converts a relatively high-magnification second-magnification image projected by the projection optical system 3560 into an image signal, and first and second imaging devices 3571 and 3572 Illumination light is supplied to an image processing device 3580 that performs appropriate signal processing on the signal and a projection optical system 3560 for illuminating the surface of the workpiece W. And a bright lamp 3565. The main controller 3585 controls not only the position measuring device but also the operation of each part of the laser annealing device.

投影光学系3560についてより詳細に説明する。この投影光学系3560は、既に述べたように同軸タイプの2眼2倍率の光学系であり、ステージ3530上のワークWの像を比較的低倍率の第1倍率で第1撮像装置3571上に投影する第1レンズ系3561a、3561bと、これを比較的高倍の第2倍率で第2撮像装置3572上に投影する第2レンズ系3562a、3562bと、ワークWからの像光ILを分割して第1レンズ系3561a、3561b及び第2レンズ系3562a、3562bに導くハーフミラー3563と、レーザ光源3510と異なる波長の照明光を発生する照明用ランプ3565からの照明光を、ケーブル3566を介して第2撮像装置3572の光軸上に導く落射照明系3567とを備える。   The projection optical system 3560 will be described in more detail. The projection optical system 3560 is a coaxial type two-lens two-magnification optical system as described above, and the image of the workpiece W on the stage 3530 is placed on the first imaging device 3571 at a first magnification of a relatively low magnification. The first lens systems 3561a and 3561b to be projected, the second lens systems 3562a and 3562b that project the first lens systems 3561a and 3561b onto the second imaging device 3572 at a second magnification that is relatively high, and the image light IL from the workpiece W are divided. Illumination light from the first lens system 3561a, 3561b and the second lens system 3562a, 3562b, the half mirror 3563, and the illumination lamp 3565 for generating illumination light having a wavelength different from that of the laser light source 3510 are supplied via the cable 3566. And an epi-illumination system 3567 for guiding it onto the optical axis of the two imaging device 3572.

ここで、第1レンズ系3561a、3561bと、第2レンズ系3562a、3562bとは、光軸を共有する同軸光学系となっている。つまり、第1レンズ系3561a、3561bの光軸に沿ってワークWから出射した像光ILは、ハーフミラー3563で反射された場合には第1撮像装置3571の画界の中心に入射するとともに、ハーフミラー3563を透過した場合には第2レンズ系3562a、3562bの光軸に沿って第2撮像装置3572の画界の中心に入射する。また、落射照明系3567も、第2レンズ系3562a、3562bと同軸に配置されており、第1及び第2撮像装置3571、3572の画界に対応するワークW上の領域が一様に照明される。   Here, the first lens systems 3561a and 3561b and the second lens systems 3562a and 3562b are coaxial optical systems that share an optical axis. That is, the image light IL emitted from the workpiece W along the optical axes of the first lens systems 3561a and 3561b is incident on the center of the field of the first imaging device 3571 when reflected by the half mirror 3563, and When the light passes through the half mirror 3563, the light enters the center of the field of view of the second imaging device 3572 along the optical axes of the second lens systems 3562a and 3562b. The epi-illumination system 3567 is also arranged coaxially with the second lens systems 3562a and 3562b, and the area on the work W corresponding to the field of view of the first and second imaging devices 3571 and 3572 is illuminated uniformly. The

なお、第1撮像装置3571は、固体撮像素子であるCCD素子からなり、レンズ3561bと併せてCCDカメラ3573を構成する。このCCDカメラ3573は、レンズ3561aを収容する鏡筒3575の一端に固定されている。一方、第2撮像装置3572も、CCD素子からなり、レンズ3562bと併せてCCDカメラ3574を構成する。このCCDカメラ3574は、レンズ3562aを収容する鏡筒3576の一端に固定されている。両鏡筒3576の他端は、ハーフミラー3563を収納するケースに固定されている。   The first imaging device 3571 is composed of a CCD element that is a solid-state imaging element, and constitutes a CCD camera 3573 together with the lens 3561b. The CCD camera 3573 is fixed to one end of a lens barrel 3575 that houses a lens 3561a. On the other hand, the second imaging device 3572 is also composed of a CCD element, and constitutes a CCD camera 3574 together with the lens 3562b. The CCD camera 3574 is fixed to one end of a lens barrel 3576 that houses a lens 3562a. The other ends of both lens barrels 3576 are fixed to a case for housing the half mirror 3563.

図50は、図49のステージ3530に載置されるワークWの表面に形成されるアライメントマークの配置の一例を示す図である。図示のアライメントマークM1、M2は、ともに明暗2値の十字パターンを大小組み合わせた2重パターンである。   FIG. 50 is a diagram showing an example of the arrangement of alignment marks formed on the surface of the workpiece W placed on the stage 3530 in FIG. The alignment marks M1 and M2 shown in the figure are double patterns obtained by combining magnitudes of bright and dark binary cross patterns.

第1アライメントマークM1は、ワークWの4隅の一箇所に形成されており、第2アライメントマークM2は、ワークWの4隅の他の箇所に形成されている。このように、第1及び第2アライメントマークM1、M2をワークW上の2箇所に形成しているのは、ワークWの位置だけでなくワークWの回転も検出するためである。つまり、第1及び第2アライメントマークM1、M2の位置計測により、ワークW上の2つの基準点の座標が分かることになり、ワークWの姿勢を修正した上でワークWを適正な位置に移動させるアライメントが可能になる。   The first alignment mark M1 is formed at one of the four corners of the workpiece W, and the second alignment mark M2 is formed at the other four corners of the workpiece W. The reason why the first and second alignment marks M1 and M2 are formed at two positions on the workpiece W is to detect not only the position of the workpiece W but also the rotation of the workpiece W. That is, by measuring the positions of the first and second alignment marks M1 and M2, the coordinates of the two reference points on the workpiece W can be known, and the workpiece W is moved to an appropriate position after correcting the posture of the workpiece W. Alignment is possible.

次に図49のレーザアニール装置の動作について説明する。まず、レーザアニール装置のステージ3530上にワークWを搬送して載置する。次に、アニール用のレーザ光ALを導く照射光学系3520に対してステージ3530上のワークWをアライメントする。次に、照射光学系3520に対してステージ3530を適宜移動させながら、レーザ光源3510からのレーザ光ALをライン状或いはスポット状にしてワークW上に入射させる。ワークW上には、アモルファスSi等の非晶質半導体の薄膜が形成されており、レーザ光ALの照射によって半導体がアニール、再結晶化され、電気的特性の優れた半導体薄膜を提供することができる。   Next, the operation of the laser annealing apparatus of FIG. 49 will be described. First, the workpiece W is transported and placed on the stage 3530 of the laser annealing apparatus. Next, the workpiece W on the stage 3530 is aligned with the irradiation optical system 3520 for guiding the laser beam AL for annealing. Next, the laser beam AL from the laser light source 3510 is incident on the workpiece W in a line shape or a spot shape while appropriately moving the stage 3530 with respect to the irradiation optical system 3520. A thin film of an amorphous semiconductor such as amorphous Si is formed on the work W, and the semiconductor is annealed and recrystallized by irradiation with the laser beam AL to provide a semiconductor thin film having excellent electrical characteristics. it can.

照射光学系3520に対してステージ3530上のワークWをアライメントするに際しては、位置計測装置を利用する。すなわち、ステージ3530をステージ駆動装置3540によって適宜移動させて、第1アライメントマークM1、すなわちグローバルマークM11及びファインマークM12を第1撮像装置3571の画界内に導く(ステップS1)。ステージ3530上のワークWの位置は一定の搬送精度範囲(実施例では、0.5〜1mm)内に収まっているので、投影光学系3560に対してステージ3530を適宜移動させて、第1レンズ系3561a、3561bの視野内、すなわち第1撮像装置3571の画界(実施例では、5mmサイズ)中に第1アライメントマークM1を移動させることができる。例えば、ワークW上の第1アライメントマークM1の位置をデータとして予め入力し記憶しておけば、第1アライメントマークM1の位置データに基づいて、ステージ3530を適宜移動させて、第1撮像装置3571の画界中に第1アライメントマークM1をほぼ確実に入れることが保証される。   When aligning the workpiece W on the stage 3530 with respect to the irradiation optical system 3520, a position measuring device is used. That is, the stage 3530 is appropriately moved by the stage driving device 3540, and the first alignment mark M1, that is, the global mark M11 and the fine mark M12 are guided into the field of view of the first imaging device 3571 (step S1). Since the position of the workpiece W on the stage 3530 is within a certain conveyance accuracy range (0.5 to 1 mm in the embodiment), the stage 3530 is appropriately moved with respect to the projection optical system 3560, and the first lens is moved. The first alignment mark M1 can be moved in the field of view of the systems 3561a and 3561b, that is, in the field of view of the first imaging device 3571 (in the embodiment, the size is 5 mm). For example, if the position of the first alignment mark M1 on the workpiece W is previously input and stored as data, the stage 3530 is appropriately moved based on the position data of the first alignment mark M1, and the first imaging device 3571 is obtained. It is guaranteed that the first alignment mark M1 is almost surely placed in the image field.

次に、第1アライメントマークM1のうちまずグローバルマークM11について、画像処理装置3580において低倍率の第1撮像装置3571からの画像信号処理することによりその位置を計測する(ステップS2)。なお、第1撮像装置3571の画素とステージ3530上の距離との間には精密な対応関係があり、第1撮像装置3571の中心、すなわち第1レンズ系3561a、3561bの光軸からグローバルマークM11の中心までの距離のXY成分が精密に判定できる。   Next, the position of the global mark M11 among the first alignment marks M1 is measured by performing image signal processing from the first imaging device 3571 with a low magnification in the image processing device 3580 (step S2). Note that there is a precise correspondence between the pixel of the first image pickup device 3571 and the distance on the stage 3530, and the global mark M11 from the center of the first image pickup device 3571, that is, the optical axis of the first lens systems 3561a and 3561b. The XY component of the distance to the center of can be accurately determined.

次に、移動量計測装置3550で移動量を計測しながら、ステージ駆動装置3540を駆動してステージ3530をXY面内で移動させることにより、第1レンズ系3561a、3561bの光軸にグローバルマークM11の中心を一致させる(ステップS3)。なお、移動量計測装置3550が計測する移動量は、ステップS2で求めた距離に対応する。この際、グローバルマークM11による位置決め精度は、実施例では〜10μm程度である。以上のようなサーチアライメントにより、グローバルマークM11の中心に配置されたファインマークM12を高倍率の第2撮像装置3572の画界(実施例では、0.5mmサイズ)中に確実に移動させることができる。   Next, while measuring the movement amount with the movement amount measuring device 3550, the stage driving device 3540 is driven to move the stage 3530 in the XY plane, whereby the global mark M11 is placed on the optical axes of the first lens systems 3561a and 3561b. Are matched with each other (step S3). Note that the movement amount measured by the movement amount measuring device 3550 corresponds to the distance obtained in step S2. At this time, the positioning accuracy by the global mark M11 is about 10 μm in the embodiment. By the search alignment as described above, the fine mark M12 arranged at the center of the global mark M11 can be reliably moved into the field of view (0.5 mm size in the embodiment) of the second imaging device 3572 with a high magnification. it can.

次に、ファインマークM12について、画像処理装置3580において第2撮像装置3572からの画像信号処理することによりその位置を計測する(ステップS4)。なお、第2撮像装置3572の画素とステージ3530上の距離との間には精密な対応関係があり、第2撮像装置3572の中心、すなわち第2レンズ系3562a、3562bの光軸からファインマークM12の中心までの距離が精密に判定できる。ファインマークM12による位置計測精度は、実施例では〜1μm程度である。   Next, the position of the fine mark M12 is measured by processing the image signal from the second imaging device 3572 in the image processing device 3580 (step S4). Note that there is a precise correspondence between the pixel of the second image pickup device 3572 and the distance on the stage 3530, and the fine mark M12 from the center of the second image pickup device 3572, that is, the optical axis of the second lens systems 3562a and 3562b. The distance to the center of the can be determined accurately. The position measurement accuracy by the fine mark M12 is about 1 μm in the embodiment.

ここで、ファインマークM12の位置を計測している投影光学系3560は、レーザアニール用の照射光学系3520に対して所定の位置関係にあり、この位置関係は、予め計測され、或いは調整されている。したがって、第2レンズ系3562a、3562bの光軸からファインマークM12の中心までの距離を、上記位置関係に基づいて、レーザアニール用の照射光学系3520からファインマークM12の中心までの距離に換算することができる(ステップS5)。以上により、第1アライメントマークM1の精密な座標決定が可能になる。   Here, the projection optical system 3560 that measures the position of the fine mark M12 has a predetermined positional relationship with respect to the irradiation optical system 3520 for laser annealing, and this positional relationship is measured or adjusted in advance. Yes. Therefore, the distance from the optical axes of the second lens systems 3562a and 3562b to the center of the fine mark M12 is converted into the distance from the laser annealing irradiation optical system 3520 to the center of the fine mark M12 based on the positional relationship. (Step S5). As described above, the precise coordinates of the first alignment mark M1 can be determined.

以上の計測(ステップS1〜S5)は、第2アライメントマークM2についても同様に行われ、第2アライメントマークM2についても精密な座標決定が可能になる(ステップS6)。なお、実施例では、第2撮像装置3572の1画素を1μmとし、1μm程度の精度で位置検出が行われた。   The above measurement (steps S1 to S5) is performed in the same manner for the second alignment mark M2, and precise coordinates can be determined for the second alignment mark M2 (step S6). In the embodiment, one pixel of the second imaging device 3572 is set to 1 μm, and position detection is performed with an accuracy of about 1 μm.

次に、ステップS5、S6で得た第1及び第2アライメントマークM1、M2の精密な座標測定結果に基づいて、照射光学系3520に対してワークWをアライメントする(ステップS7)。具体的には、照射光学系3520を基準とした第1及び第2アライメントマークM1、M2のファインマークについての座標測定値に基づいて、ワークWの位置と回転を求め、この結果からレーザアニールの開始に際して必要となる位置に必要な回転姿勢でワークWを配置する。   Next, based on the precise coordinate measurement results of the first and second alignment marks M1, M2 obtained in steps S5, S6, the work W is aligned with respect to the irradiation optical system 3520 (step S7). Specifically, the position and rotation of the workpiece W are obtained based on the coordinate measurement values of the fine marks of the first and second alignment marks M1 and M2 with the irradiation optical system 3520 as a reference, and laser annealing is performed from the result. The workpiece W is arranged in a necessary rotational posture at a necessary position at the start.

次に、照射光学系3520から照射されるレーザスポットやレーザライン等のレーザ光ALを、ステージ駆動装置3540及び移動量計測装置3550を用いてワークW上で走査させながら、ワークW上のアモルファス薄膜を再結晶化させ、ワークW上に多結晶薄膜を順次形成する。この際、移動量計測装置3550で移動量を観測しながらステージ駆動装置3540によってステージ3530をX方向又はY方向に移動させることで、レーザ光ALの走査が可能になる。また、照射光学系3520に走査機能を持たせること、例えば照射光学系3520内部のマスク3520bを移動させることによっても、レーザ光ALの走査が可能になる。   Next, the amorphous thin film on the workpiece W is scanned while the laser beam AL such as a laser spot or a laser line irradiated from the irradiation optical system 3520 is scanned on the workpiece W using the stage driving device 3540 and the movement amount measuring device 3550. Are recrystallized to sequentially form a polycrystalline thin film on the workpiece W. At this time, the laser beam AL can be scanned by moving the stage 3530 in the X direction or the Y direction by the stage driving device 3540 while observing the movement amount by the movement amount measuring device 3550. Further, by providing the irradiation optical system 3520 with a scanning function, for example, by moving the mask 3520b in the irradiation optical system 3520, the laser light AL can be scanned.

以上説明した第1実施形態の位置計測方法によれば、ワークWをステージ3530上に搬送載置した後において、グローバルマークM11を用いたサーチアライメントによるワークWの移動のみで高精度の位置計測が可能になり、ワークWの位置計測が迅速なものとなる。また、グローバルマークM11とファインマークM12の輪郭を相似形としているので、両マークM11、M12を計測する際の画像計測アルゴリズムをほぼ共通のものとできるので、演算処理等を簡素化することができる。 According to the position measurement method of the first embodiment described above, after the work W is transported and placed on the stage 3530, highly accurate position measurement can be performed only by the movement of the work W by search alignment using the global mark M11. This makes it possible to measure the position of the workpiece W quickly. Further, since the outlines of the global mark M11 and the fine mark M12 are similar, the image measurement algorithm for measuring both the marks M11 and M12 can be made almost the same, so that the arithmetic processing and the like can be simplified. .

図51は、ステージ3530(図49参照)上に載置されるワークWの表面に形成されるアライメントマークの配置を説明する斜視図である。   FIG. 51 is a perspective view for explaining the arrangement of alignment marks formed on the surface of the workpiece W placed on the stage 3530 (see FIG. 49).

第1及び第2グローバルマークM111、M211は、ワークWの4隅のいずれかにそれぞれ形成されている。両グローバルマークM111、M211は、ワークX軸について座標が等しく、ワークY軸について座標が異なっている。一方、第1及び第2ファインマークM112、M212は、ワークW上の加工領域PAの近傍にそれぞれ配置されている。両ファインマークM112、M212は、ワークX軸について座標が等しく、ワークY軸について座標が異なっている。なお、加工領域PAは、投影レンズ3520cによってマスク3520bのスリット像等を投影すべき領域であり、ワークW上に適当な間隔で配列されている(図面では、2つのみ例示)。   The first and second global marks M111 and M211 are formed at any one of the four corners of the workpiece W, respectively. Both global marks M111 and M211 have the same coordinates for the workpiece X axis and different coordinates for the workpiece Y axis. On the other hand, the first and second fine marks M112 and M212 are arranged in the vicinity of the processing area PA on the workpiece W, respectively. Both fine marks M112 and M212 have the same coordinates for the workpiece X axis and different coordinates for the workpiece Y axis. The processing area PA is an area where the slit image of the mask 3520b is to be projected by the projection lens 3520c, and is arranged on the work W at an appropriate interval (only two are illustrated in the drawing).

第1及び第2グローバルマークM111、M211の位置計測により、ワークW周辺の2つの基準点の座標が分かることになり、ワークWの姿勢を修正した上で、第1及び第2ファインマークM112、M212のそれぞれを高倍率側の第2撮像装置3572(図49参照)の画界に入れるサーチアライメント(グローバルアライメント)が可能になる。一方、第1及び第2ファインマークM112、M212の位置計測により、これらに対応する加工領域PA周辺の2つの基準点の精密な座標が分かるので、ワークWを適宜移動させて、マスク3520bのスリット像を加工領域PA上に精密に投影することができる。   By measuring the positions of the first and second global marks M111 and M211, the coordinates of the two reference points around the work W can be known, and after correcting the posture of the work W, the first and second fine marks M112, Search alignment (global alignment) is possible in which each of M212 is put into the field of view of the second imaging device 3572 (see FIG. 49) on the high magnification side. On the other hand, since the precise coordinates of the two reference points around the machining area PA corresponding to the first and second fine marks M112 and M212 can be known, the workpiece W is moved as appropriate, and the slit of the mask 3520b. The image can be accurately projected onto the processing area PA.

図52は、本発明に係るレーザ加工装置の一実施形態であるレーザアニーリング装置の構造を概念的に説明する図である。   FIG. 52 is a diagram for conceptually explaining the structure of a laser annealing apparatus which is an embodiment of the laser processing apparatus according to the present invention.

このレーザアニーリング装置は、ガラス基板上にアモルファス状Si等の半導体薄膜を形成したワークWを熱処理するためのもので、かかる半導体薄膜を加熱するためのエキシマレーザその他のレーザ光ALを発生するレーザ光源5310と、このレーザ光ALをライン状(正確には微細な矩形)にして所定の照度でワークW上に入射させる照射光学系5320と、ワークWを載置してワークWをX−Y面内で滑らかに並進移動させることができるとともにZ軸の回りに回転移動させることができるプロセスステージ装置5330と、プロセスステージ装置5330の動作を制御するステージ制御装置5340と、レーザアニーリング装置の各部の動作を統括的に制御する主制御装置53100とを備える。   This laser annealing apparatus is for heat-treating a workpiece W in which a semiconductor thin film such as amorphous Si is formed on a glass substrate, and an excimer laser for heating the semiconductor thin film and other laser light sources for generating laser light AL. 5310, an irradiation optical system 5320 for making this laser beam AL line-like (precisely a fine rectangle) and making it incident on the workpiece W at a predetermined illuminance, and placing the workpiece W on the XY plane Of the process stage device 5330 that can be smoothly translated and rotated around the Z axis, a stage control device 5340 that controls the operation of the process stage device 5330, and the operation of each part of the laser annealing device And a main control device 53100 that controls the entire system.

照射光学系5320は、入射したレーザ光ALを均一な分布とするホモジナイザ5320aと、ホモジナイザ5320aを経たレーザ光ALを矩形のビーム形状に絞るスリットを有するマスク5320bと、マスク5320bのスリット像をワークW上に縮小投影する投影光学系である投影レンズ5320cとからなる。このうちマスク5320bは、マスクステージ装置5350に交換可能に保持されており、X−Y面内で滑らかに並進移動可能になっているとともにZ軸の回りに回転移動可能となっている。マスクステージ装置5350の動作は、ステージ制御装置5360によって制御されており、マスク5320bの並進や回転移動のタイミングやその移動量を監視できるようになっている。なお、これらマスクステージ装置5350及びステージ制御装置5360は、マスク駆動装置を構成する。   The irradiation optical system 5320 includes a homogenizer 5320a that uniformly distributes the incident laser beam AL, a mask 5320b that has a slit that narrows the laser beam AL that has passed through the homogenizer 5320a into a rectangular beam shape, and a slit image of the mask 5320b. It includes a projection lens 5320c which is a projection optical system that performs reduction projection on the top. Of these, the mask 5320b is exchangeably held by the mask stage device 5350, can be smoothly translated in the XY plane, and can be rotated about the Z axis. The operation of the mask stage device 5350 is controlled by a stage control device 5360 so that the timing and amount of translation and rotation of the mask 5320b can be monitored. The mask stage device 5350 and the stage control device 5360 constitute a mask drive device.

プロセスステージ装置5330は、プロセスチャンバ5370内に収容されている。照射光学系5320からのレーザ光ALは、ウィンドウ5370aを介してプロセスチャンバ5370内に配置したプロセスステージ装置5330にお保持されたワークW上に照射される。プロセスステージ装置5330の並進移動量や回転移動量は、ステージ制御装置5340によって監視されている。   Process stage apparatus 5330 is housed in process chamber 5370. The laser beam AL from the irradiation optical system 5320 is irradiated onto the workpiece W held by the process stage device 5330 disposed in the process chamber 5370 through the window 5370a. The translational movement amount and rotational movement amount of the process stage device 5330 are monitored by the stage control device 5340.

なお、プロセスチャンバ5370の上面に設けたウィンドウ5370aの隅部分の直上には、ワークアライメントカメラ5380が固定されている。このワークアライメントカメラ5380は、プロセスステージ装置5330上に載置されたワークWの位置ズレを検出するためのもので、結像光学系とCCD等の撮像素子からなる。ワークアライメントカメラ5380の画像信号出力は、画像処理装置5381で処理される。画像処理装置5381が出力する信号は、主制御装置53100に入力され、照射光学系5320を構成する投影レンズ5320cに対してワークWを位置合わせする際に利用される。   A work alignment camera 5380 is fixed immediately above a corner portion of a window 5370a provided on the upper surface of the process chamber 5370. The work alignment camera 5380 is for detecting a positional shift of the work W placed on the process stage device 5330, and includes an imaging optical system and an image sensor such as a CCD. An image signal output from the work alignment camera 5380 is processed by an image processing device 5381. A signal output from the image processing device 5381 is input to the main control device 53100 and is used when the workpiece W is aligned with the projection lens 5320c constituting the irradiation optical system 5320.

また、マスク5320bの隅部分の直下には、撮像装置であるマスクアライメントカメラ5384が固定されている。このマスクアライメントカメラ5384は、マスクステージ装置5350に保持されたマスク5320bの位置ズレを検出するためのもので、その画像信号出力は、画像処理装置5385で処理され、撮影した画像は表示装置であるディスプレイ5386に表示され、ワークWに対してマスク5320bを位置合わせする際に利用される。   A mask alignment camera 5384, which is an imaging device, is fixed immediately below the corner of the mask 5320b. The mask alignment camera 5384 is for detecting a positional shift of the mask 5320b held by the mask stage device 5350. The image signal output is processed by the image processing device 5385, and the photographed image is a display device. It is displayed on the display 5386 and used when aligning the mask 5320b with the workpiece W.

ここで、マスクステージ装置5350や投影レンズ5320cは、プロセスチャンバ5370から延びる架台5390に固定されている。また、マスクアライメントカメラ5384も支持部材5391を介して架台5390に固定されている。この支持部材5391は、詳細な説明は省略するが、マスクアライメントカメラ5384のマスクステージ装置5350に対する位置を調整できるようになっている。つまり、マスクアライメントカメラ5384は、X−Y面内で並進移動し、Z軸の回りに回転移動するとともに、必要な調節移動が終了した後は、架台5390に対してしっかり固定することができるようになっている。   Here, the mask stage device 5350 and the projection lens 5320 c are fixed to a gantry 5390 extending from the process chamber 5370. The mask alignment camera 5384 is also fixed to the gantry 5390 via a support member 5391. Although detailed description of the support member 5391 is omitted, the position of the mask alignment camera 5384 with respect to the mask stage device 5350 can be adjusted. That is, the mask alignment camera 5384 translates in the XY plane, rotates around the Z axis, and can be firmly fixed to the gantry 5390 after the necessary adjustment movement is completed. It has become.

以上の装置では、マスク5320bをマスクステージ装置5350によって投影レンズ5320cに対して移動させつつ、ディスプレイ5386によってマスクアライメントマークAMの像を表示するので、マスク5320bの位置を視覚的に確認しながらリアルタイムで精密かつ確実な位置決めを行うことができる。   In the above apparatus, the mask 5320b is moved with respect to the projection lens 5320c by the mask stage apparatus 5350 and the image of the mask alignment mark AM is displayed by the display 5386, so that the position of the mask 5320b is visually confirmed in real time. Precise and reliable positioning can be performed.

従来のエキシマレーザアニール装置の概念図である。It is a conceptual diagram of the conventional excimer laser annealing apparatus. 従来のレーザ運転方法を説明するためのタイミングチャートである。It is a timing chart for demonstrating the conventional laser operation method. レーザパルス強度のパルス間分布の例を示した図である。It is the figure which showed the example of the pulse distribution of a laser pulse intensity | strength. シリコン膜温度変化の例を示した図である。It is the figure which showed the example of a silicon film temperature change. レーザパルス波形の一例を示した図である。It is the figure which showed an example of the laser pulse waveform. 照射強度と冷却速度、非晶質化が生じる冷却速度を示した図である。It is the figure which showed the irradiation rate, the cooling rate, and the cooling rate which amorphization produces. シリコン薄膜温度変化の計算結果例を示した図である。It is the figure which showed the example of a calculation result of a silicon thin film temperature change. 各照射強度に対するシリコン薄膜の結晶形態を示す図である。It is a figure which shows the crystal | crystallization form of the silicon thin film with respect to each irradiation intensity | strength. 第2パルス投入後の最大冷却速度と凝固点近傍の冷却速度を示した図である。It is the figure which showed the maximum cooling rate after 2nd pulse injection | throwing-in, and the cooling rate of the freezing point vicinity. 平均結晶粒径のプロセス条件依存性を示した図である。It is the figure which showed the process condition dependence of the average crystal grain diameter. 本発明の露光装置の実施の形態(全体)を説明するための 図である。It is a figure for demonstrating embodiment (whole) of the exposure apparatus of this invention. 本発明の露光装置の実施の形態(アライメント方法)を説明するための 図である。It is a figure for demonstrating embodiment (alignment method) of the exposure apparatus of this invention. 本発明の露光装置の実施の形態(マスク投影法)を説明するための 図である。It is a figure for demonstrating embodiment (mask projection method) of the exposure apparatus of this invention. 本発明の露光装置の実施の形態(制御例)を説明するための タイミングチャートである。5 is a timing chart for explaining an embodiment (control example) of the exposure apparatus of the present invention. 本発明の露光装置、搬送室、プラズマCVD室の側面断面図である。It is side surface sectional drawing of the exposure apparatus of this invention, a conveyance chamber, and a plasma CVD chamber. 本発明の露光装置、搬送室、プラズマCVD室等複合装置の平面図である。It is a top view of composite apparatuses, such as exposure apparatus of this invention, a transfer chamber, and a plasma CVD chamber. 本発明のプラズマCVD室の側面断面図である。It is side surface sectional drawing of the plasma CVD chamber of this invention. 本発明のTFT製造プロセスを説明するための断面図である。It is sectional drawing for demonstrating the TFT manufacturing process of this invention. 本発明のアライメントマークを用いたTFT製造プロセスを説明するための断面図である。It is sectional drawing for demonstrating the TFT manufacturing process using the alignment mark of this invention. 本発明のアライメントマーク形成を含むTFT製造プロセスを説明するための断面図である。It is sectional drawing for demonstrating the TFT manufacturing process including the alignment mark formation of this invention. 本発明による複数の光源のパルス発振遅延制御の実施例を説明するために使用する図である。It is a figure used in order to demonstrate the Example of the pulse oscillation delay control of the several light source by this invention. 本発明による複数の光源のパルス発振遅延の例を説明するために使用する図である。It is a figure used in order to explain an example of pulse oscillation delay of a plurality of light sources by the present invention. 本発明による焦点調節装置を組み込んだレーザアニール装置を示した図である。It is the figure which showed the laser annealing apparatus incorporating the focus adjustment apparatus by this invention. 本発明による複合機の概略構成を示した図である。1 is a diagram illustrating a schematic configuration of a multifunction machine according to the present invention. 図24におけるベローズを拡大して示した図である。It is the figure which expanded and showed the bellows in FIG. 図24におけるプロセスチャンバと除振台との関係を示した図である。It is the figure which showed the relationship between the process chamber and vibration isolator in FIG. 図26における除振台の構造を拡大して示した図である。It is the figure which expanded and showed the structure of the vibration isolator in FIG. 本発明による真空内リニアアクチュエータ駆動機構の縦断面図である。It is a longitudinal cross-sectional view of the in-vacuum linear actuator drive mechanism by this invention. 図28の線C−Cによる縦断面図である。It is a longitudinal cross-sectional view by line CC in FIG. 図28の駆動機構の概略構成を説明するための図である。It is a figure for demonstrating schematic structure of the drive mechanism of FIG. 本発明によるマスクステージ駆動機構の平面図である。It is a top view of the mask stage drive mechanism by this invention. 図31の線B−Bによる縦断面図である。FIG. 32 is a longitudinal sectional view taken along line BB in FIG. 31. 本発明による空気圧式チルト機構を備えたステージ装置を、ステージを除いた状態で示す平面図である。It is a top view which shows the stage apparatus provided with the pneumatic tilt mechanism by this invention in the state which removed the stage. 本発明による空気圧式チルト機構の側面図である。It is a side view of the pneumatic tilt mechanism by this invention. 本発明による戻り光除去方法と装置を説明するための図である。It is a figure for demonstrating the return light removal method and apparatus by this invention. 本発明の実施形態の構成を示す縦断面図である。It is a longitudinal cross-sectional view which shows the structure of embodiment of this invention. 隔壁部に形成された各種の孔の拡大断面図である。It is an expanded sectional view of various holes formed in a partition part. 本発明の実施形態の構成を示す縦断面図である。It is a longitudinal cross-sectional view which shows the structure of embodiment of this invention. 本発明の実施形態の構成を示す縦断面図である。It is a longitudinal cross-sectional view which shows the structure of embodiment of this invention. 本発明に係るDPR式による参加ケイ素薄膜の成膜装置の構成を示すブロック図である。It is a block diagram which shows the structure of the film-forming apparatus of the participating silicon thin film by the DPR type | formula based on this invention. 材料ガスの供給量の変化状態の一例を示すグラフである。It is a graph which shows an example of the change state of the supply amount of material gas. 材料ガスの供給量の変化状態の他の例を示すグラフである。It is a graph which shows the other example of the change state of the supply amount of material gas. 本発明の実施形態の薄膜作成装置の側断面概略図である。1 is a schematic side sectional view of a thin film forming apparatus according to an embodiment of the present invention. 図43に示す装置の搬送チャンバーに設けられた改質種供給部8133の構成を示す側断面概略図である。FIG. 44 is a schematic side sectional view showing the configuration of a modified species supply unit 8133 provided in the transfer chamber of the apparatus shown in FIG. 43. 本発明による複数ビームの合成光学系の実施例を説明するために使用する図である。It is a figure used in order to demonstrate the Example of the synthetic | combination optical system of multiple beams by this invention. 本発明による複数ビームの合成光学系の実施例を説明するために使用する図である。It is a figure used in order to demonstrate the Example of the synthetic | combination optical system of multiple beams by this invention. 本発明によるマスクステージ機構の実施例を説明するために使用する図である。It is a figure used in order to demonstrate the Example of the mask stage mechanism by this invention. 本発明によるマスクステージ機構の実施例を説明するために使用する図である。It is a figure used in order to demonstrate the Example of the mask stage mechanism by this invention. 本発明による矩形ビーム用精密アライメント装置と方法を説明するために使用する図である。FIG. 3 is a diagram used for explaining a rectangular beam precision alignment apparatus and method according to the present invention. 本発明を説明するために使用するアライメントマーク配置例を示した図である。It is the figure which showed the example of alignment mark arrangement | positioning used in order to demonstrate this invention. アライメントマークの配置を説明する斜視図である。It is a perspective view explaining arrangement | positioning of an alignment mark. 本発明の実施形態であるレーザアニーリング装置の構造を示す図である。It is a figure which shows the structure of the laser annealing apparatus which is embodiment of this invention.

符号の説明Explanation of symbols

5410 レーザ光源
5420 照射光学系
5421 ホモジナイザ
5422 マスク組立体
5522a マスク
5522b 反射部材
5522c フィールドレンズ
5423 投影レンズ
5525 反射ミラー
5526 ビームダンパ
5430 プロセスステージ装置
5440 マスクステージ装置
5450 プロセスチャンバ
5450a ウィンドウ
5465 架台
LS 矩形スリット
OA 光軸
SS 矩形スリット
W ワーク
5310 レーザ光源
5320 照射光学系
5320a ホモジナイザ
5320b マスク
5320c 投影レンズ
5330 プロセスステージ装置
5340 ステージ制御装置
5350 マスクステージ装置
5360 ステージ制御装置
5370 プロセスチャンバ
5380 ワークアライメントカメラ
5384 マスクアライメントカメラ
5386 ディスプレイ
53100 主制御装置
AL レーザ光
AM マスクアライメントマーク
W ワーク
5201 ステージ
5102 ベース
5202−1 支持台
5103 板ばね
5104−1〜5104−3 ベローズシリンダ
5105−1〜5105−3 変位センサ
4601 ベースプレート1
4702 ロータリエンコーダ
4703 クロスローラベアリング
4704−1 回転軸
4604 θ軸可動部
4605 θ軸駆動モータ
4706 Y軸リニアベアリング
4707 Y軸可動部
4608 Y軸リニアモータ
4709 Y軸リニアエンコーダ
4610 X軸可動部
4710−1 ボス
4611 リフト用エアベアリング
4612 X軸リニアエンコーダ
4713 X軸リニアモータ
4714 マスク
4615、4616 ヨーガイド用エアベアリング
4617 引っ張りバネ
4718 マグネット
4620 プリロード用のピストン
4301 ワーク
4302 ステージ
4403 トロリ
4304 水冷板
4306 X軸ベース
4307 X軸リニアベアリング
4408 X軸リニアモータ
4309 ステージベース
4410 X軸リニアエンコーダ
4314 Y軸ベース
4315、4320 Y軸リニアベアリング
4318、4323 Y軸リニアモータ
4316、4321 Y軸リニアエンコーダ
43100 真空チャンバ
3901 ガラス基板
3910 CVD装置
3920 レーザアニーリング装置
3921 プロセスチャンバ
3922 プロセスステージ
3923 透過窓
3924 架台
3925 レーザ照射系
3926 レーザ発振器
3927 反射ミラー
3928 マスクステージ
3929 レンズ光学系
3940 除振台
4242 エア式ダンパ
4244 コンプレッサ
4246 ピストン部
4247 第1のストッパ部材
4148 第2のストッパ部材
4149 位置検出器
3950 床
3960 基板搬送ロボット
3970 トランスファチャンバ
3971 ベローズ
42100 制御装置
3710 レーザ光源
3720 照射光学系
3730 ステージ
3740 ステージ駆動装置
3742 チルト装置
3744 並進装置
3750 移動量計測装置
3760 傾斜計測装置
3770 非接触変位計
3771 投光部
3772 受光部
3780 主制御装置
3790 チャンバ
DL 検査光
RL 反射光
T 計測ターゲット
W ワーク
θX,θY チルト角
3510 レーザ光源
3520 照射光学系
3530 ステージ
3540 ステージ駆動装置
3550 移動量計測装置
3560 投影光学系
3561a, 3561b 第1レンズ系
3562a, 3562b 第2レンズ系
3565 照明用ランプ
3571, 3572 第1及び第2撮像装置
3573, 3574 カメラ
3580 画像処理装置
3585 主制御装置
IL 像光
M1, M2 第1及び第2アライメントマーク
3310 レーザ光源
3320 照射光学系
3321 ホモジナイザ
3322 マスク組立体
3322a マスク
3322b 反射部材
3322c フィールドレンズ
3422d マスクホルダ本体
3422g 陥凹部
3323 投影レンズ
3425 固定ナット
3425g 陥凹部
3330 プロセスステージ装置
3340 マスクステージ装置
3340a 挿入口
3350 プロセスチャンバ
3350a ウィンドウ
3365 架台
3370 冶具
3470a 本体
3470b 支持部材
3470c ハンドル
3471 引掛け部材
AL レーザ光
TP1 テーパ外面
TP2 テーパ内面
W ワーク
3110 レーザ発生部
3111 第1レーザ発振装置
3112 第2レーザ発振装置
3113 発振制御装置
3120 レーザ照射処理部
3121 投影光学系
3122 ステージ
3123 ステージ駆動系
3130 主制御装置
3151 基準パルス発生回路
3152 ディレイ時間設定回路
3153 演算回路
3154 トリガパルス発生回路
3155 ディレイ時間検出回路
3161, 3162 フォトセンサ
3163,3164 アンプ
3170 光合成系
W 基板
3210 ステージ
3221, 3222 レーザ光源
3230 合成光学系
3231, 3232 ナイフエッジミラー
3240 照射光学系
3241 ホモジナイザ
3242 マスク
3243 投影レンズ
3250 マスク駆動装置
3260 ステージ駆動装置
3271 ダイバージェンス光学系
3272 テレスコープ光学系
3280 位置検出装置
32100 主制御装置
AB 線条ビーム
CL 合成光
LB1 第1ビーム
LB2 第2ビーム
W ワーク
7111 ガラス基板
7112 真空容器
7114 隔壁部
7115 プラズマ生成空間
7116 成膜処理空間
7117 基板保持機構
7120 電極
7123 導入パイプ
7124 内部空間
7125 貫通孔
7126 拡散孔
7127 均一板
7128 導入パイプ
7333 板状絶縁部材
7423 第2導入パイプ
7512 成膜用材料ガス供給装置
7513 マスフローコントローラ(MFC)
7514 上位コントローラ
5410 Laser light source 5420 Irradiation optical system 5421 Homogenizer 5422 Mask assembly 5522a Mask 5522b Reflective member 5522c Field lens 5423 Projection lens 5525 Reflection mirror 5526 Beam damper 5430 Process stage device 5440 Mask stage device 5450a Process chamber 5450a Window S slit A SS rectangular slit W work 5310 laser light source 5320 irradiation optical system 5320a homogenizer 5320b mask 5320c projection lens 5330 process stage device 5340 stage control device 5350 mask stage device 5360 stage control device 5370 process chamber 5380 work alignment camera 5384 mask alignment Camera 5386 Display 53100 Main controller AL Laser beam AM Mask alignment mark W Work 5201 Stage 5102 Base 5202-1 Support base 5103 Leaf spring 5104-1 to 5104-3 Bellows cylinder 5105-1 to 5105-3 Displacement sensor 4601 Base plate 1
4702 Rotary encoder 4703 Cross roller bearing 4704-1 Rotating shaft 4604 θ-axis movable part 4605 θ-axis drive motor 4706 Y-axis linear bearing 4707 Y-axis movable part 4608 Y-axis linear motor 4709 Y-axis linear encoder 4610 X-axis movable part 4710-1 Boss 4611 Lift air bearing 4612 X axis linear encoder 4713 X axis linear motor 4714 Mask 4615, 4616 Yaw guide air bearing 4617 Pull spring 4718 Magnet 4620 Preload piston 4301 Work 4302 Stage 4403 Trolley 4304 Water cooling plate 4306 X axis base 4307 X Axis linear bearing 4408 X axis linear motor 4309 Stage base 4410 X axis linear encoder 4314 Y-axis base 4315, 4320 Y-axis linear bearings 4318, 4323 Y-axis linear motor 4316, 4321 Y-axis linear encoder 43100 Vacuum chamber 3901 Glass substrate 3910 CVD device 3920 Laser annealing device 3921 Process chamber 3922 Process stage 3923 Transmission window 3924 Mounting platform 3925 Laser irradiation system 3926 Laser oscillator 3927 Reflection mirror 3928 Mask stage 3929 Lens optical system 3940 Vibration isolator 4242 Air damper 4244 Compressor 4246 Piston part 4247 First stopper member 4148 Second stopper member 4149 Position detector 3950 Floor 3960 Substrate conveyance Robot 3970 Transfer chamber 3971 Bellows 42100 Control equipment 3710 Laser light source 3720 Irradiation optical system 3730 Stage 3740 Stage driving device 3742 Tilt device 3744 Translation device 3750 Movement measuring device 3760 Tilt measuring device 3770 Non-contact displacement meter 3771 Light projecting unit 3772 Light receiving unit 3780 Main controller 3790 Chamber DL Inspection light RL Reflected light T Measurement target W Work θX, θY Tilt angle 3510 Laser light source 3520 Irradiation optical system 3530 Stage 3540 Stage driving device 3550 Movement amount measurement device 3560 Projection optical system 3561a, 3561b First lens system 3562a, 3562b Second lens system 3565 Illumination Lamps 3571, 3572 First and second imaging devices 3573, 3574 Camera 3580 Image processing device 3585 Main controller IL Image light M1, M2 First and second apertures Ment mark 3310 laser light source 3320 irradiation optical system 3321 homogenizer 3322 mask assembly 3322a mask 3322b reflecting member 3322c field lens 3422d mask holder body 3422g recessed portion 3323 projection lens 3425 fixing nut 3425g recessed portion 3330 process stage device 3340a mask stage device 3340 3350 Process chamber 3350a Window 3365 Base 3370 Jig 3470a Main body 3470b Support member 3470c Handle 3471 Hooking member AL Laser light TP1 Tapered outer surface TP2 Tapered inner surface W Work 3110 Laser generator 3111 First laser oscillation device 3112 Second laser oscillation device 3113 Apparatus 3120 Laser irradiation processing unit 312 Projection optical system 3122 Stage 3123 Stage drive system 3130 Main controller 3151 Reference pulse generation circuit 3152 Delay time setting circuit 3153 Arithmetic circuit 3154 Trigger pulse generation circuit 3155 Delay time detection circuit 3161, 3162 Photo sensor 3163, 3164 Amplifier 3170 Photosynthesis system W substrate 3210 Stage 3221, 3222 Laser light source 3230 Synthetic optical system 3231, 3232 Knife edge mirror 3240 Irradiation optical system 3241 Homogenizer 3242 Mask 3243 Projection lens 3250 Mask drive device 3260 Stage drive device 3271 Divergence optical system 3272 Telescope optical system 3280 Position detection device 3280 Main controller AB Line beam CL Composite light LB1 1st beam LB2 2nd beam W Work 7111 Glass substrate 7112 Vacuum container 7114 Bulkhead portion 7115 Plasma generation space 7116 Deposition processing space 7117 Substrate holding mechanism 7120 Electrode 7123 Introducing pipe 7124 Internal space 7125 Through hole 7126 Diffusion hole 7127 Uniform plate 7128 Introducing pipe 7333 Plate-like insulation Member 7423 Second introduction pipe 7512 Film material gas supply device 7513 Mass flow controller (MFC)
7514 Host controller

Claims (23)

光マスク上に形成した露光パターンを、基板ステージに保持された基板上の半導体薄膜に投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、光マスクまたは基板ステージを個別に駆動することにより、露光パターンを順次走査する機構を有することを特徴とする半導体薄膜形成装置。   In a semiconductor thin film forming device that modifies a predetermined area of a semiconductor thin film by projecting and exposing an exposure pattern formed on the optical mask onto a semiconductor thin film on the substrate held on the substrate stage, the optical mask or the substrate stage is individually A semiconductor thin film forming apparatus having a mechanism that sequentially scans an exposure pattern by being driven to a constant angle. 請求項1に記載の半導体薄膜形成装置において、露光パターンを半導体薄膜に投影露光する際の、露光パターンの半導体薄膜の前記所定の領域への焦点合わせを行う焦点合わせ機構を有することを特徴とする半導体薄膜形成装置。   2. The semiconductor thin film forming apparatus according to claim 1, further comprising a focusing mechanism for performing focusing of the exposure pattern on the predetermined region of the semiconductor thin film when the exposure pattern is projected onto the semiconductor thin film. Semiconductor thin film forming equipment. 請求項1に記載の半導体薄膜形成装置において、露光ビームの半導体薄膜に対する傾きを補正する傾き補正機構を有することを特徴とする半導体薄膜形成装置。   2. The semiconductor thin film forming apparatus according to claim 1, further comprising an inclination correction mechanism for correcting an inclination of the exposure beam with respect to the semiconductor thin film. 請求項1に記載の半導体薄膜形成装置において、半導体薄膜が堆積された基板上に形成されたマークに対し、露光ビームの位置合わせを行うアライメント機能を有することを特徴とする半導体薄膜形成装置。   2. The semiconductor thin film forming apparatus according to claim 1, further comprising an alignment function for aligning an exposure beam with respect to a mark formed on the substrate on which the semiconductor thin film is deposited. 請求項1に記載の半導体薄膜形成装置において、半導体薄膜が堆積された基板をステージ上に保持する機能を有することを特徴とする半導体薄膜形成装置。   2. The semiconductor thin film forming apparatus according to claim 1, wherein the semiconductor thin film forming apparatus has a function of holding a substrate on which a semiconductor thin film is deposited on a stage. 光マスク上に形成したパターンを半導体薄膜に露光ビームにより投影露光して、半導体薄膜の所定の領域を改質する半導体薄膜形成装置において、複数のレーザ光を前記露光ビームとして合成する合成機構を有することを特徴とする半導体薄膜形成装置。   A semiconductor thin film forming apparatus that projects a pattern formed on an optical mask onto a semiconductor thin film with an exposure beam and modifies a predetermined region of the semiconductor thin film, and has a combining mechanism that combines a plurality of laser beams as the exposure beam. A semiconductor thin film forming apparatus. 請求項6に記載の半導体薄膜形成装置において、前記複数のレーザ光が第1および第2のレーザ光であり、前記合成機構は、第1のレーザ光に対し第2のレーザ光が遅延して半導体薄膜に照射されるように、第1および第2のレーザ光を前記露光ビームとして合成することを特徴とする半導体薄膜形成装置。   7. The semiconductor thin film forming apparatus according to claim 6, wherein the plurality of laser beams are first and second laser beams, and the synthesizing mechanism is configured such that the second laser beam is delayed with respect to the first laser beam. A semiconductor thin film forming apparatus, wherein the first and second laser beams are combined as the exposure beam so as to irradiate the semiconductor thin film. 光マスク上に形成したパターンを基板上の半導体薄膜に投影露光して、半導体薄膜の所定の領域を改質するための処理室を有する半導体薄膜形成装置において、前記処理室は、別の処理室と、大気に暴露することなく、ベローズによって連結していることを特徴とする半導体薄膜形成装置。   In a semiconductor thin film forming apparatus having a processing chamber for projecting and exposing a pattern formed on an optical mask onto a semiconductor thin film on a substrate and modifying a predetermined region of the semiconductor thin film, the processing chamber is a separate processing chamber. And a semiconductor thin film forming apparatus characterized by being connected by a bellows without being exposed to the atmosphere. 光マスク上に形成したパターンを基板上の半導体薄膜に投影露光して、半導体薄膜の所定の領域を改質するための処理室を有する半導体薄膜形成装置において、前記処理室は、別の処理室と、大気に暴露することなく連結しており、かつ前記処理室は、除振装置を有することを特徴とする半導体薄膜形成装置。   In a semiconductor thin film forming apparatus having a processing chamber for projecting and exposing a pattern formed on an optical mask onto a semiconductor thin film on a substrate and modifying a predetermined region of the semiconductor thin film, the processing chamber is a separate processing chamber. A semiconductor thin film forming apparatus, wherein the processing chamber has a vibration isolator and is connected without being exposed to the atmosphere. 光マスク上に形成したパターンを基板上の半導体薄膜に投影露光して、半導体薄膜の所定の領域を改質するための処理室を有する半導体薄膜形成装置において、大気に暴露することなく別の処理室に基板を搬送する機構を有し、かつ前記処理室は、除振装置を有することを特徴とする半導体薄膜形成装置。   Projection exposure of a pattern formed on an optical mask onto a semiconductor thin film on a substrate, and a semiconductor thin film forming apparatus having a processing chamber for modifying a predetermined region of the semiconductor thin film without performing exposure to the atmosphere. An apparatus for forming a semiconductor thin film, comprising: a mechanism for transporting a substrate to a chamber; and the processing chamber includes a vibration isolation device. 請求項8〜10のいずれかに記載の半導体薄膜形成装置において、前記別の処理室が基板に絶縁膜を形成するための絶縁膜形成室であることを特徴とする半導体薄膜形成装置。   11. The semiconductor thin film forming apparatus according to claim 8, wherein the another processing chamber is an insulating film forming chamber for forming an insulating film on a substrate. 請求項8〜10のいずれかに記載の半導体薄膜形成装置において、前記別の処理室が基板に半導体膜を形成するための半導体膜形成室であることを特徴とする半導体薄膜形成装置。   11. The semiconductor thin film forming apparatus according to claim 8, wherein the another processing chamber is a semiconductor film forming chamber for forming a semiconductor film on a substrate. 請求項8〜10のいずれかに記載の半導体薄膜形成装置において、前記別の処理室が基板に加熱処理を施すための加熱処理室であることを特徴とする半導体薄膜形成装置。   11. The semiconductor thin film forming apparatus according to claim 8, wherein the another processing chamber is a heat treatment chamber for performing heat treatment on the substrate. 請求項8〜10のいずれかに記載の半導体薄膜形成装置において、前記別の処理室が基板にプラズマ処理を施すためのプラズマ処理室であることを特徴とする半導体薄膜形成装置。   11. The semiconductor thin film forming apparatus according to claim 8, wherein the another processing chamber is a plasma processing chamber for performing plasma processing on a substrate. 請求項8〜10のいずれかに記載の半導体薄膜形成装置において、前記処理室が、前記光マスク上に形成したパターンを前記基板上の半導体薄膜にレーザビームにより投影露光して、半導体薄膜の前記所定の領域を改質するためのレーザ処理室であり、前記別の処理室がもう一つのレーザ処理室であることを特徴とする半導体薄膜形成装置。   11. The semiconductor thin film forming apparatus according to claim 8, wherein the processing chamber projects and exposes a pattern formed on the optical mask onto the semiconductor thin film on the substrate with a laser beam. An apparatus for forming a semiconductor thin film, which is a laser processing chamber for modifying a predetermined region, and the other processing chamber is another laser processing chamber. 請求項8〜10のいずれかに記載の半導体薄膜形成装置において、前記別の処理室は、該別の処理室内の所定の領域にプラズマを発生させるためのプラズマ発生源を有し、前記別の処理室内の前記所定の領域外の領域に基板が配置されることを特徴とする半導体薄膜形成装置。   11. The semiconductor thin film forming apparatus according to claim 8, wherein the another processing chamber has a plasma generation source for generating plasma in a predetermined region in the other processing chamber. A semiconductor thin film forming apparatus, wherein a substrate is disposed in a region outside the predetermined region in a processing chamber. 請求項14に記載の半導体薄膜形成装置において、前記別の処理室は、該別の処理室内の所定の領域にプラズマを発生させるためのプラズマ発生源を有し、前記別の処理室は、前記所定の領域の前記プラズマにより励起されたガスと、前記所定の領域を介さずに前記別の処理室内に導入される別のガスとを反応させることにより、前記基板に前記プラズマ処理を施すものであることを特徴とする半導体薄膜形成装置。   15. The semiconductor thin film forming apparatus according to claim 14, wherein the another processing chamber has a plasma generation source for generating plasma in a predetermined region in the other processing chamber, and the another processing chamber includes the plasma processing source. The substrate is subjected to the plasma treatment by reacting a gas excited by the plasma in a predetermined region with another gas introduced into the other processing chamber without passing through the predetermined region. There is provided a semiconductor thin film forming apparatus. 請求項10に記載の半導体薄膜形成装置において、前記別の処理室(図43の8103)にガスを導入しつつ、該別の処理室につながれている前記処理室(8101)の圧力よりは低い圧力に該別の処理室内の圧力を維持することを特徴とする半導体薄膜形成装置。   11. The semiconductor thin film forming apparatus according to claim 10, wherein a gas is introduced into the other processing chamber (8103 in FIG. 43) and is lower than the pressure of the processing chamber (8101) connected to the other processing chamber. A semiconductor thin film forming apparatus characterized in that the pressure in the other processing chamber is maintained at a pressure. 請求項18に記載の半導体薄膜形成装置において、上記ガスは、前記処理室での成膜に悪影響を与えないガスであることを特徴とする半導体薄膜形成装置。   19. The semiconductor thin film forming apparatus according to claim 18, wherein the gas is a gas that does not adversely affect film formation in the processing chamber. 請求項19に記載の半導体薄膜形成装置において、上記成膜に悪影響を与えないガスは、水素であることを特徴とする半導体薄膜形成装置。   20. The semiconductor thin film forming apparatus according to claim 19, wherein the gas that does not adversely affect the film formation is hydrogen. 請求項10に記載の半導体薄膜形成装置において、前記別の処理室(図43の8103)内に、前記半導体薄膜の所定の領域を改質する作用がある化学種を改質種として供給する改質種供給部が設けられていること特徴をする半導体薄膜形成装置。   11. The semiconductor thin film forming apparatus according to claim 10, wherein a chemical species having an action of modifying a predetermined region of the semiconductor thin film is supplied as a modified species into the another processing chamber (8103 in FIG. 43). A semiconductor thin film forming apparatus characterized in that a species supply section is provided. 請求項21に記載の半導体薄膜形成装置において、上記改質種供給部は、相互ガス拡散係数をD,貫通孔の最小径部分の長さをL,貫通孔内でのガス流速をuとすると、uL/D>1(uはm/sec、Lはm、Dはm/sec)が満たされているような複数の貫通孔のみにより前記処理室と前記別の処理室が繋がっていることを特徴とすることを特徴とする半導体薄膜形成装置。 23. The semiconductor thin film forming apparatus according to claim 21, wherein the modified species supply unit has a mutual gas diffusion coefficient as D, a length of a minimum diameter portion of the through hole as L, and a gas flow rate in the through hole as u. , UL / D> 1 (u is m / sec, L is m, D is m 2 / sec), and the processing chamber and the other processing chamber are connected only by a plurality of through holes. A semiconductor thin film forming apparatus characterized by the above. 請求項21に記載の半導体薄膜形成装置において、上記化学種は、水素活性種であることを特徴とする半導体薄膜形成装置。
24. The semiconductor thin film forming apparatus according to claim 21, wherein the chemical species is a hydrogen active species.
JP2006228727A 2006-08-25 2006-08-25 Device for forming semiconductor thin film Pending JP2007019529A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006228727A JP2007019529A (en) 2006-08-25 2006-08-25 Device for forming semiconductor thin film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006228727A JP2007019529A (en) 2006-08-25 2006-08-25 Device for forming semiconductor thin film

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003140227A Division JP4215563B2 (en) 2003-05-19 2003-05-19 Semiconductor thin film modification method

Publications (1)

Publication Number Publication Date
JP2007019529A true JP2007019529A (en) 2007-01-25

Family

ID=37756342

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006228727A Pending JP2007019529A (en) 2006-08-25 2006-08-25 Device for forming semiconductor thin film

Country Status (1)

Country Link
JP (1) JP2007019529A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102528289A (en) * 2010-11-04 2012-07-04 株式会社迪思科 Laser processing apparatus
WO2014148182A1 (en) * 2013-03-21 2014-09-25 株式会社日本製鋼所 Annealed semiconductor substrate manufacturing method, scanning device, and laser processing device

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5783745U (en) * 1980-11-07 1982-05-24
JPS57181537A (en) * 1981-05-01 1982-11-09 Agency Of Ind Science & Technol Light pattern projector
JPS60206019A (en) * 1984-02-27 1985-10-17 シーメンス、アクチエンゲゼルシヤフト Method and device for forming layer by light decomposing andprecipitating
JPS63113A (en) * 1986-06-19 1988-01-05 Nec Corp Device for forming thin film
JPH01123071A (en) * 1987-11-06 1989-05-16 Fujitsu Ltd Method and apparatus for producing thin film
JPH01259530A (en) * 1988-04-11 1989-10-17 Tokyo Electron Ltd Processing apparatus
JPH06260434A (en) * 1993-03-04 1994-09-16 Nissin Electric Co Ltd Plasma cvd device
JPH0778759A (en) * 1992-12-04 1995-03-20 Semiconductor Energy Lab Co Ltd Method and device of manufacturing semiconductor material
JPH0799321A (en) * 1993-05-27 1995-04-11 Sony Corp Method and device for manufacturing thin-film semiconductor element
JPH09246181A (en) * 1996-03-04 1997-09-19 Matsushita Electric Ind Co Ltd Method for forming polycrystal thin film, polycrystal thin film formation device and method for manufacturing thin film transistor
JPH1041513A (en) * 1996-07-24 1998-02-13 Toshiba Electron Eng Corp Method and device for manufacture of semiconductor element
JPH1098007A (en) * 1996-09-19 1998-04-14 Sony Corp Heating-type laser treatment device
JP2000345349A (en) * 1999-06-04 2000-12-12 Anelva Corp Cvd device
JP2000349043A (en) * 1999-06-08 2000-12-15 Sumitomo Heavy Ind Ltd Precise focusing method for rectangular beam
JP2000349040A (en) * 1999-06-07 2000-12-15 Sumitomo Heavy Ind Ltd Vibration-absorbing device for compound machine

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5783745U (en) * 1980-11-07 1982-05-24
JPS57181537A (en) * 1981-05-01 1982-11-09 Agency Of Ind Science & Technol Light pattern projector
JPS60206019A (en) * 1984-02-27 1985-10-17 シーメンス、アクチエンゲゼルシヤフト Method and device for forming layer by light decomposing andprecipitating
JPS63113A (en) * 1986-06-19 1988-01-05 Nec Corp Device for forming thin film
JPH01123071A (en) * 1987-11-06 1989-05-16 Fujitsu Ltd Method and apparatus for producing thin film
JPH01259530A (en) * 1988-04-11 1989-10-17 Tokyo Electron Ltd Processing apparatus
JPH0778759A (en) * 1992-12-04 1995-03-20 Semiconductor Energy Lab Co Ltd Method and device of manufacturing semiconductor material
JPH06260434A (en) * 1993-03-04 1994-09-16 Nissin Electric Co Ltd Plasma cvd device
JPH0799321A (en) * 1993-05-27 1995-04-11 Sony Corp Method and device for manufacturing thin-film semiconductor element
JPH09246181A (en) * 1996-03-04 1997-09-19 Matsushita Electric Ind Co Ltd Method for forming polycrystal thin film, polycrystal thin film formation device and method for manufacturing thin film transistor
JPH1041513A (en) * 1996-07-24 1998-02-13 Toshiba Electron Eng Corp Method and device for manufacture of semiconductor element
JPH1098007A (en) * 1996-09-19 1998-04-14 Sony Corp Heating-type laser treatment device
JP2000345349A (en) * 1999-06-04 2000-12-12 Anelva Corp Cvd device
JP2000349040A (en) * 1999-06-07 2000-12-15 Sumitomo Heavy Ind Ltd Vibration-absorbing device for compound machine
JP2000349043A (en) * 1999-06-08 2000-12-15 Sumitomo Heavy Ind Ltd Precise focusing method for rectangular beam

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102528289A (en) * 2010-11-04 2012-07-04 株式会社迪思科 Laser processing apparatus
WO2014148182A1 (en) * 2013-03-21 2014-09-25 株式会社日本製鋼所 Annealed semiconductor substrate manufacturing method, scanning device, and laser processing device
JP2014187066A (en) * 2013-03-21 2014-10-02 Japan Steel Works Ltd:The Annealed semiconductor substrate manufacturing method, scanning device, and laser processing device

Similar Documents

Publication Publication Date Title
KR100499961B1 (en) Semiconductor thin film forming system
KR100383419B1 (en) Method for forming semiconductor films at desired positions on a substrate
US8710507B2 (en) Semiconductor thin film, thin film transistor, method for manufacturing same, and manufacturing equipment of semiconductor thin film
KR100534501B1 (en) Method for processing thin film and apparatus for processing thin film
KR100382868B1 (en) Apparatus for heating semiconducting thin layer
JP3393469B2 (en) Thin film semiconductor device manufacturing method and thin film semiconductor forming apparatus
JP2001319891A (en) Method and apparatus for processing thin film
US6863733B1 (en) Apparatus for fabricating thin-film semiconductor device
JP4215563B2 (en) Semiconductor thin film modification method
JP4322373B2 (en) Film body part reforming apparatus and film body part reforming method
JP2007019529A (en) Device for forming semiconductor thin film
JP4900128B2 (en) Semiconductor thin film modification method
JP2004006840A (en) Semiconductor thin film

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061006

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100804

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100805

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101215