JP2006278836A - Etching method, etching apparatus, computer program, and computer memory medium - Google Patents

Etching method, etching apparatus, computer program, and computer memory medium Download PDF

Info

Publication number
JP2006278836A
JP2006278836A JP2005097351A JP2005097351A JP2006278836A JP 2006278836 A JP2006278836 A JP 2006278836A JP 2005097351 A JP2005097351 A JP 2005097351A JP 2005097351 A JP2005097351 A JP 2005097351A JP 2006278836 A JP2006278836 A JP 2006278836A
Authority
JP
Japan
Prior art keywords
etching
film
gas
silicon nitride
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005097351A
Other languages
Japanese (ja)
Other versions
JP4509842B2 (en
Inventor
Shinya Morikita
信也 森北
Junji Kawabata
淳史 川端
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005097351A priority Critical patent/JP4509842B2/en
Priority to US11/392,823 priority patent/US7387743B2/en
Publication of JP2006278836A publication Critical patent/JP2006278836A/en
Application granted granted Critical
Publication of JP4509842B2 publication Critical patent/JP4509842B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for suppressing the damage of a silicon nitride film when etching the silicon nitride film whose underlayer is a silicon oxide film by using a hard mask having silicon oxide as its main component. <P>SOLUTION: In the method for suppressing the damage of a silicon nitride film; etching is so performed as to include a process for forming a mask pattern in a hard mask by using a resist film as a mask, a process for ashing the resist film, a process for oxidizing the hard mask, a process for performing the over-etching of a silicon nitride film in a high selecting ratio of the silicon nitride film to a silicon oxide film, and a process for performing the main etching of the silicon nitride film before its over-etching and after the process for forming a mask pattern in a hard mask in a smaller selecting ratio than its selecting ratio used when performing its over-etching. In the case of using such a method, the oxide film formed in the hard mask so plays the role of a protective layer when performing the over-etching of the silicon nitride film as to suppress the damage of the silicon nitride film by preventing the generation of its pitting. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明はエッチング方法に関し、更に詳しくは酸化シリコン膜を下地とした窒化シリコン膜を、酸化シリコンを主成分とするハードマスクをマスクとしてエッチングするエッチング方法及びエッチング装置に関し、またその方法を実行するためのコンピュータプログラム及びコンピュータ記憶媒体に関する。   The present invention relates to an etching method, and more particularly, to an etching method and an etching apparatus for etching a silicon nitride film with a silicon oxide film as a base using a hard mask containing silicon oxide as a main component as a mask, and to execute the method. The present invention relates to a computer program and a computer storage medium.

半導体デバイスの高集積化及び薄膜化を進めるために膜種や構造について種々検討されており、例えばCMOSのゲート構造についても新しい構造が提案されている。この背景には従来のCMOSのゲート構造においては、シリコン膜の上にゲート絶縁膜を形成しており、このゲート絶縁膜の薄膜化が進められてきたがこの薄膜化が進むとリーク電流が大きくなることから、従来のゲート構造については限界にきているという事情がある。   Various studies have been made on film types and structures in order to promote high integration and thinning of semiconductor devices. For example, a new structure has been proposed for a gate structure of a CMOS. In this background, in a conventional CMOS gate structure, a gate insulating film is formed on a silicon film, and thinning of the gate insulating film has been promoted. However, as this thinning proceeds, leakage current increases. Therefore, there is a situation that the conventional gate structure has reached its limit.

新しいCMOSのゲート構造として例えば3次元ゲート構造と呼ばれるものがある。この構造によれば微細で、かつ立体的形状を有するゲート電極を形成する必要がある。また材料面では、従来の多結晶シリコン電極に替えて、メタルゲート電極の検討が進められており、次世代の半導体素子作成プロセスでは、かなり複雑なプロセスが要求される。   As a new CMOS gate structure, there is a so-called three-dimensional gate structure, for example. According to this structure, it is necessary to form a fine and three-dimensional gate electrode. In terms of materials, metal gate electrodes are being studied in place of conventional polycrystalline silicon electrodes, and the next-generation semiconductor element fabrication process requires a rather complicated process.

これらのプロセスの一つとして、下地が酸化シリコン(SiO)膜である窒化シリコン(SiN)膜をエッチングするプロセスが検討されており、エッチングにより形成された窒化シリコン膜のパターンは、後のゲート電極形成に使用されることになる。
ところで一般にはある種の膜をエッチングする場合、基板表面のエッチング速度は完全には均一ではなく、特に中心部と周縁部との間でエッチング速度を揃えることが困難であることから、膜をエッチングして下地膜が露出した後もエッチングが継続され、このエッチングはオーバエッチングと呼ばれる。通常オーバエッチングする場合には、下地膜に対して高選択比が確保される必要があるが、この選択比としては下地膜に対して高くてもせいぜい7から10ぐらいである。
As one of these processes, a process of etching a silicon nitride (SiN) film whose base is a silicon oxide (SiO 2 ) film has been studied, and the pattern of the silicon nitride film formed by the etching is a gate after It will be used for electrode formation.
By the way, in general, when etching a certain type of film, the etching rate of the substrate surface is not completely uniform, and it is difficult to make the etching rate uniform between the central part and the peripheral part. Etching is continued after the underlying film is exposed, and this etching is called over-etching. In the case of normal over-etching, it is necessary to ensure a high selection ratio with respect to the base film, but this selection ratio is about 7 to 10 at most with respect to the base film.

しかしここで検討している半導体素子構造においては、下地膜である酸化シリコン膜は例えば5nmと極めて薄く、このような場合にはオーバエッチングを行うために例えば20から40程度もの高選択比が要求される。特許文献1には酸化シリコン膜をマスクとして窒化シリコン膜をエッチングする際に酸化シリコン膜に対する窒化シリコン膜の選択比を高くするために、エッチングガスとしてCHFガスとOガスとを含み、CHFガスに対するOガスの混合比(O/CHF)を4〜9とした混合ガスを用いてエッチングを行う手法が開示されている。従って下地が酸化シリコン膜である窒化シリコン膜をエッチングするプロセスにおいて、オーバエッチング時にこの混合ガスを用いれば、下地の酸化シリコン膜が薄くても、当該下地膜の膜減りを抑えながら窒化シリコン膜をエッチングすることができる。 However, in the semiconductor element structure studied here, the silicon oxide film as the base film is extremely thin, for example, 5 nm. In such a case, a high selection ratio of, for example, about 20 to 40 is required to perform overetching. Is done. Patent Document 1 includes CH 3 F gas and O 2 gas as etching gases in order to increase the selectivity of the silicon nitride film to the silicon oxide film when the silicon nitride film is etched using the silicon oxide film as a mask. A technique is disclosed in which etching is performed using a mixed gas in which the mixing ratio of O 2 gas to CH 3 F gas (O 2 / CH 3 F) is 4 to 9. Therefore, in the process of etching a silicon nitride film whose base is a silicon oxide film, if this mixed gas is used during over-etching, the silicon nitride film can be formed while suppressing the reduction of the base film even if the base silicon oxide film is thin. It can be etched.

ところが前記混合ガスを用いる場合、以下のような問題が発生する。図10(a)は、既述の窒化シリコン膜をエッチングする前の積層体を示す図であり、図中11はシリコン(Si)膜、12は酸化シリコン膜、13は窒化シリコン膜、14はハードマスクである窒素含有酸化シリコン(SiON)膜、15はレジスト膜であり、16はレジスト膜15に形成されたレジストパターンである。この積層体において、一般的なエッチングを行うとすると、レジスト膜15をマスクとしてSiON膜14をエッチングし、さらにレジスト膜15をアッシングして除去し、その後に前記特許文献1に記載の混合ガスによってSiON膜14をマスクとしてエッチングを行うことになる。
しかし、ここで既述のように酸化シリコン膜12に対して極めて高い選択比を持ったガスによりエッチングを行うということは、窒化シリコン膜13に対するエッチング作用が大きいということであり、そしてこの窒化シリコン膜13とハードマスクを構成するSiON膜14とは材質が似ていることから、前記混合ガスはSiON膜14にも局所的にダメージを与えることになる。そして前記SiON膜14は例えば50nmと薄く、また当該SiON膜14がスペックに見合った面内均一性が確保されていても完全に均一ではないことから、SiON膜14に孔18が穿孔されてしまう。この点は実験で確認している。この孔18が穿孔される現象を突き抜け現象(ピティング)と呼ぶことにすると、ピティングが発生するとこの孔18を介して窒化シリコン膜13の表面がダメージを受け、後工程に影響を及ぼす。
However, when the mixed gas is used, the following problems occur. FIG. 10A is a view showing a stacked body before etching the above-described silicon nitride film, in which 11 is a silicon (Si) film, 12 is a silicon oxide film, 13 is a silicon nitride film, and 14 is A nitrogen-containing silicon oxide (SiON) film as a hard mask, 15 is a resist film, and 16 is a resist pattern formed on the resist film 15. If general etching is performed on this laminate, the SiON film 14 is etched using the resist film 15 as a mask, and the resist film 15 is removed by ashing, and then the mixed gas described in Patent Document 1 is used. Etching is performed using the SiON film 14 as a mask.
However, as described above, etching with a gas having an extremely high selectivity with respect to the silicon oxide film 12 means that the etching action on the silicon nitride film 13 is large, and this silicon nitride. Since the material of the film 13 and the SiON film 14 constituting the hard mask are similar, the mixed gas locally damages the SiON film 14. The SiON film 14 is as thin as 50 nm, for example, and even if the in-plane uniformity corresponding to the specifications is ensured, the SiON film 14 is not completely uniform, so that holes 18 are drilled in the SiON film 14. . This point has been confirmed by experiments. If the phenomenon in which the hole 18 is perforated is called a punching phenomenon (pitting), the surface of the silicon nitride film 13 is damaged through the hole 18 when the pitting occurs, which affects the subsequent process.

そこで窒化シリコン膜13をエッチングする際にレジスト膜15をアッシングせずに残しておくことによりSiON膜14を保護することも考えられるが、前記混合ガスは酸素を多く含んでいるため、窒化シリコン膜をエッチングする際にこのレジスト膜15のアッシングも進行するので、SiON膜14を保護しようとすると当該レジスト膜15の膜厚は大きくしなければならず、そうするとエッチング形状が悪化する。即ちエッチング形状をを良好にするためには、レジスト膜15を薄くする要請がある。このような事情から上述のエッチングを行うにあたり、窒化シリコン膜を損傷するおそれのないエッチング方法が求められている。
なお特許文献2には、窒化シリコンのハードマスクを形成する手法として、窒化シリコン層の上にある反射防止膜を、その上のレジストマスクのアッシング時における酸素プラズマにより酸化してこれを保護層とすることで、窒化シリコン層を薄くできる技術が記載されているが、本発明の課題を解決できる技術ではない。
特開2003−229418号公報 特開2000−269220号公報
Therefore, it is conceivable to protect the SiON film 14 by leaving the resist film 15 without ashing when the silicon nitride film 13 is etched. However, since the mixed gas contains a large amount of oxygen, the silicon nitride film Since the ashing of the resist film 15 also proceeds during etching, the thickness of the resist film 15 must be increased if the SiON film 14 is to be protected. That is, in order to improve the etching shape, there is a demand for reducing the thickness of the resist film 15. Under such circumstances, an etching method that does not damage the silicon nitride film is required in performing the above-described etching.
In Patent Document 2, as a method of forming a hard mask of silicon nitride, an antireflection film on the silicon nitride layer is oxidized by oxygen plasma at the time of ashing the resist mask, and this is used as a protective layer. Thus, although a technique capable of thinning the silicon nitride layer is described, it is not a technique that can solve the problems of the present invention.
JP 2003-229418 A JP 2000-269220 A

本発明はこのような問題を解決するためになされたものであって、その目的は酸化シリコン膜を下地とした窒化シリコン膜を、酸化シリコンを主成分とするハードマスクをマスクとしてエッチングするにあたり、窒化シリコン膜を損傷するおそれのない技術を提供することにある   The present invention has been made to solve such a problem, and its purpose is to etch a silicon nitride film based on a silicon oxide film using a hard mask mainly composed of silicon oxide as a mask. It is to provide a technique that does not cause damage to the silicon nitride film.

本発明のエッチング方法は、酸化シリコン膜を下地とした窒化シリコン膜が、酸化シリコンを主成分とするハードマスクに覆われ、前記ハードマスク上に、パターンが形成されたレジスト膜が成膜された積層体について、窒化シリコン膜をエッチングするエッチング方法において、レジスト膜をマスクとしてハードマスクをエッチングし、ハードマスクにマスクパターンを形成する工程と、レジスト膜をアッシングする工程と、ハードマスクの表面部を前記アッシングとは異なる条件で酸化する酸化工程と、窒化シリコン膜のパターンの底部に露出する下地の酸化シリコン膜のエッチングが十分抑えられる程度の、酸化シリコン膜に対する窒化シリコン膜の高い選択比で、窒化シリコン膜をオーバエッチングするオーバエッチング工程と、前記ハードマスクにマスクパターンを形成する工程の後、前記オーバエッチング工程の前に行われ、窒化シリコン膜をオーバエッチングする時の前記選択比よりも小さい選択比で、当該窒化シリコン膜をエッチングするメインエッチング工程と、を含むことを特徴とする。なお本発明において、ハードマスクの表面部を酸化するとは、ハードマスクの裏面まで酸化せずに厚さ方向の一部を酸化するという意味であり、一般的にいう表面のみを酸化することだけにとどまるものではない。 According to the etching method of the present invention, a silicon nitride film having a silicon oxide film as a base is covered with a hard mask mainly composed of silicon oxide, and a resist film having a pattern is formed on the hard mask. In the etching method for etching a silicon nitride film for a stacked body, a step of etching a hard mask using a resist film as a mask, forming a mask pattern on the hard mask, a step of ashing the resist film, and a surface portion of the hard mask With a high selection ratio of the silicon nitride film to the silicon oxide film, the oxidation step for oxidizing under conditions different from the ashing and the etching of the underlying silicon oxide film exposed at the bottom of the silicon nitride film pattern are sufficiently suppressed, Overetching process for overetching silicon nitride film and Main etching is performed after the step of forming a mask pattern on the hard mask and before the over-etching step, and etching the silicon nitride film with a selectivity smaller than the selectivity when over-etching the silicon nitride film. And a process. In the present invention, oxidizing the surface portion of the hard mask means oxidizing a portion in the thickness direction without oxidizing the back surface of the hard mask, and only oxidizing the surface in general. It does not stay.

前記メインエッチング工程は、例えばレジスト膜をアッシングする工程の後、前記酸化工程の前に行われる。また前記メインエッチング工程は、ハードマスクにマスクパターンを形成する工程の後、レジスト膜をアッシングする工程の前に行われる。また前記酸化工程は、酸素ガスをプラズマ化したプラズマにより行われてもよい。さらに前記オーバエッチング工程は、例えば炭素、フッ素及び水素を含むガスと、酸素ガスとを含んだ混合ガスをプラズマ化したプラズマにより行われてもよい。   The main etching step is performed, for example, after the step of ashing the resist film and before the oxidation step. The main etching step is performed after the step of forming the mask pattern on the hard mask and before the step of ashing the resist film. The oxidation step may be performed by plasma obtained by converting oxygen gas into plasma. Further, the over-etching step may be performed by plasma obtained by converting a mixed gas containing, for example, a gas containing carbon, fluorine and hydrogen, and an oxygen gas into plasma.

この積層体において前記ハードマスクの厚さは例えば50nm以下であり、前記酸化シリコン膜の厚さは例えば5nm以下であり、また窒化シリコン膜の厚さは例えば50nm以上である。   In this laminated body, the thickness of the hard mask is, for example, 50 nm or less, the thickness of the silicon oxide film is, for example, 5 nm or less, and the thickness of the silicon nitride film, for example, is 50 nm or more.

本発明のエッチング装置は基板が載置される載置台を備えた気密な処理容器と、処理容器内に処理ガスを供給する手段と、処理容器内の圧力を調整する手段と、処理容器内のガスをプラズマ化する手段とを備え、処理ガスをプラズマ化したプラズマにより基板に対してエッチングを行う装置において、既述のエッチング方法を実施するように、各手段を制御する制御部を設けたことを特徴とする。   An etching apparatus according to the present invention includes an airtight processing container provided with a mounting table on which a substrate is mounted, means for supplying a processing gas into the processing container, means for adjusting the pressure in the processing container, And a control unit for controlling each means so as to carry out the above-described etching method in an apparatus for etching a substrate with plasma obtained by converting the processing gas into plasma. It is characterized by.

また本発明のコンピュータプログラムは、処理容器内に処理ガスを導入して、基板に対してエッチングを行う装置に用いられ、コンピュータ上で動作するコンピュータプログラムであって、既述のエッチング方法を実施するようにステップが組まれていることを特徴とする。さらに本発明のコンピュータ記憶媒体は上述のコンピュータプログラムが記憶されていることを特徴とする。 The computer program of the present invention is a computer program that operates on a computer and is used in an apparatus that introduces a processing gas into a processing container and performs etching on a substrate, and performs the above-described etching method. The steps are organized as follows. Further, the computer storage medium of the present invention stores the above-described computer program.

本発明は、酸化シリコン膜を下地としかつ酸化シリコンを主成分とするハードマスクに覆われた窒化シリコン膜をエッチングするにあたり、レジスト膜をアッシングした後、予めハードマスクの表面部を前記アッシングとは異なる条件で酸化しておいて、窒化シリコン膜を下地の酸化シリコン膜に対する高い選択比でオーバエッチングするようにしている。従ってハードマスクの表面部に形成された酸化膜がオーバエッチングの際のハードマスクの保護層の役割を果たすため、ハードマスクに対するダメージが抑えられ、ピティングの発生が防がれる。その結果、窒化シリコン膜の表面がダメージを受けることなく、良好なエッチングを実現することができる。
そして下地膜である酸化シリコン膜が例えば5nm以下と極薄膜であり、またエッチングの対象である窒化シリコン膜の膜厚が下地膜に比べて十分厚い場合には、オーバエッチング時における下地の酸化シリコン膜に対する窒化シリコンの選択比はかなり高い選択比とする必要があり、そうするとハードマスクに対するエッチング作用も大きくなることから、ハードマスクが薄い場合には、特に有効な手法であるといえる。
In the present invention, in etching a silicon nitride film having a silicon oxide film as a base and covered with a hard mask containing silicon oxide as a main component, the ashing is performed on the surface portion of the hard mask in advance after ashing the resist film. Oxidation is performed under different conditions, and the silicon nitride film is over-etched with a high selectivity with respect to the underlying silicon oxide film. Therefore, since the oxide film formed on the surface of the hard mask serves as a protective layer for the hard mask during overetching, damage to the hard mask is suppressed and the occurrence of pitting is prevented. As a result, good etching can be realized without damaging the surface of the silicon nitride film.
If the silicon oxide film as the base film is very thin, for example, 5 nm or less, and the silicon nitride film to be etched is sufficiently thicker than the base film, the silicon oxide as the base during overetching The selection ratio of silicon nitride to the film needs to be quite high, and the etching action on the hard mask increases accordingly, so that it can be said that this method is particularly effective when the hard mask is thin.

以下、本発明におけるエッチング方法について説明するが、先ず当該方法を実施するために用いられるエッチング装置の一例について図1を用いて説明する。図1に示したエッチング装置2は表面がアルマイト加工され、例えば内部が密閉空間となっている処理室21と、この処理室21内の底面中央に配設された載置台3と、載置台3の上方に当該載置台3と対向するように設けられた上部電極4とを備えている。   Hereinafter, an etching method according to the present invention will be described. First, an example of an etching apparatus used for carrying out the method will be described with reference to FIG. The etching apparatus 2 shown in FIG. 1 has a processing surface 21 whose surface is anodized, for example, a sealed space inside, a mounting table 3 disposed in the center of the bottom surface in the processing chamber 21, and a mounting table 3. And an upper electrode 4 provided to face the mounting table 3.

前記処理室21は電気的に接地されており、また処理室21の底面の排気口22には排気装置23が配管24を介して接続されている。この排気装置23には圧力調整部(不図示)が含まれており、当該圧力調整部が後述の制御部2Aからの制御信号を受けることで、その信号に従い排気装置23が処理室21内を真空排気して処理室21内が所望の真空度に維持されるように構成されている。なお図1において、25は処理室21の側壁に形成された被処理体の搬送口であり、この搬送口25はゲートバルブ26により開閉自在に構成されている。   The processing chamber 21 is electrically grounded, and an exhaust device 23 is connected to an exhaust port 22 on the bottom surface of the processing chamber 21 via a pipe 24. The exhaust device 23 includes a pressure adjusting unit (not shown). When the pressure adjusting unit receives a control signal from the control unit 2A described later, the exhaust device 23 moves inside the processing chamber 21 in accordance with the signal. The processing chamber 21 is maintained at a desired degree of vacuum by evacuation. In FIG. 1, reference numeral 25 denotes a transfer port for an object to be processed formed on the side wall of the processing chamber 21, and the transfer port 25 is configured to be opened and closed by a gate valve 26.

載置台3は、下部電極31と、この下部電極31を下方から支持する支持体32とからなり、処理室21の底面に絶縁部材33を介して配設されている。載置台3の上部には静電チャック34が設けられ、当該静電チャック34を介して載置台3上にウエハWが載置される。静電チャック34は絶縁材料により構成され、この静電チャック34の内部には高圧直流電源35に接続された電極板36が設けられている。当該高圧直流電源35から電極板36に電圧が印加されることによって静電チャック34表面に静電気が発生する結果、当該静電チャック34は載置されたウエハWを静電吸着できるように構成されている。静電チャック34には後述するバックサイドガスを当該静電チャック34の上部に放出するための貫通孔34aが設けられている。   The mounting table 3 includes a lower electrode 31 and a support 32 that supports the lower electrode 31 from below. The mounting table 3 is disposed on the bottom surface of the processing chamber 21 via an insulating member 33. An electrostatic chuck 34 is provided on the mounting table 3, and the wafer W is mounted on the mounting table 3 via the electrostatic chuck 34. The electrostatic chuck 34 is made of an insulating material, and an electrode plate 36 connected to a high-voltage DC power source 35 is provided inside the electrostatic chuck 34. When a voltage is applied to the electrode plate 36 from the high-voltage DC power source 35, static electricity is generated on the surface of the electrostatic chuck 34. As a result, the electrostatic chuck 34 is configured to electrostatically attract the wafer W placed thereon. ing. The electrostatic chuck 34 is provided with a through hole 34 a for releasing a backside gas described later to the upper portion of the electrostatic chuck 34.

載置台3内には所定の冷媒(例えば、従来公知のフッ素系流体、水等)が通る冷媒流路37が形成されており、冷媒が当該冷媒流路37を流れることで載置台3が冷却され、この載置台3を介して当該載置台3上に載置された被処理体が所望の温度に冷却されるように構成されている。また下部電極31には温度センサ(図示せず)が装着され、当該温度センサを介して下部電極31上の被処理体の温度が常時監視されている。
また載置台3の内部にはHe(ヘリウム)ガス等の熱伝導性ガスをバックサイドガスとして供給するガス流路38が形成されており、当該ガス流路38は載置台3の上面の複数箇所で開口している。これらの開口部は静電チャック34に設けられた前記貫通孔34aと連通しており、ガス流路38にバックサイドガスを供給すると、当該バックサイドガスは貫通孔34aを介して静電チャック34の上部へ流出する。このバックサイドガスが静電チャック34と静電チャック34上に載置された被処理体との隙間全体に均等に拡散することにより、前記隙間における熱伝導性が高まるようになっている。
A refrigerant flow path 37 through which a predetermined refrigerant (for example, a conventionally known fluorine-based fluid, water, etc.) passes is formed in the mounting table 3, and the mounting table 3 is cooled by the refrigerant flowing through the refrigerant flow path 37. The object to be processed placed on the mounting table 3 is cooled to a desired temperature via the mounting table 3. In addition, a temperature sensor (not shown) is attached to the lower electrode 31, and the temperature of the object to be processed on the lower electrode 31 is constantly monitored via the temperature sensor.
Further, a gas flow path 38 for supplying a heat conductive gas such as He (helium) gas as a backside gas is formed inside the mounting table 3, and the gas flow path 38 is provided at a plurality of locations on the upper surface of the mounting table 3. It is open at. These openings communicate with the through hole 34a provided in the electrostatic chuck 34. When a backside gas is supplied to the gas flow path 38, the backside gas passes through the through hole 34a. To the top of The backside gas is evenly diffused throughout the gap between the electrostatic chuck 34 and the object to be processed placed on the electrostatic chuck 34, so that the thermal conductivity in the gap is increased.

前記下部電極31はハイパスフィルタ(HPF)3aを介して接地され、また下部電極31には例えば13.56MHzの高周波電源31aが整合器31bを介して接続されている。また下部電極31の外周縁には静電チャック34を囲むようにフォーカスリング39が配置され、当該フォーカスリング39を介してプラズマ発生時に当該プラズマが載置台3上の被処理体に集束するように構成されている。   The lower electrode 31 is grounded via a high pass filter (HPF) 3a, and a high frequency power source 31a of 13.56 MHz, for example, is connected to the lower electrode 31 via a matching unit 31b. A focus ring 39 is disposed on the outer peripheral edge of the lower electrode 31 so as to surround the electrostatic chuck 34, so that the plasma is focused on the object to be processed on the mounting table 3 through the focus ring 39 when plasma is generated. It is configured.

上部電極4は中空状に形成され、その下面には処理室21内へ処理ガスを分散供給するための多数の孔41が例えば均等に分散されるように形成されてガスシャワーヘッドを構成している。また上部電極4の上面中央にはガス導入管42が形成され、このガス導入管42は絶縁部材27を介して処理室21の上面中央を貫通している。そしてこのガス導入管42は上流に向かうと5つに分岐して分岐管42A〜42Eを構成し、分岐管42A〜42Eの端部は夫々処理室21内に供給される処理ガスが貯留されたCF(四フッ化炭素)ガス供給源45A、CHF(フッ化メチル)ガス供給源45B、O(酸素)ガス供給源45C、Ar(アルゴン)ガス供給源45D及びCHF(三フッ化メタン)ガス供給源45Eに接続されている。分岐管42A〜42Eには夫々上流へ向けてバルブ43A〜43E、流量制御部44A〜44Eが順次介設されている。このバルブ43A〜43E及び流量制御部44A〜44Eはガス供給系46を構成し、ガス供給系46は後述の制御部2Aからの制御信号により各ガス供給源45A〜45Eからの各処理ガスの給断及び流量を制御する。 The upper electrode 4 is formed in a hollow shape, and a plurality of holes 41 for dispersing and supplying the processing gas into the processing chamber 21 are formed on the lower surface thereof, for example, so as to be evenly distributed to constitute a gas shower head. Yes. A gas introduction pipe 42 is formed at the center of the upper surface of the upper electrode 4, and the gas introduction pipe 42 passes through the center of the upper surface of the processing chamber 21 through the insulating member 27. The gas introduction pipe 42 is branched into five when going upstream to constitute branch pipes 42A to 42E, and the processing gas supplied into the processing chamber 21 is stored at the ends of the branch pipes 42A to 42E. CF 4 (carbon tetrafluoride) gas supply source 45A, CH 3 F (methyl fluoride) gas supply source 45B, O 2 (oxygen) gas supply source 45C, Ar (argon) gas supply source 45D and CHF 3 (three fluorine Methane gas) gas supply source 45E. In the branch pipes 42A to 42E, valves 43A to 43E and flow rate control units 44A to 44E are sequentially provided upstream. The valves 43A to 43E and the flow rate control units 44A to 44E constitute a gas supply system 46. The gas supply system 46 supplies each processing gas from each gas supply source 45A to 45E by a control signal from the control unit 2A described later. Control disconnection and flow rate.

また上部電極4はローパスフィルタ(LPF)47を介して接地されており、また当該上部電極4には下部電極31よりも周波数の高い、例えば60MHzの高周波電源4aが整合器4bを介して接続されている。なお図示は省略しているが高周波電源4a,31aは制御部2Aに接続されており、制御信号に従い各高周波電源から各電極に供給される電力が制御される。   The upper electrode 4 is grounded through a low pass filter (LPF) 47, and a high frequency power source 4a having a frequency higher than that of the lower electrode 31, for example, 60 MHz, is connected to the upper electrode 4 through a matching unit 4b. ing. Although not shown, the high frequency power sources 4a and 31a are connected to the control unit 2A, and the power supplied from each high frequency power source to each electrode is controlled in accordance with the control signal.

このようなエッチング装置2は、排気装置23によって処理室21内を真空排気するとともに各処理ガス供給源45A〜45Eから所定の処理ガスを所定の流量で処理室21内に供給した状態において、下部電極31及び上部電極4に夫々高周波電力を印加すると上部電極4に印加された高周波電力によって処理室21内で前記処理ガスがプラズマ化(活性化)し、また下部電極31に印加された高周波電力によってウエハWにバイアス電位が発生し、イオン種を被処理体側に引き込んでエッチング形状の垂直性を高めるようにして載置台3上に載置された被処理体に対して所定のエッチング処理または酸化処理が施されるように構成されている。   Such an etching apparatus 2 evacuates the inside of the processing chamber 21 by the exhaust device 23, and in the state where a predetermined processing gas is supplied from the processing gas supply sources 45A to 45E into the processing chamber 21 at a predetermined flow rate. When high frequency power is applied to the electrode 31 and the upper electrode 4, the processing gas is turned into plasma (activated) in the processing chamber 21 by the high frequency power applied to the upper electrode 4, and the high frequency power applied to the lower electrode 31. As a result, a bias potential is generated in the wafer W, and a predetermined etching process or oxidation is performed on the object to be processed mounted on the mounting table 3 so as to enhance the perpendicularity of the etching shape by drawing ion species to the object to be processed. It is configured to be processed.

またこのエッチング装置2には例えばコンピュータからなる制御部2Aが設けられている。制御部2Aはプログラム、メモリ、CPUからなるデータ処理部などを備えており、前記プログラムには制御部2Aがエッチング装置2の各部に制御信号を送り、後述の各ステップを進行させることで被処理体に対してパターンの形成が実施できるように命令が組まれている。また、例えばメモリには処理圧力、処理時間、ガス流量、電力値などの処理パラメータの値が書き込まれる領域を備えており、CPUがプログラムの各命令を実行する際これらの処理パラメータが読み出され、そのパラメータ値に応じた制御信号がこのエッチング装置2の各部位に送られることになる。このプログラム(処理パラメータの入力用画面に関連するプログラムも含む)は、コンピュータ記憶媒体例えばフレキシブルディスク、コンパクトディスク、MO(光磁気ディスク)などに格納されて制御部2Aにインストールされる。   The etching apparatus 2 is provided with a control unit 2A composed of, for example, a computer. The control unit 2A includes a data processing unit including a program, a memory, and a CPU. The control unit 2A sends a control signal to each unit of the etching apparatus 2 in the program, and proceeds with each step described later to be processed. Instructions are set up so that a pattern can be formed on the body. In addition, for example, the memory has an area in which processing parameter values such as processing pressure, processing time, gas flow rate, and power value are written, and these processing parameters are read when the CPU executes each instruction of the program. A control signal corresponding to the parameter value is sent to each part of the etching apparatus 2. This program (including a program related to a process parameter input screen) is stored in a computer storage medium such as a flexible disk, a compact disk, or an MO (magneto-optical disk) and installed in the control unit 2A.

次に、前記エッチング装置2を用いた本発明のエッチング方法の一実施形態について図2及び図3を参照しながら説明する。まず、ゲートバルブ26を開いて処理室21内に図示しない搬送機構により基板であるウエハWが搬入される。このウエハWが載置台3上に水平に載置された後、搬送機構が処理室21から退去してゲートバルブ26が閉じられる。引き続きガス流路38からバックサイドガスが供給されてウエハWと静電チャック34間の熱伝導性が高まることでウエハWが所定の温度に冷却される。その後は以下のステップが行われるがここで先ず前記ウエハWについて説明しておく。なお膜の材質については、図面との対応を容易にするため、化学記号で記載する。ウエハWは図2(a)で示されるような積層体であり、説明するとSi層51上にSiO膜52、SiN膜53、及びSiON膜54が積層され、当該SiON膜54上には有機物を主成分とする有機膜であるレジスト膜55が形成されている。当該レジスト膜55にはレジストパターン56が形成されている。 Next, an embodiment of the etching method of the present invention using the etching apparatus 2 will be described with reference to FIGS. First, the gate valve 26 is opened, and a wafer W as a substrate is loaded into the processing chamber 21 by a transfer mechanism (not shown). After the wafer W is placed horizontally on the placement table 3, the transfer mechanism moves away from the processing chamber 21 and the gate valve 26 is closed. Subsequently, the backside gas is supplied from the gas flow path 38 and the thermal conductivity between the wafer W and the electrostatic chuck 34 is increased, whereby the wafer W is cooled to a predetermined temperature. Thereafter, the following steps are performed. Here, the wafer W will be described first. In addition, about the material of a film | membrane, in order to make correspondence with drawing easy, it describes with a chemical symbol. The wafer W is a laminated body as shown in FIG. 2A. To explain, the SiO 2 film 52, the SiN film 53, and the SiON film 54 are laminated on the Si layer 51, and an organic substance is formed on the SiON film 54. A resist film 55 is formed which is an organic film containing as a main component. A resist pattern 56 is formed on the resist film 55.

SiON膜54は後述するようにSiN膜53をエッチングする際のハードマスクとして機能するのみならず、レジストパターン56形成工程におけるレジスト膜55の露光時の反射防止膜としても機能する。ところでSiON膜54の厚さは例えば50nm以下であり、SiN膜53の厚さは例えば50nm以上であり、SiO膜52の厚さは例えば5nm以下である。 As will be described later, the SiON film 54 not only functions as a hard mask when the SiN film 53 is etched, but also functions as an antireflection film when the resist film 55 is exposed in the resist pattern 56 forming step. By the way, the thickness of the SiON film 54 is, for example, 50 nm or less, the thickness of the SiN film 53 is, for example, 50 nm or more, and the thickness of the SiO 2 film 52 is, for example, 5 nm or less.

〔本発明のエッチング方法の第1の実施の形態〕
(ステップ1:SiON膜54のエッチング)
排気装置23により排気管24を介して処理室21内の排気が行われて、処理室21内が所定の圧力に維持され、処理室21内に流量制御を受けたCHFガス、CFガス及びArガスからなる混合ガスが供給される。続いて上部電極4及び下部電極31に夫々高周波電力が印加されて各処理ガスがプラズマ化される。このようにして図2(b)に示すようにレジスト膜55をマスクとしてレジストパターンに56沿ってSiON膜54がエッチングされ、マスクパターン57が形成される。
[First Embodiment of Etching Method of the Present Invention]
(Step 1: Etching of SiON film 54)
Taking place the exhaust of the exhaust pipe 24 within the processing chamber 21 through the exhaust system 23, the processing chamber 21 is maintained at a predetermined pressure, CHF 3 gas underwent flow rate control into the process chamber 21, CF 4 gas And a mixed gas composed of Ar gas is supplied. Subsequently, high frequency power is applied to the upper electrode 4 and the lower electrode 31, respectively, and each processing gas is turned into plasma. In this way, as shown in FIG. 2B, the SiON film 54 is etched along the resist pattern 56 using the resist film 55 as a mask, and a mask pattern 57 is formed.

(ステップ2:レジスト膜55のアッシング)
高周波電源4a,31aがオフにされプラズマの発生が停止されるとともに処理室21内へのCHFガス、CFガス及びArガスの供給が停止される。排気装置23により処理室21内に残留したガスが排気され、その後に処理室21内へのOガスの供給が行われる。処理室21内のガスがステップ1で用いた混合ガスからOガスに置換されたら上部電極4、下部電極31に夫々所定の高周波電力が印加されることによりOガスがプラズマ化する。このプラズマ化によってSiON膜54上に残留していたレジスト膜55がアッシングされて除去される(図2(c))。このアッシング工程におけるOガスの流量は例えば300sccmであり、また上部電極4の供給電力は例えば300W、下部電極31の供給電力は例えば100Wである。
(Step 2: Ashing of resist film 55)
The high frequency power supplies 4a and 31a are turned off to stop the generation of plasma, and the supply of CHF 3 gas, CF 4 gas and Ar gas into the processing chamber 21 is stopped. The gas remaining in the processing chamber 21 is exhausted by the exhaust device 23, and thereafter, O 2 gas is supplied into the processing chamber 21. When the gas in the processing chamber 21 is replaced with the O 2 gas from the mixed gas used in step 1, the O 2 gas is turned into plasma by applying predetermined high-frequency power to the upper electrode 4 and the lower electrode 31, respectively. By this plasma formation, the resist film 55 remaining on the SiON film 54 is ashed and removed (FIG. 2C). The flow rate of O 2 gas in this ashing process is, for example, 300 sccm, the supply power of the upper electrode 4 is, for example, 300 W, and the supply power of the lower electrode 31 is, for example, 100 W.

(ステップ3:前駆パターン58の形成)
高周波電源4a,31aがオフにされプラズマの発生が停止されるとともに処理室21内へのO2ガスの供給が停止される。処理室21内に残留しているOガスが排気され、その後で流量制御されたCF4ガス、CHF3ガス、及びArガスが処理室21内に供給される。処理室21内のガスがこれらの処理ガスからなる混合ガスに置換されたら上部電極4、下部電極31に夫々所定の高周波電圧が印加され、前記混合ガスがプラズマ化することで図3(a)に示すようにSiON膜54をマスクとしてSiN膜53のメインエッチングが行われ、SiN膜53に前駆パターン58が形成される。この工程におけるエッチングは垂直形状の確保及びSiON膜54へのダメージを抑えるために、SiO膜52に対するSiN膜53の選択比(SiN膜53のエッチング速度/SiO膜52のエッチング速度)が例えば1〜3である条件下にて行われる。
(Step 3: Formation of precursor pattern 58)
The high frequency power sources 4a and 31a are turned off to stop the generation of plasma and the supply of O 2 gas into the processing chamber 21. O 2 gas remaining in the processing chamber 21 is exhausted, and then CF 4 gas, CHF 3 gas, and Ar gas whose flow rates are controlled are supplied into the processing chamber 21. When the gas in the processing chamber 21 is replaced with a mixed gas composed of these processing gases, a predetermined high-frequency voltage is applied to the upper electrode 4 and the lower electrode 31, respectively, and the mixed gas is turned into plasma, whereby FIG. As shown in FIG. 6, the main etching of the SiN film 53 is performed using the SiON film 54 as a mask, and a precursor pattern 58 is formed in the SiN film 53. For etching to reduce damage to the securing and the SiON film 54 of vertical shape in this process, the selection ratio of the SiN film 53 for the SiO 2 film 52 (etching rate of / SiO 2 film 52 of SiN film 53) is, for example, It is performed under the conditions of 1 to 3.

またこのステップ3においては処理ガスの一部としてCF系ガスであるCF4ガスを用いているため、CF4ガスの活性種に起因してポリマー成分が前駆パターン58の側壁に保護膜として付着されながらエッチングが進行する。このようにすることで前駆パターン58の側壁を高い垂直性をもって形成し、最終的に形成されるパターン59の形状を制御して垂直性の高い凹部を形成することを図っている。 In Step 3, CF 4 gas, which is a CF-based gas, is used as a part of the processing gas, so that a polymer component is attached to the sidewall of the precursor pattern 58 as a protective film due to the active species of the CF 4 gas. Etching progresses. In this way, the sidewall of the precursor pattern 58 is formed with high verticality, and the shape of the pattern 59 to be finally formed is controlled to form a concave portion with high verticality.

このステップ3におけるメインエッチング工程はSiO膜52がエッチングされることを防ぐため、図3(a)に示すように前駆パターン58の底部がSiN膜53に留まるように、即ちウエハWの全面に亘ってSiN膜53の下地膜であるSiO膜52が露出する少し前の段階で停止するように行われる。
後述するステップ5で行われるオーバエッチングはこのステップ3よりSiO膜52に対するSiN膜53の選択比がかなり高い条件で行われるために、このステップ3に比べるとエッチング中におけるパターンの側壁保護作用が期待できず、またステップ5で行われるオーバエッチング時間が長くなるほどSiON膜54が受けるダメージが大きくなる。この点を考慮すると、この前駆パターン58はSiN膜53のできるだけ深部まで形成されることが好ましく、エッチング速度の面内のばらつきを考慮すると、SiN膜53の厚さに対して例えば平均して85%程度の深さまで形成されることが好ましい。
The main etching process in step 3 prevents the SiO 2 film 52 from being etched, so that the bottom of the precursor pattern 58 remains on the SiN film 53 as shown in FIG. The process is performed so as to stop at a stage just before the SiO 2 film 52 that is the base film of the SiN film 53 is exposed.
Over-etching performed in step 5 described later is performed under a condition in which the selection ratio of the SiN film 53 to the SiO 2 film 52 is considerably higher than that in step 3. It cannot be expected and the damage to the SiON film 54 increases as the over-etching time performed in step 5 becomes longer. In consideration of this point, it is preferable that the precursor pattern 58 is formed as deep as possible in the SiN film 53. Considering in-plane variation of the etching rate, for example, the thickness of the SiN film 53 is 85 on average. It is preferable to be formed to a depth of about%.

(ステップ4:SiON膜54の酸化)
高周波電源4a,31aがオフにされてプラズマの発生が停止されるとともに処理室21内へのCFガス、CHFガス及びArガスの供給が停止される。排気装置23により処理室21内に残留したガスが除去され、その後に処理室21内へOガスが供給される。処理室21内のガスがOガスに置換されたら上部電極4、下部電極31に夫々所定の電力を印加してOガスをプラズマ化させてSiON膜54の酸化を行う。このステップ4の酸化工程において、Oガスの流量は例えば1200sccmであり、また上部電極4の供給電力は例えば300W〜1500W、下部電極31の供給電力は例えば50W〜200Wであるが、当該酸化工程はステップ3におけるアッシング工程とは異なる条件で行われる。
図3(b)はこの酸化終了後のウエハWを示したものであり、SiON膜54の表面はOガスを用いたプラズマ処理によって酸化されている。54aはSiON膜54を酸化した酸化層である。このステップ4はステップ5におけるオーバエッチングを行う際にSiON膜54に対するSiN膜53の選択性を向上させる、つまりこのオーバエッチング時におけるSiON膜54の耐性を高めてSiON膜54を保護するために行うが、SiON膜54の表面の例えば1〜数十原子層が酸化されればよく、この酸化処理が過剰に行われると前駆パターン58の表面の酸化が進行して、ステップ5でエッチングが正常に行われにくくなる懸念がありパターン59形成に支障をきたすおそれがある。
(Step 4: oxidation of SiON film 54)
The high frequency power supplies 4a and 31a are turned off to stop the generation of plasma, and the supply of CF 4 gas, CHF 3 gas and Ar gas into the processing chamber 21 is stopped. The gas remaining in the processing chamber 21 is removed by the exhaust device 23, and then O 2 gas is supplied into the processing chamber 21. When the gas in the processing chamber 21 is replaced with O 2 gas, a predetermined electric power is applied to the upper electrode 4 and the lower electrode 31 respectively to make the O 2 gas into plasma and oxidize the SiON film 54. In the oxidation process of Step 4, the flow rate of O 2 gas is, for example, 1200 sccm, the supply power of the upper electrode 4 is, for example, 300 W to 1500 W, and the supply power of the lower electrode 31 is, for example, 50 W to 200 W. Is performed under conditions different from those in the ashing process in Step 3.
FIG. 3B shows the wafer W after the completion of the oxidation, and the surface of the SiON film 54 is oxidized by plasma processing using O 2 gas. An oxide layer 54a is obtained by oxidizing the SiON film 54. This step 4 is performed in order to improve the selectivity of the SiN film 53 with respect to the SiON film 54 during the overetching in the step 5, that is, to enhance the resistance of the SiON film 54 during the overetching and to protect the SiON film 54. However, it is only necessary to oxidize, for example, one to several tens of atomic layers on the surface of the SiON film 54. If this oxidation treatment is excessively performed, the surface of the precursor pattern 58 is oxidized, and in step 5, the etching is normally performed. There is a concern that it may be difficult to perform, and there is a possibility that the formation of the pattern 59 may be hindered.

(ステップ5:パターン59の形成)
高周波電源4a,31aがオフにされてプラズマの発生が停止される。また処理室21内に残留しているガスが排気され、処理室21内へ流量制御されたOガス、CH3Fガス、及びArガスが供給される。処理室21内のガスがこれらの処理ガスにより構成される混合ガスに置換されたら、上部電極4及び下部電極31に夫々所定の電力を印加してこの混合ガスをプラズマ化させる。このようにしてステップ3におけるメインエッチング工程で残留しているSiN膜53をエッチングして、露出したSiO2膜52を面内全体でSiN膜53が確実に除去できるタイミングだけエッチング(オーバエッチング)することによりパターン59を形成する(図3(c))。
(Step 5: Formation of pattern 59)
The high frequency power supplies 4a and 31a are turned off, and the generation of plasma is stopped. Further, the gas remaining in the processing chamber 21 is exhausted, and O 2 gas, CH 3 F gas, and Ar gas whose flow rates are controlled are supplied into the processing chamber 21. When the gas in the processing chamber 21 is replaced with a mixed gas composed of these processing gases, predetermined electric power is applied to the upper electrode 4 and the lower electrode 31 to turn the mixed gas into plasma. In this way, the SiN film 53 remaining in the main etching process in Step 3 is etched, and the exposed SiO 2 film 52 is etched (over-etched) at a timing at which the SiN film 53 can be surely removed in the entire surface. Thus, a pattern 59 is formed (FIG. 3C).

このステップ5においてはSiO膜52のエッチングを抑えるため、前記ステップ3よりもSiO膜52に対するSiN膜53の選択比が高い条件下で反応を行う。具体的に例えばOガスに対するCHFガスの割合が例えば4〜9となるように処理室21に供給され、前記選択比が例えば20以上となるような条件でエッチングが行われる。 Thus to suppress the etching of the SiO 2 film 52 in step 5, the reaction is carried out under conditions high selectivity of the SiN film 53 for the SiO 2 film 52 than the step 3. Specifically, for example, the processing chamber 21 is supplied so that the ratio of CH 3 F gas to O 2 gas is 4 to 9, for example, and the etching is performed under the condition that the selection ratio is 20 or more, for example.

以上のように第1の実施形態におけるエッチング方法においては、SiON膜54をハードマスクとしてSiN膜53に対し下地のSiO膜52が露出する少し前までメインエッチングを行って前駆パターン58を形成し、次いでSiON膜54の表面部を酸化した後、オーバエッチングを行ってSiN膜53の残りの部位をエッチングしSiO膜52を露出させるようにしている。オーバエッチング工程は、下地のSiO2膜52が極めて薄いことから、SiO2膜52に対するSiN膜53の選択比をかなり高くした状態で行われ、このためSiN膜53の成分と類似するハードマスクであるSiON膜54に対しても大きなエッチング作用が働くが、このSiON膜54は酸化されていて保護膜が形成されており、またSiN膜53の大部分は既にメインエッチングで除去されていてオーバエッチングの処理時間は短いことからオーバエッチングの際にSiON膜54が受けるダメージが抑えられ、ピディングの発生が防止され、その結果として、SiN膜53の表面へのダメージを抑えられる。従ってレジスト膜55が薄く形成された既述の積層体に対しても良好なパターン59を形成することができる。 As described above, in the etching method according to the first embodiment, the SiON film 54 is used as a hard mask to perform main etching until the underlying SiO 2 film 52 is exposed to the SiN film 53 to form a precursor pattern 58. Then, after the surface portion of the SiON film 54 is oxidized, over etching is performed to etch the remaining part of the SiN film 53 so that the SiO 2 film 52 is exposed. Since the underlying SiO 2 film 52 is extremely thin, the over-etching process is performed in a state in which the selection ratio of the SiN film 53 to the SiO 2 film 52 is considerably high. For this reason, a hard mask similar to the component of the SiN film 53 is used. Although a large etching action also acts on a certain SiON film 54, this SiON film 54 is oxidized and a protective film is formed, and most of the SiN film 53 has already been removed by the main etching and is overetched. Since the processing time is short, damage to the SiON film 54 during over-etching is suppressed, and generation of pudding is prevented. As a result, damage to the surface of the SiN film 53 can be suppressed. Therefore, a good pattern 59 can be formed even for the above-described laminate in which the resist film 55 is thinly formed.

なお本発明ではSiN膜をエッチングする際のハードマスクとして酸化シリコンを主成分とする膜例えばSiON膜を使用しているが、SiONに限られず他の材質例えばSiOCあるいはSiCOHをハードマスクとして使用することができる。   In the present invention, a silicon oxide-based film such as a SiON film is used as a hard mask for etching a SiN film. However, the present invention is not limited to SiON, and other materials such as SiOC or SiCOH may be used as a hard mask. Can do.

〔本発明のエッチング方法の第2の実施の形態〕
以下に本発明における他の実施形態について図4及び図5を参照しながら説明する。先ず図4(a)で示すような先の実施形態と同様の積層構造を有するウエハWを処理室21内に搬入し、当該処理室21内にCHF、CF及びArからなる混合ガスを供給して、図4(b)に示すようにレジスト膜55をマスクとしてSiON膜54のエッチング及びSiN膜53のメインエッチングを行い、マスクパターン57、前駆パターン58を形成する。メインエッチングは先に説明した実施形態のステップ3と同様にSiO膜52が露出される少し前の段階で停止するようにし、従って前駆パターン58の底部はSiN膜53に留まっている。
[Second Embodiment of the Etching Method of the Present Invention]
Hereinafter, another embodiment of the present invention will be described with reference to FIGS. First, a wafer W having a stacked structure similar to that of the previous embodiment as shown in FIG. 4A is carried into the processing chamber 21, and a mixed gas composed of CHF 3 , CF 4 and Ar is put into the processing chamber 21. 4B, using the resist film 55 as a mask, etching of the SiON film 54 and main etching of the SiN film 53 are performed to form a mask pattern 57 and a precursor pattern 58, as shown in FIG. The main etching is stopped just before the SiO 2 film 52 is exposed in the same manner as in Step 3 of the embodiment described above, and therefore the bottom of the precursor pattern 58 remains in the SiN film 53.

続けて第1の実施形態のステップ2と同様に残留しているレジスト膜55のアッシング除去を行い(図4(c))、さらに前記ステップ4と同様にSiON膜54の表面を酸化し酸化層54aを形成した後(図5(a))、前記ステップ5と同様に前駆パターン58における残りのSiN膜53をSiO膜52に達するまでオーバエッチングすることでパターン59を形成する(図5(b))。 Subsequently, the remaining resist film 55 is removed by ashing as in step 2 of the first embodiment (FIG. 4C), and the surface of the SiON film 54 is oxidized and oxidized layer as in step 4 above. After forming 54a (FIG. 5A), the pattern 59 is formed by over-etching the remaining SiN film 53 in the precursor pattern 58 until reaching the SiO 2 film 52 in the same manner as in Step 5 (FIG. 5 (FIG. 5A). b)).

この実施形態においてもオーバエッチングの前にハードマスクであるSiON膜54の表面部を酸化しているのでSiON膜54がオーバエッチング時に受けるダメージが抑えられ、SiON膜54におけるピティングの発生を防ぐことができるため先の実施形態と同様の効果が得られる。
なお、さらに他の実施形態として第1の実施形態におけるステップ4とステップ3とを入れ替えるようにしてもよい。即ち図2(c)のようにSiON膜54上のレジスト膜55をアッシングで除去した後、当該SiON膜54の表面部を既述のように酸化し、その後メインエッチングを行うようにしてもよい。
Also in this embodiment, since the surface portion of the SiON film 54 that is a hard mask is oxidized before over-etching, damage to the SiON film 54 during over-etching is suppressed, and pitting in the SiON film 54 can be prevented. Therefore, the same effect as the previous embodiment can be obtained.
As still another embodiment, step 4 and step 3 in the first embodiment may be interchanged. That is, as shown in FIG. 2C, after removing the resist film 55 on the SiON film 54 by ashing, the surface portion of the SiON film 54 may be oxidized as described above, and then main etching may be performed. .

(実施例1)
実施例1では既述の実施形態で説明した積層構造を有するウエハWに対して、既述のエッチング装置2を用いて最初の実施形態で説明したステップに従い、前記ウエハWにパターン59の形成を行った。この実施例1の各ステップにおける条件は以下のように設定した。
Example 1
In Example 1, a pattern 59 is formed on the wafer W according to the steps described in the first embodiment using the etching apparatus 2 described above on the wafer W having the laminated structure described in the above-described embodiment. went. The conditions in each step of Example 1 were set as follows.

(ステップ1:SiON膜54のエッチング工程)
混合ガスの圧力:20〜50mTorr(2.67〜6.67Pa)
高周波電源の電力(U/L):300〜600W/0〜400W (但しUは上部電源、Lは下部電極を示す。)
混合ガスの流量比:CHF3/CF4/Ar=0〜200/200〜400/600sccm
(ステップ2:レジスト膜55のアッシング工程)
ガスの圧力:200mTorr(26.7Pa)
高周波電源の電力(U/L):300W/100W
ガスの流量:300sccm
(ステップ3:前駆パターン58の形成工程)
混合ガスの圧力:20〜50mTorr(2.67〜6.67Pa)
高周波電源の電力(U/L):300〜600W/0〜400W
混合ガスの流量比:CHF/CF/Ar=0〜200/200〜400/600sccm
(ステップ4:SiON膜54の酸化工程)
ガスの圧力:200mTorr(26.7Pa)
高周波電源の電力(U/L):300〜1500W/50〜200W
ガスの流量:1200sccm
(ステップ5:パターン59の形成工程)
混合ガスの圧力:120mTorr(16.0Pa)
高周波電源の電力(U/L):500W/100〜300W
CHF/O/Ar=3/13/90sccm
(Step 1: Etching process of SiON film 54)
Pressure of mixed gas: 20 to 50 mTorr (2.67 to 6.67 Pa)
High-frequency power supply (U / L): 300 to 600 W / 0 to 400 W (where U is the upper power supply and L is the lower electrode)
Mixed gas flow ratio: CHF 3 / CF 4 / Ar = 0-200 / 200-400 / 600 sccm
(Step 2: Ashing process of resist film 55)
O 2 gas pressure: 200 mTorr (26.7 Pa)
High frequency power supply (U / L): 300W / 100W
O 2 gas flow rate: 300 sccm
(Step 3: Forming process of precursor pattern 58)
Pressure of mixed gas: 20 to 50 mTorr (2.67 to 6.67 Pa)
High frequency power supply (U / L): 300-600W / 0-400W
Flow rate ratio of mixed gas: CHF 3 / CF 4 / Ar = 0 to 200/200 to 400/600 sccm
(Step 4: Oxidation process of SiON film 54)
O 2 gas pressure: 200 mTorr (26.7 Pa)
High frequency power supply (U / L): 300-1500W / 50-200W
O 2 gas flow rate: 1200 sccm
(Step 5: Pattern 59 forming step)
Pressure of mixed gas: 120 mTorr (16.0 Pa)
High frequency power (U / L): 500 W / 100 to 300 W
CH 3 F / O 2 / Ar = 3/13/90 sccm

(実施例2)
実施例2では第2の実施形態で説明した手順に従い、実施例1で用いたウエハWと同じ構成のウエハWに対して前記エッチング装置2を用いてパターン59を形成した。この実施例2において最初に行われるレジスト膜55をマスクとしてSiON膜54及びSiN膜53をエッチングする工程は以下の条件にて行った。
混合ガスの圧力:20〜50mTorr(2.67〜6.67Pa)
高周波電源の電力(U/L):300〜600W/0〜400W
CHF/CF/Ar=0〜200/200〜400/600sccm
この工程に続けて行われるレジスト膜55をアッシングする工程及びSiON膜54を酸化する工程、SiN膜53をオーバエッチングする工程は夫々実施例1と同様の反応条件で、つまり実施例1における前記ステップ2、ステップ4、ステップ5に夫々記載の反応条件で順次処理を行った。
(Example 2)
In Example 2, the pattern 59 was formed on the wafer W having the same configuration as the wafer W used in Example 1 according to the procedure described in the second embodiment. In the second embodiment, the step of etching the SiON film 54 and the SiN film 53 using the resist film 55 first as a mask was performed under the following conditions.
Pressure of mixed gas: 20 to 50 mTorr (2.67 to 6.67 Pa)
High frequency power supply (U / L): 300-600W / 0-400W
CHF 3 / CF 4 / Ar = 0-200 / 200-400 / 600 sccm
The process of ashing the resist film 55, the process of oxidizing the SiON film 54, and the process of over-etching the SiN film 53, which are performed following this process, are performed under the same reaction conditions as in the first embodiment, that is, the steps in the first embodiment. The treatment was sequentially performed under the reaction conditions described in Step 2, Step 4, and Step 5, respectively.

(比較例)
比較例においては各実施例で用いたウエハと同様の構成のウエハWに対して、前記エッチング装置2を用いて、図6に示すようにしてエッチングを行った。即ち第1の実施形態に係る図2に示すと同様にしてSiON膜54をエッチングし、次いでレジスト膜55をアッシングする(図6(a))。その後SiON膜54を図3(b)に示す工程と同様にして酸化し(図6(b))、しかる後、SiN膜53に対してオーバエッチングの条件でいわば高選択比エッチングを行って、SiN膜53を除去してパターン59を形成する(図6(c))。この比較例の各ステップの反応条件は実施例1に記載した各ステップの条件と同じとした。
(Comparative example)
In the comparative example, the etching apparatus 2 was used to etch a wafer W having the same configuration as the wafer used in each example as shown in FIG. That is, the SiON film 54 is etched in the same manner as shown in FIG. 2 according to the first embodiment, and then the resist film 55 is ashed (FIG. 6A). Thereafter, the SiON film 54 is oxidized in the same manner as in the step shown in FIG. 3B (FIG. 6B), and then the SiN film 53 is etched to a high selectivity under the condition of overetching. The SiN film 53 is removed to form a pattern 59 (FIG. 6C). The reaction conditions for each step of this comparative example were the same as the conditions for each step described in Example 1.

図7及び図8に各実施例及び比較例により形成されたパターン59を模式的に示した。この模式図は処理されたウエハWの表面を走査電子顕微鏡により観察した結果に基づいて描いている。図7(a)は実施例1に対応し、形成されたパターン59の側壁は略垂直に形成されていた。図7(b)は実施例2に対応し、形成されたパターン59の底部に飛散したレジストの残渣61がわずかに観察されたものの、この残渣61は特に問題となる量ではなかった。またこの実施例2においては実施例1と同様にパターン59の側壁は略垂直に形成されていた。図6(c)は比較例に対応しパターン59はテーパ形状となっており、またパターン59の底部には剣山のような大きな残渣62が発生していた。テーパ形状となったのは前駆パターン58を形成せずにSiO膜52に対して高選択比が得られる条件下でパターン59を形成したため、各実施例に比べるとこの比較例においてはポリマーの付着による、凹部の側壁保護作用が弱かったことが原因であると考えられる。また残渣62が現れたのもSiON膜54を酸化する際にSiON膜54とともに露出していたSiN膜53の表面部分が酸化され、SiO膜52に対して高選択条件下でのエッチングでは、その酸化された部分が薄いマスクとなってSiN膜53のエッチングが阻害されて残渣となったものと考えられる。従って各実施例及び比較例から、本発明のエッチング方法を適用することで側壁が略垂直であり、残渣の発生が抑えられた良好な形状を有するパターン59が得られることが示された。 7 and 8 schematically show patterns 59 formed by the respective examples and comparative examples. This schematic diagram is drawn based on the result of observing the surface of the processed wafer W with a scanning electron microscope. FIG. 7A corresponds to the first embodiment, and the side wall of the formed pattern 59 is formed substantially vertically. FIG. 7B corresponds to Example 2, and a slight amount of resist residue 61 scattered on the bottom of the formed pattern 59 was observed, but this residue 61 was not a particularly problematic amount. In the second embodiment, as in the first embodiment, the side wall of the pattern 59 is formed substantially vertically. FIG. 6C corresponds to the comparative example, and the pattern 59 has a tapered shape, and a large residue 62 like a sword mountain is generated at the bottom of the pattern 59. The taper shape was formed because the pattern 59 was formed under the condition that a high selection ratio was obtained with respect to the SiO 2 film 52 without forming the precursor pattern 58. It is thought that this is because the side wall protecting action of the concave portion due to adhesion was weak. The residue 62 is the surface portion of the SiN film 53 was exposed with the SiON film 54 when also to oxidize the SiON film 54 was appeared oxidation, the etching with high selectivity conditions to the SiO 2 film 52, the oxide It can be considered that the etched portion became a thin mask and the etching of the SiN film 53 was hindered to become a residue. Therefore, each example and comparative example showed that by applying the etching method of the present invention, a pattern 59 having a good shape in which the side wall is substantially vertical and the generation of residue is suppressed can be obtained.

また図8においては上段に各実施例及び比較例におけるパターンの側面図を、下段にこれらのパターンの上面図を夫々示した。実施例1及び実施例2においてはSiON膜54にピティングは見られなかったが、比較例においてはピティングが発生しており、図に示すようなSiON膜54を貫き底部がSiN膜53に達する孔71が穿孔されていた。これは比較例においては前駆パターン58を形成していないため、SiN膜53に対して高選択条件下で行うエッチング時間が各実施例よりも長くなり、SiON膜54が受けるダメージが大きくなったことが原因であると考えられる。従って各実施例及び比較例からSiON膜54に与えるダメージを抑えピティングの発生を防止するために本発明のエッチング方法は有効であることが示された。   Further, in FIG. 8, a side view of the patterns in the respective examples and comparative examples is shown in the upper stage, and a top view of these patterns is shown in the lower stage. In Example 1 and Example 2, no pitting was observed in the SiON film 54, but in the comparative example, pitting occurred, and the bottom portion penetrated through the SiON film 54 and reached the SiN film 53 as shown in the figure. 71 was perforated. In the comparative example, since the precursor pattern 58 is not formed, the etching time performed on the SiN film 53 under the high selection condition is longer than that in each example, and the damage received on the SiON film 54 is increased. Is considered to be the cause. Therefore, it was shown from the respective examples and comparative examples that the etching method of the present invention is effective for suppressing damage to the SiON film 54 and preventing the occurrence of pitting.

続いてパターン59の形成過程におけるSiON膜54を酸化する工程について、上部電極4、下部電極31に与える電力を夫々変化させることでSiON膜54の酸化を行い、これらの各電力と形成されたパターンの形状との関連を検証する試験を行った。この検証試験におけるパターン59の形成の手順としては先に述べた実施形態1と略同様のステップを踏まえて行ったがSiON膜54をエッチングしてマスクパターン57を形成する工程は2回に分けて行った。具体的に述べると最初にSiN膜53に対して選択性の低い条件下でレジスト膜55をマスクとしてエッチングを行い、続けてSiN膜53に対して選択性の高い条件下でレジスト膜55をマスクとしてオーバエッチングを行った。それ以降は先に述べた実施形態と同様のステップ2〜5を経て反応を進行させ、ステップ5が終了した後にエッチングで付着した微量のポリマーを除去するために再度アッシングを行った。   Subsequently, in the step of oxidizing the SiON film 54 in the process of forming the pattern 59, the SiON film 54 is oxidized by changing the power applied to the upper electrode 4 and the lower electrode 31, respectively. A test was conducted to verify the relationship with the shape. The procedure for forming the pattern 59 in this verification test is based on the steps substantially the same as those of the first embodiment described above, but the process of forming the mask pattern 57 by etching the SiON film 54 is divided into two steps. went. More specifically, first, etching is performed using the resist film 55 as a mask under conditions with low selectivity with respect to the SiN film 53, and then the resist film 55 is masked under conditions with high selectivity with respect to the SiN film 53. Overetching was performed. Thereafter, the reaction proceeded through steps 2 to 5 similar to those of the above-described embodiment, and ashing was performed again after step 5 to remove a trace amount of polymer attached by etching.

SiON膜54を高選択条件でエッチングするためにエッチング装置としては既述のエッチング装置2と略同様の構成を持つエッチング装置を用いたが、当該エッチング装置は処理ガス供給源としてエッチング装置2に備えられていたガス供給源45A〜45Eの他にCF系ガスであるC(オクタフルオロシクロブタン)ガス供給源を備えており、他の処理ガスと同様に流量制御部及びバルブを介して、所定の流量のCガスが処理室21内に供給されるように構成されている。 In order to etch the SiON film 54 under high selection conditions, an etching apparatus having substantially the same structure as the etching apparatus 2 described above was used as the etching apparatus, but the etching apparatus was provided in the etching apparatus 2 as a processing gas supply source. In addition to the gas supply sources 45A to 45E, a C 4 F 8 (octafluorocyclobutane) gas supply source, which is a CF-based gas, is provided. Like other processing gases, A C 4 F 8 gas having a predetermined flow rate is supplied into the processing chamber 21.

以下に各工程における反応条件を示す。
第1段階(SiON膜54を、SiN膜53に対して選択性の低い条件下でエッチングを行う段階)
混合ガスの圧力:20〜50mTorr(2.67〜6.67Pa)
高周波電源の電力(U/L):300〜600W/0〜400W
混合ガスの流量比:CHF/CF/Ar=0〜200/200〜400/600sccm
The reaction conditions in each step are shown below.
First stage (stage in which the SiON film 54 is etched under a condition with low selectivity to the SiN film 53)
Pressure of mixed gas: 20 to 50 mTorr (2.67 to 6.67 Pa)
High frequency power supply (U / L): 300-600W / 0-400W
Flow rate ratio of mixed gas: CHF 3 / CF 4 / Ar = 0 to 200/200 to 400/600 sccm

第2段階(SiON膜54を、SiN膜53に対して選択性の高い条件下でオーバエッチングを行う段階)
混合ガスの圧力:50〜100mTorr(6.67〜13.3Pa)
高周波電源の電力(U/L):100W/500W
混合ガスの流量比:C/Ar/O=0〜50/800/0〜50sccm
Second stage (stage in which the SiON film 54 is over-etched under conditions with high selectivity to the SiN film 53)
Pressure of mixed gas: 50 to 100 mTorr (6.67 to 13.3 Pa)
High frequency power (U / L): 100W / 500W
Flow rate ratio of mixed gas: C 4 F 8 / Ar / O 2 = 0 to 50/800/0 to 50 sccm

第3段階(最初の実施形態のステップ2に相当)
ガスの圧力:200mTorr(26.7Pa)
高周波電源の電力(U/L):300W/100W
酸素ガスの流量:300sccm
Third stage (corresponding to step 2 of the first embodiment)
O 2 gas pressure: 200 mTorr (26.7 Pa)
High frequency power supply (U / L): 300W / 100W
Oxygen gas flow rate: 300 sccm

第4段階(最初の実施形態のステップ3に相当)
混合ガスの圧力:20〜50mTorr(2.67〜6.67Pa)
高周波電源の電力(U/L):300〜600W/0〜400W
混合ガスの流量比:CHF/CF/Ar=0〜200/200〜400/600sccm
Fourth stage (corresponding to step 3 of the first embodiment)
Pressure of mixed gas: 20 to 50 mTorr (2.67 to 6.67 Pa)
High frequency power supply (U / L): 300-600W / 0-400W
Flow rate ratio of mixed gas: CHF 3 / CF 4 / Ar = 0 to 200/200 to 400/600 sccm

第5段階(最初の実施形態のステップ4に相当)
ガスの圧力:200mTorr(26.7Pa)
高周波電源の電力(U/L):300〜1500W/50〜200W
ガスの流量:1200sccm
5th stage (equivalent to Step 4 of the first embodiment)
O 2 gas pressure: 200 mTorr (26.7 Pa)
High frequency power supply (U / L): 300-1500W / 50-200W
O 2 gas flow rate: 1200 sccm

第6段階(最初の実施形態のステップ5に相当)
混合ガスの圧力:120mTorr(16.0Pa)
高周波電源の電力(U/L):500W/100〜300W
CHF/O/Ar=3/13/90sccm
6th stage (corresponding to step 5 of the first embodiment)
Pressure of mixed gas: 120 mTorr (16.0 Pa)
High frequency power (U / L): 500 W / 100 to 300 W
CH 3 F / O 2 / Ar = 3/13/90 sccm

第7段階(エッチングで付着した微量のポリマーを除去する工程)
ガスの圧力:200mTorr(26.7Pa)
高周波電源の電力(U/L):300W/100W
=1200sccm
7th stage (process to remove a small amount of polymer attached by etching)
O 2 gas pressure: 200 mTorr (26.7 Pa)
High frequency power supply (U / L): 300W / 100W
O 2 = 1200 sccm

以上の工程を経て形成された結果を図9に示す。図9中の左の表の縦軸は前記第5段階において上部電源4に加えた電力、横軸は下部電源31に加えた電力を夫々示しており表中の数字は図中右で示すθ、つまり形成されたパターン59の側壁の水平面に対する角度を示している。この図から上部電極4に加える電力に対して下部電極31に加える電力を小さくして前駆パターン58へのOプラズマの引き込みを小さくするとθが90°に近い、良好なパターン形状が得られることが明らかになった。 The result formed through the above steps is shown in FIG. The vertical axis in the left table in FIG. 9 indicates the power applied to the upper power supply 4 in the fifth stage, and the horizontal axis indicates the power applied to the lower power supply 31, respectively. The numbers in the table are the θ shown on the right in the figure. That is, the angle of the side wall of the formed pattern 59 with respect to the horizontal plane is shown. From this figure, when the electric power applied to the lower electrode 31 is reduced with respect to the electric power applied to the upper electrode 4 and the drawing of O 2 plasma into the precursor pattern 58 is reduced, a good pattern shape with θ close to 90 ° can be obtained. Became clear.

本発明のエッチング方法で使用するエッチング装置の一例を示す縦断側面図である。It is a vertical side view which shows an example of the etching apparatus used with the etching method of this invention. 本発明の第1の実施形態における工程の一例を示す説明図である。It is explanatory drawing which shows an example of the process in the 1st Embodiment of this invention. 本発明の第1の実施形態における工程の一例を示す説明図である。It is explanatory drawing which shows an example of the process in the 1st Embodiment of this invention. 本発明の第2の実施形態における工程の他の一例を示す説明図である。It is explanatory drawing which shows another example of the process in the 2nd Embodiment of this invention. 本発明の第2の実施形態における工程の他の一例を示す説明図である。It is explanatory drawing which shows another example of the process in the 2nd Embodiment of this invention. 比較例における工程を示す説明図である。It is explanatory drawing which shows the process in a comparative example. 実施例及び比較例において形成されたパターンの状態を示す説明図である。It is explanatory drawing which shows the state of the pattern formed in the Example and the comparative example. 実施例及び比較例において形成されたパターンの状態を示す説明図である。It is explanatory drawing which shows the state of the pattern formed in the Example and the comparative example. 前記エッチング装置における電極に与える電力とパターンの形状とを検証した試験結果を示した表である。It is the table | surface which showed the test result which verified the electric power and pattern shape which are given to the electrode in the said etching apparatus. 従来のエッチング方法により形成されたパターンの縦断側面図である。It is a vertical side view of the pattern formed by the conventional etching method.

符号の説明Explanation of symbols

21 処理室
3 載置台
31 下部電極
4 上部電極
52 SiO(酸化シリコン)膜
53 SiN(窒化シリコン)膜
54 SiON(窒素含有酸化シリコン)膜
55 レジスト膜
58 前駆パターン
59 パターン
21 processing chamber 3 mounting table 31 lower electrode 4 upper electrode 52 SiO 2 (silicon oxide) film 53 SiN (silicon nitride) film 54 SiON (nitrogen-containing silicon oxide) film 55 resist film 58 precursor pattern 59 pattern

Claims (11)

酸化シリコン膜を下地とした窒化シリコン膜が、酸化シリコンを主成分とするハードマスクに覆われ、前記ハードマスク上に、パターンが形成されたレジスト膜が成膜された積層体について、窒化シリコン膜をエッチングするエッチング方法において、
レジスト膜をマスクとしてハードマスクをエッチングし、ハードマスクにマスクパターンを形成する工程と、
レジスト膜をアッシングする工程と、
ハードマスクの表面部を前記アッシングとは異なる条件で酸化する酸化工程と、
窒化シリコン膜のパターンの底部に露出する下地の酸化シリコン膜のエッチングが十分抑えられる程度の、酸化シリコン膜に対する窒化シリコン膜の高い選択比で、窒化シリコン膜をオーバエッチングするオーバエッチング工程と、
前記ハードマスクにマスクパターンを形成する工程の後、前記オーバエッチング工程の前に行われ、窒化シリコン膜をオーバエッチングする時の前記選択比よりも小さい選択比で、当該窒化シリコン膜をエッチングするメインエッチング工程と、を含むことを特徴とするエッチング方法。
A silicon nitride film having a silicon oxide film as a base is covered with a hard mask mainly composed of silicon oxide, and a patterned film is formed on the hard mask. In an etching method for etching
Etching the hard mask using the resist film as a mask and forming a mask pattern on the hard mask; and
Ashing the resist film;
An oxidation step of oxidizing the surface portion of the hard mask under conditions different from the ashing;
An overetching step of overetching the silicon nitride film with a high selection ratio of the silicon nitride film to the silicon oxide film to such an extent that etching of the underlying silicon oxide film exposed at the bottom of the silicon nitride film pattern is sufficiently suppressed;
After the step of forming a mask pattern on the hard mask and before the overetching step, the silicon nitride film is etched with a selectivity smaller than the selectivity when overetching the silicon nitride film. An etching method comprising: an etching step.
前記メインエッチング工程は、レジスト膜をアッシングする工程の後、前記酸化工程の前に行われることを特徴とする請求項1記載のエッチング方法。   2. The etching method according to claim 1, wherein the main etching step is performed after the step of ashing the resist film and before the oxidation step. 前記メインエッチング工程は、ハードマスクにマスクパターンを形成する工程の後、レジスト膜をアッシングする工程の前に行われることを特徴とする請求項1記載のエッチング方法。     2. The etching method according to claim 1, wherein the main etching step is performed after the step of forming a mask pattern on the hard mask and before the step of ashing the resist film. 前記酸化工程は、酸素ガスをプラズマ化したプラズマにより行われることを特徴とする請求項1ないし3のいずれか一つに記載のエッチング方法。   The etching method according to claim 1, wherein the oxidation step is performed by plasma obtained by converting oxygen gas into plasma. 前記オーバエッチング工程は、炭素、フッ素及び水素を含むガスと、酸素ガスとを含んだ混合ガスをプラズマ化したプラズマにより行われることを特徴とする請求項1ないし4のいずれか一つに記載のエッチング方法。   5. The over-etching process is performed by plasma obtained by converting a mixed gas containing a gas containing carbon, fluorine, and hydrogen and an oxygen gas into plasma. 6. Etching method. 前記ハードマスクの厚さが50nm以下であることを特徴とする請求項1ないし5のいずれか一つに記載のエッチング方法。   6. The etching method according to claim 1, wherein the hard mask has a thickness of 50 nm or less. 前記酸化シリコン膜の厚さが5nm以下であることを特徴とする請求項1ないし6のいずれか一つに記載のエッチング方法。   The etching method according to claim 1, wherein the silicon oxide film has a thickness of 5 nm or less. 窒化シリコン膜の厚さが50nm以上であることを特徴とする請求項1ないし7のいずれか一つに記載のエッチング方法。 8. The etching method according to claim 1, wherein the thickness of the silicon nitride film is 50 nm or more. 基板が載置される載置台を備えた気密な処理容器と、処理容器内に処理ガスを供給する手段と、処理容器内の圧力を調整する手段と、処理容器内のガスをプラズマ化する手段とを備え、処理ガスをプラズマ化したプラズマにより基板に対してエッチングを行う装置において、
請求項1ないし8のいずれかのエッチング方法を実施するように、各手段を制御する制御部を設けたことを特徴とするエッチング装置。
An airtight processing container having a mounting table on which a substrate is placed, means for supplying a processing gas into the processing container, means for adjusting the pressure in the processing container, and means for converting the gas in the processing container into plasma In an apparatus for etching a substrate with plasma obtained by converting a processing gas into plasma,
9. An etching apparatus comprising a control unit for controlling each means so as to carry out the etching method according to claim 1.
処理容器内に処理ガスを導入して、基板に対してエッチングを行う装置に用いられ、コンピュータ上で動作するコンピュータプログラムであって、
請求項1ないし8のいずれかのエッチング方法を実施するようにステップが組まれていることを特徴とするコンピュータプログラム。
A computer program that is used in an apparatus that introduces a processing gas into a processing container and performs etching on a substrate and operates on a computer,
9. A computer program comprising steps for performing the etching method according to claim 1.
請求項10記載のコンピュータプログラムが記憶されていることを特徴とするコンピュータ記憶媒体。















































A computer storage medium in which the computer program according to claim 10 is stored.















































JP2005097351A 2005-03-30 2005-03-30 Etching method, etching apparatus, computer program, and computer storage medium Expired - Fee Related JP4509842B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005097351A JP4509842B2 (en) 2005-03-30 2005-03-30 Etching method, etching apparatus, computer program, and computer storage medium
US11/392,823 US7387743B2 (en) 2005-03-30 2006-03-30 Etching method and apparatus, computer program and computer readable storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005097351A JP4509842B2 (en) 2005-03-30 2005-03-30 Etching method, etching apparatus, computer program, and computer storage medium

Publications (2)

Publication Number Publication Date
JP2006278836A true JP2006278836A (en) 2006-10-12
JP4509842B2 JP4509842B2 (en) 2010-07-21

Family

ID=37213254

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005097351A Expired - Fee Related JP4509842B2 (en) 2005-03-30 2005-03-30 Etching method, etching apparatus, computer program, and computer storage medium

Country Status (1)

Country Link
JP (1) JP4509842B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103811311A (en) * 2012-11-15 2014-05-21 上海华虹宏力半导体制造有限公司 SiON surface treatment method for improving line width stability
CN111725062A (en) * 2019-03-20 2020-09-29 东京毅力科创株式会社 Film etching method and plasma processing apparatus
CN112424916A (en) * 2018-07-17 2021-02-26 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and program
CN115241126A (en) * 2022-09-21 2022-10-25 广州粤芯半导体技术有限公司 Through hole etching method and manufacturing method of metal interconnection structure
JP2022554086A (en) * 2019-11-05 2022-12-28 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッド Etching method, void dielectric layer, and dynamic random access memory
WO2023075865A1 (en) * 2021-10-31 2023-05-04 Applied Materials, Inc. Selective passivation of damaged nitride

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001237168A (en) * 2000-02-24 2001-08-31 Sanyo Electric Co Ltd Method of manufacturing semiconductor device
JP2002141328A (en) * 2000-11-06 2002-05-17 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
JP2003229418A (en) * 2001-11-30 2003-08-15 Tokyo Electron Ltd Method of etching

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001237168A (en) * 2000-02-24 2001-08-31 Sanyo Electric Co Ltd Method of manufacturing semiconductor device
JP2002141328A (en) * 2000-11-06 2002-05-17 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
JP2003229418A (en) * 2001-11-30 2003-08-15 Tokyo Electron Ltd Method of etching

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103811311A (en) * 2012-11-15 2014-05-21 上海华虹宏力半导体制造有限公司 SiON surface treatment method for improving line width stability
CN103811311B (en) * 2012-11-15 2016-10-26 上海华虹宏力半导体制造有限公司 In order to improve the SiON surface treatment method of live width stability
CN112424916A (en) * 2018-07-17 2021-02-26 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and program
CN111725062A (en) * 2019-03-20 2020-09-29 东京毅力科创株式会社 Film etching method and plasma processing apparatus
CN111725062B (en) * 2019-03-20 2024-04-05 东京毅力科创株式会社 Film etching method and plasma processing apparatus
JP2022554086A (en) * 2019-11-05 2022-12-28 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッド Etching method, void dielectric layer, and dynamic random access memory
JP7352732B2 (en) 2019-11-05 2023-09-28 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッド Etching methods, void dielectric layers, and dynamic random access memory
US11948805B2 (en) 2019-11-05 2024-04-02 Beijing Naura Microelectronics Equipment Co., Ltd. Etching method, air-gap dielectric layer, and dynamic random-access memory
WO2023075865A1 (en) * 2021-10-31 2023-05-04 Applied Materials, Inc. Selective passivation of damaged nitride
CN115241126A (en) * 2022-09-21 2022-10-25 广州粤芯半导体技术有限公司 Through hole etching method and manufacturing method of metal interconnection structure
CN115241126B (en) * 2022-09-21 2022-12-30 广州粤芯半导体技术有限公司 Through hole etching method and manufacturing method of metal interconnection structure

Also Published As

Publication number Publication date
JP4509842B2 (en) 2010-07-21

Similar Documents

Publication Publication Date Title
US8071473B2 (en) Semiconductor device manufacturing method and storage medium
JP2007194284A (en) Plasma treatment method, plasma treatment device, and storage medium
JP6185305B2 (en) Plasma etching method and plasma etching apparatus
KR100924853B1 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
JP4754374B2 (en) Plasma etching method and computer-readable storage medium
JP2014096499A (en) Plasma etching method and plasma etching device
KR20140016907A (en) Plasma etching device, and plasma etching method
JP2008198659A (en) Plasma etching method
JP3165047B2 (en) Dry etching method for polycide film
JP4509842B2 (en) Etching method, etching apparatus, computer program, and computer storage medium
US8642482B2 (en) Plasma etching method, control program and computer storage medium
CN104303274B (en) Plasma-etching method and plasma processing apparatus
JP5323306B2 (en) Plasma etching method and computer-readable storage medium
JP4946138B2 (en) Etching method
JP4351806B2 (en) Improved technique for etching using a photoresist mask.
JP4940722B2 (en) Semiconductor device manufacturing method, plasma processing apparatus, and storage medium
JP2009044090A (en) Method of manufacturing semiconductor apparatus, and storage medium
JP5223364B2 (en) Plasma etching method and storage medium
US20070163995A1 (en) Plasma processing method, apparatus and storage medium
JP4827567B2 (en) Plasma etching method and computer-readable storage medium
JP5011782B2 (en) Semiconductor device manufacturing method, plasma processing apparatus, and storage medium.
US5968278A (en) High aspect ratio contact
JP2007227529A (en) Method for manufacturing semiconductor device, plasma processing apparatus, and storage medium
US7387743B2 (en) Etching method and apparatus, computer program and computer readable storage medium
JP2012015411A (en) Semiconductor device manufacturing method and semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080307

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100105

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100308

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100326

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100420

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100428

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130514

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130514

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees